>mm10_chr1:3104013-3104024(+)::chr1:3104012-3104024(+) gcagggaagcgg >mm10_chr1:3318639-3318650(-)::chr1:3318638-3318650(-) tcaaggaagacc >mm10_chr1:3437757-3437768(+)::chr1:3437756-3437768(+) actaggaaggtg >mm10_chr1:3581793-3581804(-)::chr1:3581792-3581804(-) GAAAGGAGGTAA >mm10_chr1:4527498-4527509(-)::chr1:4527497-4527509(-) ATAAGGATGTGT >mm10_chr1:4527536-4527547(+)::chr1:4527535-4527547(+) ACAAGGAAGACT >mm10_chr1:4583376-4583387(-)::chr1:4583375-4583387(-) TGAAGGAAGAAC >mm10_chr1:4583410-4583421(-)::chr1:4583409-4583421(-) AGGAGGAAATAG >mm10_chr1:4654102-4654113(-)::chr1:4654101-4654113(-) ACAAGGAAAGGG >mm10_chr1:4685714-4685725(-)::chr1:4685713-4685725(-) aagaggaaggga >mm10_chr1:4838621-4838632(-)::chr1:4838620-4838632(-) GACGGGAAGTCC >mm10_chr1:4838629-4838640(-)::chr1:4838628-4838640(-) AAAAGGAAGACG >mm10_chr1:4867726-4867737(-)::chr1:4867725-4867737(-) tagaggaagatc >mm10_chr1:4867751-4867762(+)::chr1:4867750-4867762(+) aaaaggaaattc >mm10_chr1:4914780-4914791(+)::chr1:4914779-4914791(+) accaggaagcag >mm10_chr1:4914818-4914829(+)::chr1:4914817-4914829(+) caaaggaagggg >mm10_chr1:5055029-5055040(+)::chr1:5055028-5055040(+) aggaggaagagg >mm10_chr1:5103675-5103686(+)::chr1:5103674-5103686(+) ATCAGGAAGACT >mm10_chr1:5103709-5103720(-)::chr1:5103708-5103720(-) AGAAGGAAGTAA >mm10_chr1:5128514-5128525(+)::chr1:5128513-5128525(+) taaaggaagtca >mm10_chr1:5128553-5128564(+)::chr1:5128552-5128564(+) agcaggaactga >mm10_chr1:5901780-5901791(-)::chr1:5901779-5901791(-) AAAAGGAACTGG >mm10_chr1:6142842-6142853(+)::chr1:6142841-6142853(+) AGAAGGAACTTA >mm10_chr1:6344221-6344232(-)::chr1:6344220-6344232(-) AACAGGAAGAAC >mm10_chr1:6413505-6413516(-)::chr1:6413504-6413516(-) tcagggaagtaa >mm10_chr1:6413556-6413567(-)::chr1:6413555-6413567(-) agcaggaagtac >mm10_chr1:6458028-6458039(+)::chr1:6458027-6458039(+) TGGAGGAAGAGT >mm10_chr1:6643443-6643454(+)::chr1:6643442-6643454(+) ataaggaagcag >mm10_chr1:6774788-6774799(+)::chr1:6774787-6774799(+) AGAAGGAAGAGC >mm10_chr1:6774818-6774829(-)::chr1:6774817-6774829(-) TGCAGGAAGTAG >mm10_chr1:6774839-6774850(-)::chr1:6774838-6774850(-) AACAGGAAGCTA >mm10_chr1:6778728-6778739(-)::chr1:6778727-6778739(-) AGAAGGAAATCA >mm10_chr1:6783367-6783378(+)::chr1:6783366-6783378(+) AAGAGGAAGTGC >mm10_chr1:6783426-6783437(+)::chr1:6783425-6783437(+) aagaggaagtaa >mm10_chr1:6784483-6784494(+)::chr1:6784482-6784494(+) TGAGGGAAGTCC >mm10_chr1:6831476-6831487(+)::chr1:6831475-6831487(+) AGAAAGAAGTCA >mm10_chr1:6831506-6831517(+)::chr1:6831505-6831517(+) AAGAGGAAGAAG >mm10_chr1:6864220-6864231(+)::chr1:6864219-6864231(+) agggggaagtgg >mm10_chr1:6882460-6882471(+)::chr1:6882459-6882471(+) ACAAGGAAATTC >mm10_chr1:6928793-6928804(-)::chr1:6928792-6928804(-) TGAAGGAAATGT >mm10_chr1:6973017-6973028(-)::chr1:6973016-6973028(-) ACTAGGAAGGGT >mm10_chr1:6976418-6976429(+)::chr1:6976417-6976429(+) agcaggaaggtc >mm10_chr1:6976444-6976455(+)::chr1:6976443-6976455(+) aaagggaagtta >mm10_chr1:7059771-7059782(-)::chr1:7059770-7059782(-) ATAAGGAAGAGA >mm10_chr1:7059783-7059794(+)::chr1:7059782-7059794(+) TTGAGGAAGTGA >mm10_chr1:7095602-7095613(+)::chr1:7095601-7095613(+) GAGAGGAAGGAA >mm10_chr1:7114133-7114144(-)::chr1:7114132-7114144(-) cataggaagtca >mm10_chr1:7455747-7455758(-)::chr1:7455746-7455758(-) tttaggaagtat >mm10_chr1:8301576-8301587(-)::chr1:8301575-8301587(-) acaaggaagctg >mm10_chr1:9209339-9209350(-)::chr1:9209338-9209350(-) ATAAGGAAATGC >mm10_chr1:9249034-9249045(-)::chr1:9249033-9249045(-) CTAAGGAAGAGT >mm10_chr1:9451129-9451140(-)::chr1:9451128-9451140(-) GGCAGGAAATAA >mm10_chr1:9451162-9451173(-)::chr1:9451161-9451173(-) GCAAGGAAGTAA >mm10_chr1:9451192-9451203(+)::chr1:9451191-9451203(+) AAAAGGAAGAGA >mm10_chr1:9545389-9545400(+)::chr1:9545388-9545400(+) ACCCGGAAGTGA >mm10_chr1:9560058-9560069(+)::chr1:9560057-9560069(+) AGAAGGAAATAC >mm10_chr1:9609476-9609487(+)::chr1:9609475-9609487(+) TACAGGAAGTAC >mm10_chr1:9621825-9621836(+)::chr1:9621824-9621836(+) ATGAGGAAGGAT >mm10_chr1:9631187-9631198(-)::chr1:9631186-9631198(-) CTAAGGAAGAGC >mm10_chr1:9631227-9631238(+)::chr1:9631226-9631238(+) AGTAGGAAGAGG >mm10_chr1:9638068-9638079(-)::chr1:9638067-9638079(-) aggaggaaggag >mm10_chr1:9638075-9638086(-)::chr1:9638074-9638086(-) aagaggaaggag >mm10_chr1:9638116-9638127(-)::chr1:9638115-9638127(-) agaaggaaaaag >mm10_chr1:9638127-9638138(-)::chr1:9638126-9638138(-) agaaggaagaaa >mm10_chr1:9638138-9638149(-)::chr1:9638137-9638149(-) aggaggaagaaa >mm10_chr1:9641280-9641291(-)::chr1:9641279-9641291(-) tcaaggaaatcc >mm10_chr1:9641337-9641348(-)::chr1:9641336-9641348(-) ccaaggaaatgg >mm10_chr1:9645925-9645936(-)::chr1:9645924-9645936(-) ACCAGGAAGCAA >mm10_chr1:9661123-9661134(-)::chr1:9661122-9661134(-) TGAAGGAAATAC >mm10_chr1:9664350-9664361(+)::chr1:9664349-9664361(+) AGGAGGAAGTCA >mm10_chr1:9687563-9687574(+)::chr1:9687562-9687574(+) TCCAGGAAGTAA >mm10_chr1:9748579-9748590(+)::chr1:9748578-9748590(+) AGGAGGAAGAGG >mm10_chr1:9748585-9748596(+)::chr1:9748584-9748596(+) AAGAGGAAGGCA >mm10_chr1:9748614-9748625(+)::chr1:9748613-9748625(+) GAAAGGAAGTCG >mm10_chr1:9769701-9769712(+)::chr1:9769700-9769712(+) aggaggaaatga >mm10_chr1:9769749-9769760(-)::chr1:9769748-9769760(-) aacaggaagtgg >mm10_chr1:9979438-9979449(+)::chr1:9979437-9979449(+) aagaggaagcag >mm10_chr1:10075060-10075071(+)::chr1:10075059-10075071(+) GAGAGGAAGTAG >mm10_chr1:10150780-10150791(+)::chr1:10150779-10150791(+) gggaggaagaag >mm10_chr1:10150790-10150801(+)::chr1:10150789-10150801(+) aggaggaagttg >mm10_chr1:10165727-10165738(-)::chr1:10165726-10165738(-) AAAAGGAAGGCC >mm10_chr1:10232419-10232430(-)::chr1:10232418-10232430(-) ACAAGGAAGTGA >mm10_chr1:10233435-10233446(-)::chr1:10233434-10233446(-) AGAAGGAAATTT >mm10_chr1:10254117-10254128(+)::chr1:10254116-10254128(+) ATAAGGAAAGAG >mm10_chr1:10347829-10347840(-)::chr1:10347828-10347840(-) gcaaggaaggat >mm10_chr1:10807835-10807846(+)::chr1:10807834-10807846(+) aagaggaaatga >mm10_chr1:10991066-10991077(-)::chr1:10991065-10991077(-) AAAAGGAAGGCA >mm10_chr1:10991105-10991116(+)::chr1:10991104-10991116(+) TAGAGGAAGTGG >mm10_chr1:11033511-11033522(+)::chr1:11033510-11033522(+) AAGAGGAAATGC >mm10_chr1:11033534-11033545(+)::chr1:11033533-11033545(+) AAGAGGAAGCAG >mm10_chr1:11330486-11330497(-)::chr1:11330485-11330497(-) aagaggaagcag >mm10_chr1:11431882-11431893(-)::chr1:11431881-11431893(-) AGAAGGAAGGGT >mm10_chr1:12419868-12419879(+)::chr1:12419867-12419879(+) AATAGGAAGAGC >mm10_chr1:12419885-12419896(-)::chr1:12419884-12419896(-) AGAAGGAAGAGG >mm10_chr1:12419923-12419934(-)::chr1:12419922-12419934(-) CCAAGGAAATGA >mm10_chr1:12718658-12718669(+)::chr1:12718657-12718669(+) ATAAGGAACAAT >mm10_chr1:12718686-12718697(+)::chr1:12718685-12718697(+) AGGAGGAAGGAA >mm10_chr1:12718690-12718701(+)::chr1:12718689-12718701(+) GGAAGGAAGTCC >mm10_chr1:12719082-12719093(+)::chr1:12719081-12719093(+) TACAGGAAGGAA >mm10_chr1:12734999-12735010(+)::chr1:12734998-12735010(+) GAAAGGAAGTAC >mm10_chr1:12879195-12879206(+)::chr1:12879194-12879206(+) AAAAGGAAGACC >mm10_chr1:12879204-12879215(+)::chr1:12879203-12879215(+) ACCAGGAAGGGA >mm10_chr1:13031917-13031928(-)::chr1:13031916-13031928(-) ATAAGGAAATGA >mm10_chr1:13052242-13052253(-)::chr1:13052241-13052253(-) AGAAGGAAGAAG >mm10_chr1:13132328-13132339(+)::chr1:13132327-13132339(+) ACAGGGAAGTGT >mm10_chr1:13153302-13153313(-)::chr1:13153301-13153313(-) GGAAGGAAGGAG >mm10_chr1:13168928-13168939(+)::chr1:13168927-13168939(+) GTGAGGAAGATA >mm10_chr1:13176059-13176070(-)::chr1:13176058-13176070(-) TCAGGGAAGTGG >mm10_chr1:13176653-13176664(-)::chr1:13176652-13176664(-) GAAGGGAAGTTC >mm10_chr1:13176673-13176684(+)::chr1:13176672-13176684(+) AACAGGAAGGTC >mm10_chr1:13184055-13184066(+)::chr1:13184054-13184066(+) ATCAGGAAGTGG >mm10_chr1:13184064-13184075(-)::chr1:13184063-13184075(-) ACCAGGAAGCCA >mm10_chr1:13188750-13188761(-)::chr1:13188749-13188761(-) TTGAGGAAGCGC >mm10_chr1:13214599-13214610(-)::chr1:13214598-13214610(-) TGGAGGAAGTTG >mm10_chr1:13245688-13245699(+)::chr1:13245687-13245699(+) Agaaggaaggag >mm10_chr1:13245882-13245893(+)::chr1:13245881-13245893(+) AACAGGAAGTGC >mm10_chr1:13256336-13256347(-)::chr1:13256335-13256347(-) TTGAGGAAGTAT >mm10_chr1:13256392-13256403(-)::chr1:13256391-13256403(-) TTAGGGAAGTCA >mm10_chr1:13259943-13259954(+)::chr1:13259942-13259954(+) AGCAGGAAGTGC >mm10_chr1:13363976-13363987(-)::chr1:13363975-13363987(-) CCCAGGAAGTGC >mm10_chr1:13363986-13363997(-)::chr1:13363985-13363997(-) GCAAGGAAGTCC >mm10_chr1:13426658-13426669(-)::chr1:13426657-13426669(-) TGCAGGAAGGAG >mm10_chr1:13426699-13426710(-)::chr1:13426698-13426710(-) ACAAGGAAAGAA >mm10_chr1:13454756-13454767(+)::chr1:13454755-13454767(+) AAGAGGAAGTGA >mm10_chr1:13606908-13606919(-)::chr1:13606907-13606919(-) tagaggaaggag >mm10_chr1:13668577-13668588(-)::chr1:13668576-13668588(-) TTGAGGAAGTGT >mm10_chr1:13668592-13668603(-)::chr1:13668591-13668603(-) TTAAGGAAATAT >mm10_chr1:13740908-13740919(+)::chr1:13740907-13740919(+) ATGAGGAAGCAC >mm10_chr1:13740972-13740983(+)::chr1:13740971-13740983(+) TTCAGGAAATAA >mm10_chr1:13866614-13866625(+)::chr1:13866613-13866625(+) AACAGGAAGCTG >mm10_chr1:13980444-13980455(+)::chr1:13980443-13980455(+) aagaggaaatac >mm10_chr1:13992683-13992694(-)::chr1:13992682-13992694(-) aggaggaaggag >mm10_chr1:13992692-13992703(-)::chr1:13992691-13992703(-) aagaggaagagg >mm10_chr1:13992698-13992709(-)::chr1:13992697-13992709(-) aagaggaagagg >mm10_chr1:13992704-13992715(-)::chr1:13992703-13992715(-) aagaggaagagg >mm10_chr1:13992710-13992721(-)::chr1:13992709-13992721(-) aggaggaagagg >mm10_chr1:14173440-14173451(+)::chr1:14173439-14173451(+) ATAAGGAAGGAG >mm10_chr1:14240248-14240259(-)::chr1:14240247-14240259(-) AAAAGGAAATCT >mm10_chr1:14240274-14240285(+)::chr1:14240273-14240285(+) AACAGGAAGGAA >mm10_chr1:14240278-14240289(+)::chr1:14240277-14240289(+) GGAAGGAAGAAA >mm10_chr1:14300502-14300513(-)::chr1:14300501-14300513(-) ACAACGAAGTTA >mm10_chr1:14595689-14595700(-)::chr1:14595688-14595700(-) aagaggaagacc >mm10_chr1:14700670-14700681(-)::chr1:14700669-14700681(-) GGAAGAAAGTGC >mm10_chr1:14772789-14772800(-)::chr1:14772788-14772800(-) AGGAGGAAGTGA >mm10_chr1:14773027-14773038(-)::chr1:14773026-14773038(-) AAGAGGAAGGAC >mm10_chr1:14773050-14773061(-)::chr1:14773049-14773061(-) AAAAGGAGGTGG >mm10_chr1:14782931-14782942(-)::chr1:14782930-14782942(-) TCAAGGAAATAC >mm10_chr1:14788768-14788779(+)::chr1:14788767-14788779(+) AGAGGGAAGTGT >mm10_chr1:14788798-14788809(+)::chr1:14788797-14788809(+) TGCAGGAAATAG >mm10_chr1:14788830-14788841(-)::chr1:14788829-14788841(-) TTAAGGAAGTAG >mm10_chr1:14812907-14812918(+)::chr1:14812906-14812918(+) atgaggaaggag >mm10_chr1:14812925-14812936(+)::chr1:14812924-14812936(+) aggaggaaggag >mm10_chr1:14812932-14812943(+)::chr1:14812931-14812943(+) aggaggaagagc >mm10_chr1:15488515-15488526(-)::chr1:15488514-15488526(-) gaaaggaagtgg >mm10_chr1:15688822-15688833(-)::chr1:15688821-15688833(-) GCCAGGAAGCTA >mm10_chr1:15792002-15792013(+)::chr1:15792001-15792013(+) AGAAGGAATTTC >mm10_chr1:15806098-15806109(-)::chr1:15806097-15806109(-) ACGCGGAAGGCG >mm10_chr1:15806421-15806432(+)::chr1:15806420-15806432(+) gggaggaaggat >mm10_chr1:15936407-15936418(-)::chr1:15936406-15936418(-) gtcaggaagaga >mm10_chr1:15959063-15959074(+)::chr1:15959062-15959074(+) aagaggaagtcc >mm10_chr1:16059607-16059618(+)::chr1:16059606-16059618(+) ACCGGGAAGTGA >mm10_chr1:16122402-16122413(-)::chr1:16122401-16122413(-) AAGAGGAAGAGG >mm10_chr1:16122408-16122419(-)::chr1:16122407-16122419(-) AAGAGGAAGAGG >mm10_chr1:16148924-16148935(-)::chr1:16148923-16148935(-) AGCAGGAAGATA >mm10_chr1:16148940-16148951(+)::chr1:16148939-16148951(+) TTCAGGAAGGTA >mm10_chr1:16148949-16148960(-)::chr1:16148948-16148960(-) ATGGGGAAGTAC >mm10_chr1:16193340-16193351(+)::chr1:16193339-16193351(+) AAAAGGAAGCAG >mm10_chr1:16193359-16193370(+)::chr1:16193358-16193370(+) AGAAGGAAGACA >mm10_chr1:16225641-16225652(-)::chr1:16225640-16225652(-) GCCAGGAAGTAG >mm10_chr1:16228342-16228353(-)::chr1:16228341-16228353(-) TGGAGGAAGTAA >mm10_chr1:16228415-16228426(-)::chr1:16228414-16228426(-) ATAAGGAAATAA >mm10_chr1:16260654-16260665(+)::chr1:16260653-16260665(+) ggcaggaagcat >mm10_chr1:16260703-16260714(-)::chr1:16260702-16260714(-) atcaggatgtag >mm10_chr1:16570892-16570903(-)::chr1:16570891-16570903(-) AAAAGGAAGAGT >mm10_chr1:16570941-16570952(+)::chr1:16570940-16570952(+) CTGAGGAAGTCA >mm10_chr1:16571144-16571155(+)::chr1:16571143-16571155(+) AAAAGGAAGTAG >mm10_chr1:16587141-16587152(+)::chr1:16587140-16587152(+) AGAAGGAAGGTA >mm10_chr1:16587186-16587197(-)::chr1:16587185-16587197(-) ACAAGGAACTGA >mm10_chr1:16656933-16656944(+)::chr1:16656932-16656944(+) GAAAGGAATTAC >mm10_chr1:16656941-16656952(-)::chr1:16656940-16656952(-) CGACGGAAGTAA >mm10_chr1:16657852-16657863(-)::chr1:16657851-16657863(-) atagggaAATTA >mm10_chr1:16671446-16671457(+)::chr1:16671445-16671457(+) CCCAGGAAGTGG >mm10_chr1:16675112-16675123(-)::chr1:16675111-16675123(-) TTAAGTAAGTAA >mm10_chr1:16675173-16675184(-)::chr1:16675172-16675184(-) AGGAGGAAGGAG >mm10_chr1:16682446-16682457(-)::chr1:16682445-16682457(-) GGAAGGATGTAG >mm10_chr1:16682450-16682461(-)::chr1:16682449-16682461(-) TGAAGGAAGGAT >mm10_chr1:16688385-16688396(+)::chr1:16688384-16688396(+) AAGAGGAAGCAG >mm10_chr1:16715721-16715732(+)::chr1:16715720-16715732(+) aggaggaagagg >mm10_chr1:16715727-16715738(+)::chr1:16715726-16715738(+) aagaggaagagg >mm10_chr1:16715733-16715744(+)::chr1:16715732-16715744(+) aagaggaagagt >mm10_chr1:16890236-16890247(-)::chr1:16890235-16890247(-) AGAAGGAAGAAG >mm10_chr1:17008823-17008834(-)::chr1:17008822-17008834(-) agaaggaagtga >mm10_chr1:17008835-17008846(-)::chr1:17008834-17008846(-) ccaaggaagtat >mm10_chr1:17123418-17123429(-)::chr1:17123417-17123429(-) AAAAGGAAGTCC >mm10_chr1:17449476-17449487(+)::chr1:17449475-17449487(+) TAGAGGAAGTGA >mm10_chr1:17451312-17451323(+)::chr1:17451311-17451323(+) TGCAGGAAGTAT >mm10_chr1:17488095-17488106(-)::chr1:17488094-17488106(-) ATGAGGAAGAGA >mm10_chr1:17489307-17489318(+)::chr1:17489306-17489318(+) ataaggaagaga >mm10_chr1:17545462-17545473(-)::chr1:17545461-17545473(-) AGGAGGAAGGGG >mm10_chr1:17570218-17570229(+)::chr1:17570217-17570229(+) TAGAGGAAGATT >mm10_chr1:17718625-17718636(-)::chr1:17718624-17718636(-) GGAAGGAAGTAG >mm10_chr1:17718629-17718640(-)::chr1:17718628-17718640(-) GCCAGGAAGGAA >mm10_chr1:17766508-17766519(+)::chr1:17766507-17766519(+) aagaggaagtag >mm10_chr1:17766515-17766526(+)::chr1:17766514-17766526(+) agtaggaagtat >mm10_chr1:18285032-18285043(+)::chr1:18285031-18285043(+) gagaggaagatg >mm10_chr1:19094709-19094720(+)::chr1:19094708-19094720(+) ataaggaaggaa >mm10_chr1:19112256-19112267(-)::chr1:19112255-19112267(-) TACAGGAAGTGT >mm10_chr1:19112303-19112314(-)::chr1:19112302-19112314(-) AGGAGGAAGAGC >mm10_chr1:19112310-19112321(-)::chr1:19112309-19112321(-) TCGAGGAAGGAG >mm10_chr1:19180296-19180307(-)::chr1:19180295-19180307(-) TGCAGGAAGATT >mm10_chr1:19214644-19214655(+)::chr1:19214643-19214655(+) AGGAGGAAATAT >mm10_chr1:19234865-19234876(-)::chr1:19234864-19234876(-) GTGAGGAAGGGA >mm10_chr1:19242015-19242026(+)::chr1:19242014-19242026(+) TCAAGGAAGAGG >mm10_chr1:19473589-19473600(-)::chr1:19473588-19473600(-) TCAAGGAACTGA >mm10_chr1:19473658-19473669(+)::chr1:19473657-19473669(+) GTGAGGAAGTTC >mm10_chr1:19582586-19582597(+)::chr1:19582585-19582597(+) ACAAGGAACTGA >mm10_chr1:19582611-19582622(+)::chr1:19582610-19582622(+) AAAAGGAAGGGT >mm10_chr1:19660214-19660225(-)::chr1:19660213-19660225(-) tccaggaagtga >mm10_chr1:19702458-19702469(+)::chr1:19702457-19702469(+) GGCAGGAAGTAT >mm10_chr1:19702471-19702482(+)::chr1:19702470-19702482(+) AGAAGGAAGAAA >mm10_chr1:19702915-19702926(+)::chr1:19702914-19702926(+) GGCAGGAAGAAA >mm10_chr1:19769515-19769526(-)::chr1:19769514-19769526(-) ATCAGGAAGTAG >mm10_chr1:19770902-19770913(+)::chr1:19770901-19770913(+) AACAGGAACTAA >mm10_chr1:19772195-19772206(+)::chr1:19772194-19772206(+) ACCAGGAAGAAA >mm10_chr1:19881763-19881774(-)::chr1:19881762-19881774(-) ATAAGGAAATGA >mm10_chr1:20308758-20308769(+)::chr1:20308757-20308769(+) ATGAGGAAGTAA >mm10_chr1:20308817-20308828(-)::chr1:20308816-20308828(-) TGCAGGAAGAAG >mm10_chr1:20449752-20449763(+)::chr1:20449751-20449763(+) GTAAGGAAGCCT >mm10_chr1:20449815-20449826(+)::chr1:20449814-20449826(+) AGCAGGAAGACA >mm10_chr1:20467205-20467216(+)::chr1:20467204-20467216(+) AGGAGGAAGTTC >mm10_chr1:20467210-20467221(-)::chr1:20467209-20467221(-) GTAAGGAACTTC >mm10_chr1:20467233-20467244(+)::chr1:20467232-20467244(+) AGAAGGAAGGCT >mm10_chr1:20618032-20618043(-)::chr1:20618031-20618043(-) AACAGGAAGTGA >mm10_chr1:20692532-20692543(+)::chr1:20692531-20692543(+) ACAATGAAGTAT >mm10_chr1:20817285-20817296(+)::chr1:20817284-20817296(+) AGCAGGAAGTGA >mm10_chr1:20820473-20820484(+)::chr1:20820472-20820484(+) AACAGGAAGGCT >mm10_chr1:20951426-20951437(+)::chr1:20951425-20951437(+) GCCAGGAAGTGG >mm10_chr1:20961848-20961859(-)::chr1:20961847-20961859(-) aaaaggaaaata >mm10_chr1:20961882-20961893(+)::chr1:20961881-20961893(+) tggaggaagtca >mm10_chr1:20981920-20981931(+)::chr1:20981919-20981931(+) aacaggaagcag >mm10_chr1:20981927-20981938(+)::chr1:20981926-20981938(+) agcaggaagcag >mm10_chr1:21067980-21067991(-)::chr1:21067979-21067991(-) AGAAGGAAGAAA >mm10_chr1:21079586-21079597(+)::chr1:21079585-21079597(+) GAGAGGAAGCGG >mm10_chr1:21079612-21079623(+)::chr1:21079611-21079623(+) GACAGGAAGTGG >mm10_chr1:21084794-21084805(-)::chr1:21084793-21084805(-) tggaggaagcaa >mm10_chr1:21085596-21085607(+)::chr1:21085595-21085607(+) agaaggaagaac >mm10_chr1:21085613-21085624(+)::chr1:21085612-21085624(+) ttgaggaagtta >mm10_chr1:21092331-21092342(-)::chr1:21092330-21092342(-) CACAGGAAGTTC >mm10_chr1:21098554-21098565(+)::chr1:21098553-21098565(+) Tgaaggaaggaa >mm10_chr1:21098558-21098569(+)::chr1:21098557-21098569(+) ggaaggaaggca >mm10_chr1:21098566-21098577(+)::chr1:21098565-21098577(+) ggcaggaaatag >mm10_chr1:21098574-21098585(+)::chr1:21098573-21098585(+) atagggaagaca >mm10_chr1:21102120-21102131(+)::chr1:21102119-21102131(+) ACAAGGAAGGGA >mm10_chr1:21161900-21161911(+)::chr1:21161899-21161911(+) AACAGGATGTAG >mm10_chr1:21206395-21206406(-)::chr1:21206394-21206406(-) aacaggaagtaa >mm10_chr1:21250681-21250692(+)::chr1:21250680-21250692(+) ACTAGGAAGTCC >mm10_chr1:21294997-21295008(+)::chr1:21294996-21295008(+) ACAAGGATGTAT >mm10_chr1:21325911-21325922(-)::chr1:21325910-21325922(-) attaggaagagg >mm10_chr1:21325940-21325951(+)::chr1:21325939-21325951(+) gtcaggaaatat >mm10_chr1:21491489-21491500(-)::chr1:21491488-21491500(-) CATAGGAAGTAT >mm10_chr1:21672452-21672463(-)::chr1:21672451-21672463(-) ATAAGGAAGCAT >mm10_chr1:22036539-22036550(+)::chr1:22036538-22036550(+) TAAAGGAAATGG >mm10_chr1:22074026-22074037(+)::chr1:22074025-22074037(+) AAGaggaagttg >mm10_chr1:22074037-22074048(+)::chr1:22074036-22074048(+) gaaaggaaggta >mm10_chr1:22230230-22230241(-)::chr1:22230229-22230241(-) CTAAGGAAGTTT >mm10_chr1:22989711-22989722(+)::chr1:22989710-22989722(+) GTAAGGAAGGAT >mm10_chr1:22989763-22989774(-)::chr1:22989762-22989774(-) aacaggaagtgc >mm10_chr1:23059001-23059012(-)::chr1:23059000-23059012(-) ATAGGGAAATGA >mm10_chr1:23109377-23109388(+)::chr1:23109376-23109388(+) tccaggaagaga >mm10_chr1:23109401-23109412(+)::chr1:23109400-23109412(+) ataaggaagctc >mm10_chr1:23109421-23109432(+)::chr1:23109420-23109432(+) caaaggaagtgt >mm10_chr1:23151038-23151049(+)::chr1:23151037-23151049(+) ATCAGGAAGAGG >mm10_chr1:23162078-23162089(+)::chr1:23162077-23162089(+) AGAAGGAAAGAG >mm10_chr1:23162110-23162121(+)::chr1:23162109-23162121(+) agaaggaaggga >mm10_chr1:23162141-23162152(+)::chr1:23162140-23162152(+) gaaaggaagtct >mm10_chr1:23171831-23171842(-)::chr1:23171830-23171842(-) GGAAGGAACTGC >mm10_chr1:23171835-23171846(-)::chr1:23171834-23171846(-) ATGAGGAAGGAA >mm10_chr1:23187874-23187885(-)::chr1:23187873-23187885(-) AGGAGGAAGTAG >mm10_chr1:23187918-23187929(+)::chr1:23187917-23187929(+) GGAGGGAAGTGT >mm10_chr1:23246947-23246958(+)::chr1:23246946-23246958(+) AAAGGGAAGATA >mm10_chr1:23259829-23259840(+)::chr1:23259828-23259840(+) ATTAGGAAGTAG >mm10_chr1:23259869-23259880(+)::chr1:23259868-23259880(+) AGGAGGAAGATG >mm10_chr1:23264014-23264025(-)::chr1:23264013-23264025(-) AGGAGGAAGACA >mm10_chr1:23269788-23269799(+)::chr1:23269787-23269799(+) AGGAGGAAGAAG >mm10_chr1:23279931-23279942(-)::chr1:23279930-23279942(-) ACACGGAAATGA >mm10_chr1:23287177-23287188(+)::chr1:23287176-23287188(+) TACAGGAAGGAT >mm10_chr1:23330125-23330136(-)::chr1:23330124-23330136(-) AACAGGAAGTTC >mm10_chr1:23347594-23347605(+)::chr1:23347593-23347605(+) AGAAGGAAGGGG >mm10_chr1:23380285-23380296(+)::chr1:23380284-23380296(+) AAGAGGAAGTGA >mm10_chr1:23383522-23383533(+)::chr1:23383521-23383533(+) AAGAGGAAGACA >mm10_chr1:23383583-23383594(+)::chr1:23383582-23383594(+) AACAGGAAATCC >mm10_chr1:23385251-23385262(+)::chr1:23385250-23385262(+) aagaggaaatcg >mm10_chr1:23397591-23397602(-)::chr1:23397590-23397602(-) AAAAGGAACTAT >mm10_chr1:23433793-23433804(+)::chr1:23433792-23433804(+) aaaagtaagtaa >mm10_chr1:23433805-23433816(-)::chr1:23433804-23433816(-) GAcaggaagttc >mm10_chr1:23472734-23472745(-)::chr1:23472733-23472745(-) AGAAGGAAATAG >mm10_chr1:23472754-23472765(-)::chr1:23472753-23472765(-) CAAAGGAAGTAG >mm10_chr1:23474339-23474350(+)::chr1:23474338-23474350(+) AACGGGAAGTTT >mm10_chr1:23480960-23480971(+)::chr1:23480959-23480971(+) GCAATGAAGTGG >mm10_chr1:23480969-23480980(+)::chr1:23480968-23480980(+) TGGAGGAAGGAA >mm10_chr1:23480973-23480984(+)::chr1:23480972-23480984(+) GGAAGGAAGGCA >mm10_chr1:23480988-23480999(+)::chr1:23480987-23480999(+) AGAAGGACGTAA >mm10_chr1:23518414-23518425(+)::chr1:23518413-23518425(+) ggcaggaagcag >mm10_chr1:23518421-23518432(+)::chr1:23518420-23518432(+) agcaggaagcag >mm10_chr1:23518428-23518439(+)::chr1:23518427-23518439(+) agcaggaagcag >mm10_chr1:23523130-23523141(+)::chr1:23523129-23523141(+) agaaggaagtac >mm10_chr1:23541615-23541626(+)::chr1:23541614-23541626(+) TTAAGGAAGTTG >mm10_chr1:23575266-23575277(-)::chr1:23575265-23575277(-) AGCAGGAAATAA >mm10_chr1:23576571-23576582(-)::chr1:23576570-23576582(-) ACAAGGAAGTTT >mm10_chr1:23650088-23650099(+)::chr1:23650087-23650099(+) CAAAGGAAGGAG >mm10_chr1:23650118-23650129(+)::chr1:23650117-23650129(+) ATCCGGAAGTGC >mm10_chr1:23792999-23793010(-)::chr1:23792998-23793010(-) acaatgaagTTC >mm10_chr1:23829162-23829173(+)::chr1:23829161-23829173(+) AGCAGGAAGTCT >mm10_chr1:23867553-23867564(-)::chr1:23867552-23867564(-) agcaggaagtcc >mm10_chr1:23867578-23867589(-)::chr1:23867577-23867589(-) tgagggaagtgg >mm10_chr1:23908157-23908168(-)::chr1:23908156-23908168(-) TACAGGAAGAAA >mm10_chr1:23908195-23908206(+)::chr1:23908194-23908206(+) CGAAGGAAGAAG >mm10_chr1:23913312-23913323(+)::chr1:23913311-23913323(+) GAAAGGAAGCTC >mm10_chr1:23919313-23919324(-)::chr1:23919312-23919324(-) GCAAGGAAGGAG >mm10_chr1:23926687-23926698(-)::chr1:23926686-23926698(-) acaaagaagtag >mm10_chr1:23926729-23926740(-)::chr1:23926728-23926740(-) acagggaagtaa >mm10_chr1:23943393-23943404(-)::chr1:23943392-23943404(-) gacaggaagagg >mm10_chr1:23943410-23943421(-)::chr1:23943409-23943421(-) aagaggaaggca >mm10_chr1:23943802-23943813(+)::chr1:23943801-23943813(+) ggtaggaagtgg >mm10_chr1:24014004-24014015(+)::chr1:24014003-24014015(+) AAAAGGAAGACT >mm10_chr1:24014084-24014095(-)::chr1:24014083-24014095(-) AAAAGGAAATGG >mm10_chr1:24140288-24140299(-)::chr1:24140287-24140299(-) GGAAGGAACGGG >mm10_chr1:24231731-24231742(-)::chr1:24231730-24231742(-) CAAAGGAAGAAC >mm10_chr1:24468450-24468461(+)::chr1:24468449-24468461(+) AAAATGAAGTGG >mm10_chr1:24770202-24770213(-)::chr1:24770201-24770213(-) acagggaagttg >mm10_chr1:25043163-25043174(-)::chr1:25043162-25043174(-) TCTAGGAAGTCT >mm10_chr1:25057852-25057863(-)::chr1:25057851-25057863(-) AGGAGGAAGCCA >mm10_chr1:25080914-25080925(-)::chr1:25080913-25080925(-) ACAAGGAAGCAA >mm10_chr1:25335583-25335594(-)::chr1:25335582-25335594(-) acaaggaagtgt >mm10_chr1:25486057-25486068(-)::chr1:25486056-25486068(-) TCAAGGAAGGAA >mm10_chr1:26378202-26378213(+)::chr1:26378201-26378213(+) ggaaggaagcct >mm10_chr1:26687601-26687612(-)::chr1:26687600-26687612(-) GCCAGGAAGTGG >mm10_chr1:29261531-29261542(-)::chr1:29261530-29261542(-) GAAAGGAACTGA >mm10_chr1:30216815-30216826(+)::chr1:30216814-30216826(+) ttaaggaagtca >mm10_chr1:30767698-30767709(+)::chr1:30767697-30767709(+) AGAAGGAAAAAT >mm10_chr1:30780790-30780801(+)::chr1:30780789-30780801(+) GAGAGGAAATAA >mm10_chr1:30852145-30852156(-)::chr1:30852144-30852156(-) actaggaagaca >mm10_chr1:31019553-31019564(-)::chr1:31019552-31019564(-) ACCAGGAAGAAC >mm10_chr1:31028610-31028621(-)::chr1:31028609-31028621(-) AGTAGGAAGTAC >mm10_chr1:31028624-31028635(-)::chr1:31028623-31028635(-) AGTAGGAAGTAC >mm10_chr1:31028631-31028642(-)::chr1:31028630-31028642(-) AATAGGAAGTAG >mm10_chr1:31028657-31028668(-)::chr1:31028656-31028668(-) AGGAGGAAGCAG >mm10_chr1:31033925-31033936(+)::chr1:31033924-31033936(+) AGCAGGAAGCAA >mm10_chr1:31033929-31033940(+)::chr1:31033928-31033940(+) GGAAGCAAGTGT >mm10_chr1:31035035-31035046(-)::chr1:31035034-31035046(-) tgagggaagtca >mm10_chr1:31059227-31059238(-)::chr1:31059226-31059238(-) atcaggaaggag >mm10_chr1:31063054-31063065(-)::chr1:31063053-31063065(-) tgaaggaaatca >mm10_chr1:31063066-31063077(-)::chr1:31063065-31063077(-) tggaggaagaga >mm10_chr1:31063086-31063097(+)::chr1:31063085-31063097(+) acaaggaagtag >mm10_chr1:31140096-31140107(+)::chr1:31140095-31140107(+) ACAGGGAAGTTG >mm10_chr1:31140121-31140132(+)::chr1:31140120-31140132(+) AGAAGGAAATGG >mm10_chr1:31205752-31205763(+)::chr1:31205751-31205763(+) AGAAGAAAGTGT >mm10_chr1:33112429-33112440(+)::chr1:33112428-33112440(+) GTCAGGAAGGGA >mm10_chr1:33334346-33334357(+)::chr1:33334345-33334357(+) agaaggaaggtc >mm10_chr1:33374842-33374853(+)::chr1:33374841-33374853(+) agaaggaagtgt >mm10_chr1:33503079-33503090(+)::chr1:33503078-33503090(+) AGGAGGAAGCCA >mm10_chr1:33503112-33503123(-)::chr1:33503111-33503123(-) GGAAGGAAATTG >mm10_chr1:33503116-33503127(-)::chr1:33503115-33503127(-) AACAGGAAGGAA >mm10_chr1:33503705-33503716(-)::chr1:33503704-33503716(-) gaaaggaagtgc >mm10_chr1:33503757-33503768(-)::chr1:33503756-33503768(-) acagggaagtgc >mm10_chr1:33529960-33529971(-)::chr1:33529959-33529971(-) ACCGGGAAGTTA >mm10_chr1:33535407-33535418(+)::chr1:33535406-33535418(+) aggaggaagagg >mm10_chr1:33556792-33556803(+)::chr1:33556791-33556803(+) tacaggaaggaa >mm10_chr1:33556828-33556839(+)::chr1:33556827-33556839(+) ggcaggaagcac >mm10_chr1:33571913-33571924(-)::chr1:33571912-33571924(-) GTAAGGAAGGCA >mm10_chr1:33756501-33756512(-)::chr1:33756500-33756512(-) TTCAGGAAGTTG >mm10_chr1:33756781-33756792(-)::chr1:33756780-33756792(-) ACAGGGAAGTGT >mm10_chr1:33770142-33770153(+)::chr1:33770141-33770153(+) TCAAGGAAGAGC >mm10_chr1:33796933-33796944(+)::chr1:33796932-33796944(+) ATGAGGAAGAGA >mm10_chr1:33796941-33796952(+)::chr1:33796940-33796952(+) GAGAGGAAGAGG >mm10_chr1:33796970-33796981(+)::chr1:33796969-33796981(+) GAAAGGAAGAGA >mm10_chr1:33814569-33814580(-)::chr1:33814568-33814580(-) GGGAGGAAGGCG >mm10_chr1:33901941-33901952(-)::chr1:33901940-33901952(-) acaaggaaggct >mm10_chr1:33901968-33901979(-)::chr1:33901967-33901979(-) agaaggaagcta >mm10_chr1:33912694-33912705(+)::chr1:33912693-33912705(+) TAAAGGAACGGG >mm10_chr1:33912732-33912743(-)::chr1:33912731-33912743(-) AGCAGGAAGAAA >mm10_chr1:33918485-33918496(-)::chr1:33918484-33918496(-) GGCAGGAAGTGG >mm10_chr1:33918546-33918557(-)::chr1:33918545-33918557(-) AGTAGGAAGGTT >mm10_chr1:33918553-33918564(-)::chr1:33918552-33918564(-) CAGAGGAAGTAG >mm10_chr1:33921902-33921913(+)::chr1:33921901-33921913(+) ggaaggaagatg >mm10_chr1:33925605-33925616(-)::chr1:33925604-33925616(-) TCCAGGAAGTCA >mm10_chr1:33928526-33928537(+)::chr1:33928525-33928537(+) GGGAGGAAGGAG >mm10_chr1:33928533-33928544(+)::chr1:33928532-33928544(+) AGGAGGAAGAGG >mm10_chr1:33940017-33940028(-)::chr1:33940016-33940028(-) AACAGGAAGGCA >mm10_chr1:33980998-33981009(-)::chr1:33980997-33981009(-) aggaggaagggg >mm10_chr1:33981033-33981044(-)::chr1:33981032-33981044(-) aaaaggaagtgg >mm10_chr1:33983564-33983575(-)::chr1:33983563-33983575(-) aggaggaagaAT >mm10_chr1:33983577-33983588(-)::chr1:33983576-33983588(-) aggaggaaggag >mm10_chr1:33983587-33983598(-)::chr1:33983586-33983598(-) agaaggaaggag >mm10_chr1:33983607-33983618(-)::chr1:33983606-33983618(-) aaaaggaagaag >mm10_chr1:33983631-33983642(-)::chr1:33983630-33983642(-) gggaggaagaag >mm10_chr1:33993937-33993948(+)::chr1:33993936-33993948(+) AGCAGGAAGTGG >mm10_chr1:34005931-34005942(-)::chr1:34005930-34005942(-) AGAAGGAAGAAG >mm10_chr1:34040130-34040141(+)::chr1:34040129-34040141(+) aacaggaagctg >mm10_chr1:34040155-34040166(+)::chr1:34040154-34040166(+) accaggaagcag >mm10_chr1:34109804-34109815(-)::chr1:34109803-34109815(-) TCAAGGAAATGA >mm10_chr1:34128008-34128019(+)::chr1:34128007-34128019(+) agtaggaagcat >mm10_chr1:34130962-34130973(-)::chr1:34130961-34130973(-) ATGAGGAAGTGC >mm10_chr1:34190619-34190630(+)::chr1:34190618-34190630(+) AGAAGGAAAATC >mm10_chr1:34290719-34290730(-)::chr1:34290718-34290730(-) GGAAGTAAGTGC >mm10_chr1:34290723-34290734(-)::chr1:34290722-34290734(-) ACAAGGAAGTAA >mm10_chr1:34310816-34310827(+)::chr1:34310815-34310827(+) ACAAGGAAAACA >mm10_chr1:34393223-34393234(+)::chr1:34393222-34393234(+) AACAGGAAATTA >mm10_chr1:34393237-34393248(+)::chr1:34393236-34393248(+) GGCAGGAAGTGA >mm10_chr1:34434362-34434373(-)::chr1:34434361-34434373(-) AGCAGGAAGTGC >mm10_chr1:34434389-34434400(-)::chr1:34434388-34434400(-) AGAAGGAAGCAG >mm10_chr1:34439473-34439484(+)::chr1:34439472-34439484(+) ACCCGGAAGTCA >mm10_chr1:34439492-34439503(-)::chr1:34439491-34439503(-) ACCCGGAAGTTG >mm10_chr1:34460286-34460297(+)::chr1:34460285-34460297(+) GGGAGGAAGTGG >mm10_chr1:34484647-34484658(+)::chr1:34484646-34484658(+) ACAAGGAAAGGG >mm10_chr1:34485924-34485935(-)::chr1:34485923-34485935(-) ACAAGGAACTGA >mm10_chr1:34485954-34485965(-)::chr1:34485953-34485965(-) GAGAGGAAATAC >mm10_chr1:34539576-34539587(+)::chr1:34539575-34539587(+) CTAAGGAAGGTT >mm10_chr1:34539633-34539644(-)::chr1:34539632-34539644(-) TGAAGTAAGTGG >mm10_chr1:34563456-34563467(-)::chr1:34563455-34563467(-) AGGAGGAAGGAC >mm10_chr1:34575140-34575151(-)::chr1:34575139-34575151(-) AAGAGGAAGAAA >mm10_chr1:34575146-34575157(-)::chr1:34575145-34575157(-) TGCAGGAAGAGG >mm10_chr1:34678954-34678965(+)::chr1:34678953-34678965(+) ATCCGGAAGTGT >mm10_chr1:34678981-34678992(+)::chr1:34678980-34678992(+) AGGAGGAAGCGC >mm10_chr1:34682978-34682989(+)::chr1:34682977-34682989(+) CTCAGGAAGTGC >mm10_chr1:34683016-34683027(-)::chr1:34683015-34683027(-) TGCAGGAAGCGC >mm10_chr1:34722923-34722934(+)::chr1:34722922-34722934(+) AAGAGGAAGTGC >mm10_chr1:34749561-34749572(+)::chr1:34749560-34749572(+) ACCAGGAAGTGT >mm10_chr1:34822858-34822869(-)::chr1:34822857-34822869(-) AGACGGAAGCGA >mm10_chr1:34828145-34828156(-)::chr1:34828144-34828156(-) AAGAGGAAGCCG >mm10_chr1:34961740-34961751(+)::chr1:34961739-34961751(+) aacaggaaatgg >mm10_chr1:34961790-34961801(-)::chr1:34961789-34961801(-) ttaaggaagtga >mm10_chr1:34961810-34961821(-)::chr1:34961809-34961821(-) tttaggaagtgg >mm10_chr1:35042416-35042427(+)::chr1:35042415-35042427(+) ATGAGGAAGAGT >mm10_chr1:35051600-35051611(+)::chr1:35051599-35051611(+) ACCAGGAAGGTC >mm10_chr1:35051620-35051631(+)::chr1:35051619-35051631(+) ACAAGGAAAAAC >mm10_chr1:35062337-35062348(+)::chr1:35062336-35062348(+) AGTAGGAAGGTG >mm10_chr1:35232843-35232854(-)::chr1:35232842-35232854(-) tcaaggaaatgc >mm10_chr1:35344898-35344909(+)::chr1:35344897-35344909(+) aagaggaaggaa >mm10_chr1:35344902-35344913(+)::chr1:35344901-35344913(+) ggaaggaacttc >mm10_chr1:35440854-35440865(+)::chr1:35440853-35440865(+) AGGAGGAAGGAA >mm10_chr1:35440858-35440869(+)::chr1:35440857-35440869(+) GGAAGGAAGCTT >mm10_chr1:35440891-35440902(-)::chr1:35440890-35440902(-) ttagggaagtac >mm10_chr1:35493209-35493220(+)::chr1:35493208-35493220(+) aagaggaaggaa >mm10_chr1:35493213-35493224(+)::chr1:35493212-35493224(+) ggaaggaattga >mm10_chr1:35662122-35662133(+)::chr1:35662121-35662133(+) aggaggaagagg >mm10_chr1:35662128-35662139(+)::chr1:35662127-35662139(+) aagaggaagagg >mm10_chr1:35662134-35662145(+)::chr1:35662133-35662145(+) aagaggaagagg >mm10_chr1:35662140-35662151(+)::chr1:35662139-35662151(+) aagaggaagagg >mm10_chr1:35662146-35662157(+)::chr1:35662145-35662157(+) aagaggaagagg >mm10_chr1:35662152-35662163(+)::chr1:35662151-35662163(+) aagaggaagagg >mm10_chr1:35662158-35662169(+)::chr1:35662157-35662169(+) aagaggaagagg >mm10_chr1:35662164-35662175(+)::chr1:35662163-35662175(+) aagaggaagagg >mm10_chr1:35662170-35662181(+)::chr1:35662169-35662181(+) aagaggaagagg >mm10_chr1:35662176-35662187(+)::chr1:35662175-35662187(+) aagaggaagagg >mm10_chr1:35662182-35662193(+)::chr1:35662181-35662193(+) aagaggaagagg >mm10_chr1:35662188-35662199(+)::chr1:35662187-35662199(+) aagaggaagaga >mm10_chr1:35674215-35674226(+)::chr1:35674214-35674226(+) agaaggaagtga >mm10_chr1:35729073-35729084(+)::chr1:35729072-35729084(+) GGGAGGAAGAGG >mm10_chr1:35779990-35780001(+)::chr1:35779989-35780001(+) atagggaagaag >mm10_chr1:35779997-35780008(+)::chr1:35779996-35780008(+) agaaggaagctt >mm10_chr1:35813824-35813835(+)::chr1:35813823-35813835(+) tggaggaagtta >mm10_chr1:35826979-35826990(-)::chr1:35826978-35826990(-) AGAAGGAAGAGA >mm10_chr1:35827552-35827563(+)::chr1:35827551-35827563(+) CAGAGGAAGTAA >mm10_chr1:35848894-35848905(+)::chr1:35848893-35848905(+) ACCAGGAAATGT >mm10_chr1:35848930-35848941(+)::chr1:35848929-35848941(+) ACCAGGAAATGT >mm10_chr1:35933697-35933708(-)::chr1:35933696-35933708(-) AAGAGGAAGAAG >mm10_chr1:35971389-35971400(-)::chr1:35971388-35971400(-) CCGAGGAAGGCA >mm10_chr1:35971447-35971458(-)::chr1:35971446-35971458(-) acaaggaagagg >mm10_chr1:35986847-35986858(+)::chr1:35986846-35986858(+) GAAAGGAAATTC >mm10_chr1:36013931-36013942(+)::chr1:36013930-36013942(+) gagaggaagttt >mm10_chr1:36031207-36031218(-)::chr1:36031206-36031218(-) ACAAGGAAGAAG >mm10_chr1:36052724-36052735(-)::chr1:36052723-36052735(-) atgaggaagtga >mm10_chr1:36060796-36060807(+)::chr1:36060795-36060807(+) GAAAGGAAATTC >mm10_chr1:36060839-36060850(+)::chr1:36060838-36060850(+) AGAAGGAAATGC >mm10_chr1:36069407-36069418(-)::chr1:36069406-36069418(-) AGGAGGAAGTCT >mm10_chr1:36072092-36072103(+)::chr1:36072091-36072103(+) TGTAGGAAGTGT >mm10_chr1:36079826-36079837(+)::chr1:36079825-36079837(+) ATCAGGAAGTCG >mm10_chr1:36083541-36083552(+)::chr1:36083540-36083552(+) GGGAGGAAGGAC >mm10_chr1:36083572-36083583(+)::chr1:36083571-36083583(+) AGCAGGAAGAAA >mm10_chr1:36090231-36090242(-)::chr1:36090230-36090242(-) ACAGGGAAGTGG >mm10_chr1:36090262-36090273(-)::chr1:36090261-36090273(-) ACAAGGAAGGGG >mm10_chr1:36131394-36131405(-)::chr1:36131393-36131405(-) ggaaggaagctg >mm10_chr1:36131398-36131409(-)::chr1:36131397-36131409(-) ggcaggaaggaa >mm10_chr1:36140422-36140433(+)::chr1:36140421-36140433(+) ACTAGGAAGAGG >mm10_chr1:36140461-36140472(-)::chr1:36140460-36140472(-) ACAGGGAAGTCC >mm10_chr1:36140975-36140986(-)::chr1:36140974-36140986(-) TCAAGGAAATGA >mm10_chr1:36141001-36141012(+)::chr1:36141000-36141012(+) ACTAGGAAGGGT >mm10_chr1:36160229-36160240(-)::chr1:36160228-36160240(-) GGAAGGAAGTCA >mm10_chr1:36160233-36160244(-)::chr1:36160232-36160244(-) TGTAGGAAGGAA >mm10_chr1:36160399-36160410(+)::chr1:36160398-36160410(+) aacaggaagcag >mm10_chr1:36162815-36162826(-)::chr1:36162814-36162826(-) accaggaagcaa >mm10_chr1:36162843-36162854(-)::chr1:36162842-36162854(-) GGGAGGAAGTGG >mm10_chr1:36178431-36178442(-)::chr1:36178430-36178442(-) AAGAGGAAATGT >mm10_chr1:36244295-36244306(-)::chr1:36244294-36244306(-) AAGCGGAAGTGC >mm10_chr1:36244301-36244312(-)::chr1:36244300-36244312(-) TCTAGGAAGCGG >mm10_chr1:36244326-36244337(-)::chr1:36244325-36244337(-) AGCCGGAAGTCG >mm10_chr1:36264285-36264296(-)::chr1:36264284-36264296(-) AGGAGGAAGTGG >mm10_chr1:36309820-36309831(+)::chr1:36309819-36309831(+) GAAAGGAAGTGT >mm10_chr1:36324682-36324693(+)::chr1:36324681-36324693(+) GCAAGGAAGAGG >mm10_chr1:36324723-36324734(-)::chr1:36324722-36324734(-) GTCGGGAAGTAG >mm10_chr1:36374140-36374151(+)::chr1:36374139-36374151(+) ACAAGGAAGTCA >mm10_chr1:36444605-36444616(+)::chr1:36444604-36444616(+) GGAAGGAAGGAG >mm10_chr1:36461950-36461961(-)::chr1:36461949-36461961(-) AGGAGGAAGTGT >mm10_chr1:36508408-36508419(-)::chr1:36508407-36508419(-) AGGAGGAAGTCA >mm10_chr1:36508434-36508445(-)::chr1:36508433-36508445(-) AAGAGGAAGTGA >mm10_chr1:36524341-36524352(-)::chr1:36524340-36524352(-) ACAAGGAAGCCT >mm10_chr1:36534568-36534579(-)::chr1:36534567-36534579(-) AGCAGGAAGAGT >mm10_chr1:36534582-36534593(-)::chr1:36534581-36534593(-) ACCAGGAAGTAT >mm10_chr1:36554683-36554694(+)::chr1:36554682-36554694(+) GGAAGGAAGGAT >mm10_chr1:36555004-36555015(+)::chr1:36555003-36555015(+) AGAAGGAAGAAG >mm10_chr1:36556724-36556735(+)::chr1:36556723-36556735(+) GGCAGGAAGTGG >mm10_chr1:36559851-36559862(-)::chr1:36559850-36559862(-) AGCAGGAAGTGG >mm10_chr1:36559863-36559874(-)::chr1:36559862-36559874(-) AGAAGGAAACAC >mm10_chr1:36561007-36561018(+)::chr1:36561006-36561018(+) AAAAGGAAGCAG >mm10_chr1:36569508-36569519(+)::chr1:36569507-36569519(+) AGAAGGAAGTAG >mm10_chr1:36575594-36575605(+)::chr1:36575593-36575605(+) AAAAGGAAGCCC >mm10_chr1:36577559-36577570(-)::chr1:36577558-36577570(-) AAAAGGAAGACG >mm10_chr1:36583993-36584004(-)::chr1:36583992-36584004(-) TGAAGGAAGGTA >mm10_chr1:36601185-36601196(+)::chr1:36601184-36601196(+) agcaggaagagt >mm10_chr1:36603632-36603643(+)::chr1:36603631-36603643(+) AAAAGCAAGTGT >mm10_chr1:36614733-36614744(+)::chr1:36614732-36614744(+) agaaggaaaaag >mm10_chr1:36614784-36614795(+)::chr1:36614783-36614795(+) tgagggaagttg >mm10_chr1:36636804-36636815(-)::chr1:36636803-36636815(-) AGCAGGAAGTGC >mm10_chr1:36648598-36648609(+)::chr1:36648597-36648609(+) aggaggaagagg >mm10_chr1:36648604-36648615(+)::chr1:36648603-36648615(+) aagaggaaggag >mm10_chr1:36648623-36648634(+)::chr1:36648622-36648634(+) gggaggaagagg >mm10_chr1:36648650-36648661(+)::chr1:36648649-36648661(+) aagaggaagagc >mm10_chr1:36648661-36648672(-)::chr1:36648660-36648672(-) agaaggaaaagg >mm10_chr1:36648675-36648686(+)::chr1:36648674-36648686(+) aataggaaggtc >mm10_chr1:36691480-36691491(+)::chr1:36691479-36691491(+) GGCAGGAAGCGG >mm10_chr1:36691522-36691533(+)::chr1:36691521-36691533(+) CAACGGAAGTCC >mm10_chr1:36884031-36884042(+)::chr1:36884030-36884042(+) AACAGGAAATTC >mm10_chr1:36949503-36949514(-)::chr1:36949502-36949514(-) TAGAGGAAGAAA >mm10_chr1:36949885-36949896(-)::chr1:36949884-36949896(-) GGGAGGAAGAAC >mm10_chr1:36996838-36996849(+)::chr1:36996837-36996849(+) GGCAGGAAATAA >mm10_chr1:37001320-37001331(+)::chr1:37001319-37001331(+) GAAaggaagagg >mm10_chr1:37001337-37001348(+)::chr1:37001336-37001348(+) aggaggaagaag >mm10_chr1:37001359-37001370(+)::chr1:37001358-37001370(+) aggaggaagata >mm10_chr1:37001374-37001385(+)::chr1:37001373-37001385(+) aagaggaaggag >mm10_chr1:37001399-37001410(+)::chr1:37001398-37001410(+) aggaggaagaag >mm10_chr1:37044359-37044370(+)::chr1:37044358-37044370(+) TAAAGGATGTGT >mm10_chr1:37130264-37130275(-)::chr1:37130263-37130275(-) ACAAGGAAGCCA >mm10_chr1:37218128-37218139(-)::chr1:37218127-37218139(-) AGCAGGAAGCAA >mm10_chr1:37218135-37218146(-)::chr1:37218134-37218146(-) ggCAGGAAGCAG >mm10_chr1:37218148-37218159(-)::chr1:37218147-37218159(-) aggaggaagagg >mm10_chr1:37218163-37218174(-)::chr1:37218162-37218174(-) aggaggaagacg >mm10_chr1:37218193-37218204(+)::chr1:37218192-37218204(+) ACAAGCAAGTGT >mm10_chr1:37251474-37251485(-)::chr1:37251473-37251485(-) agaaggaagagg >mm10_chr1:37251483-37251494(-)::chr1:37251482-37251494(-) aggaggaagaga >mm10_chr1:37251498-37251509(-)::chr1:37251497-37251509(-) aagaggaagaag >mm10_chr1:37251504-37251515(-)::chr1:37251503-37251515(-) aagaggaagagg >mm10_chr1:37251510-37251521(-)::chr1:37251509-37251521(-) agaaggaagagg >mm10_chr1:37251520-37251531(-)::chr1:37251519-37251531(-) aagaggaaggag >mm10_chr1:37251535-37251546(-)::chr1:37251534-37251546(-) aagaggaagggg >mm10_chr1:37251544-37251555(-)::chr1:37251543-37251555(-) gagaggaagaag >mm10_chr1:37251556-37251567(-)::chr1:37251555-37251567(-) aggaggaagagg >mm10_chr1:37296268-37296279(-)::chr1:37296267-37296279(-) ttaaggaagttg >mm10_chr1:37344411-37344422(+)::chr1:37344410-37344422(+) AACAGGAAGCAG >mm10_chr1:37344423-37344434(-)::chr1:37344422-37344434(-) GCGGGGAAGTCA >mm10_chr1:37360820-37360831(+)::chr1:37360819-37360831(+) aggaggaagaag >mm10_chr1:37360832-37360843(+)::chr1:37360831-37360843(+) aggaggaagaag >mm10_chr1:37360847-37360858(+)::chr1:37360846-37360858(+) aggaggaagagg >mm10_chr1:37360853-37360864(+)::chr1:37360852-37360864(+) aagaggaagaaa >mm10_chr1:37430186-37430197(+)::chr1:37430185-37430197(+) AGCGGGAAGGGA >mm10_chr1:37430191-37430202(+)::chr1:37430190-37430202(+) GAAGGGAAGTGG >mm10_chr1:37442828-37442839(+)::chr1:37442827-37442839(+) ACCAGGAACTAG >mm10_chr1:37472426-37472437(+)::chr1:37472425-37472437(+) tcaaggaactga >mm10_chr1:37474277-37474288(-)::chr1:37474276-37474288(-) AGGAGGAAGTTA >mm10_chr1:37486692-37486703(+)::chr1:37486691-37486703(+) AAGAGGAAGTAG >mm10_chr1:37491765-37491776(-)::chr1:37491764-37491776(-) TAAAGGAAGGTA >mm10_chr1:37518427-37518438(-)::chr1:37518426-37518438(-) acaaggaagtgc >mm10_chr1:37566939-37566950(+)::chr1:37566938-37566950(+) tccaggaagtga >mm10_chr1:37586350-37586361(-)::chr1:37586349-37586361(-) AAGAGGAAGCGA >mm10_chr1:37633450-37633461(+)::chr1:37633449-37633461(+) TACAGGAAGAAA >mm10_chr1:37633516-37633527(+)::chr1:37633515-37633527(+) ACAGGGAAATAA >mm10_chr1:37639069-37639080(-)::chr1:37639068-37639080(-) ACAAGGAAGTTT >mm10_chr1:37665721-37665732(-)::chr1:37665720-37665732(-) AGAAGGAAGTGT >mm10_chr1:37696349-37696360(-)::chr1:37696348-37696360(-) GGAAGGAAATGA >mm10_chr1:37700348-37700359(-)::chr1:37700347-37700359(-) TGCAGGAAGAAA >mm10_chr1:37721355-37721366(+)::chr1:37721354-37721366(+) AGGAGGAAGAGC >mm10_chr1:37721387-37721398(-)::chr1:37721386-37721398(-) AGAAGGAAGTCC >mm10_chr1:37728263-37728274(+)::chr1:37728262-37728274(+) agaaggaagctg >mm10_chr1:37849458-37849469(+)::chr1:37849457-37849469(+) AAAAGGAAGTAA >mm10_chr1:37865063-37865074(-)::chr1:37865062-37865074(-) GGACGGAAGTGG >mm10_chr1:37865385-37865396(+)::chr1:37865384-37865396(+) TGAGGGAAGCGG >mm10_chr1:37872311-37872322(+)::chr1:37872310-37872322(+) GACCGGAAGTGG >mm10_chr1:37887423-37887434(-)::chr1:37887422-37887434(-) gaaaggaagggg >mm10_chr1:37887431-37887442(-)::chr1:37887430-37887442(-) aggaggaagaaa >mm10_chr1:37887438-37887449(-)::chr1:37887437-37887449(-) ggtaggaaggag >mm10_chr1:37887448-37887459(-)::chr1:37887447-37887459(-) gtgaggaagggg >mm10_chr1:37890079-37890090(-)::chr1:37890078-37890090(-) ACCAGGAAGCAT >mm10_chr1:37893279-37893290(-)::chr1:37893278-37893290(-) accaggaagtcg >mm10_chr1:37909221-37909232(+)::chr1:37909220-37909232(+) aagaggaagggg >mm10_chr1:37954836-37954847(-)::chr1:37954835-37954847(-) ACCAGGAAGTGA >mm10_chr1:37964081-37964092(-)::chr1:37964080-37964092(-) acgaggaagtgt >mm10_chr1:37965260-37965271(+)::chr1:37965259-37965271(+) TAAAGGAAGTAG >mm10_chr1:37966862-37966873(-)::chr1:37966861-37966873(-) aagaggaagaAA >mm10_chr1:37968936-37968947(-)::chr1:37968935-37968947(-) ACAAGGAAGAAC >mm10_chr1:37999375-37999386(-)::chr1:37999374-37999386(-) TAGAGGAAGTTC >mm10_chr1:38013400-38013411(-)::chr1:38013399-38013411(-) acaaggaagcta >mm10_chr1:38103416-38103427(-)::chr1:38103415-38103427(-) aaaaggaaattt >mm10_chr1:38138409-38138420(-)::chr1:38138408-38138420(-) ACAAGGAAGACT >mm10_chr1:38138424-38138435(-)::chr1:38138423-38138435(-) ATAAGGAAGAAA >mm10_chr1:38157682-38157693(+)::chr1:38157681-38157693(+) gaagggaagtca >mm10_chr1:38320501-38320512(+)::chr1:38320500-38320512(+) TGAAGGAAATGA >mm10_chr1:38714793-38714804(+)::chr1:38714792-38714804(+) TAAAGGAAGAGG >mm10_chr1:38714799-38714810(+)::chr1:38714798-38714810(+) AAGAGGAAGTAT >mm10_chr1:38714827-38714838(+)::chr1:38714826-38714838(+) AAGAGGAAGTAT >mm10_chr1:38889359-38889370(-)::chr1:38889358-38889370(-) CTAAGGAAGTAA >mm10_chr1:38987713-38987724(-)::chr1:38987712-38987724(-) AGGAGGAAGTGA >mm10_chr1:39025330-39025341(+)::chr1:39025329-39025341(+) AAAAGAAAGTAG >mm10_chr1:39040110-39040121(-)::chr1:39040109-39040121(-) AGAAGGAAGGGC >mm10_chr1:39041204-39041215(-)::chr1:39041203-39041215(-) TGAAGGAAGAGA >mm10_chr1:39116472-39116483(+)::chr1:39116471-39116483(+) AGAAGGAATTTC >mm10_chr1:39133743-39133754(-)::chr1:39133742-39133754(-) tggaggaagtgg >mm10_chr1:39133763-39133774(-)::chr1:39133762-39133774(-) ctcaggaagtag >mm10_chr1:39187301-39187312(-)::chr1:39187300-39187312(-) TGAAGGAAATAA >mm10_chr1:39192851-39192862(-)::chr1:39192850-39192862(-) TGCAGGAAATGT >mm10_chr1:39193658-39193669(+)::chr1:39193657-39193669(+) AAgaggaagagg >mm10_chr1:39241080-39241091(-)::chr1:39241079-39241091(-) ACAAGGAAGTTA >mm10_chr1:39260788-39260799(+)::chr1:39260787-39260799(+) aacaggaagtac >mm10_chr1:39281115-39281126(-)::chr1:39281114-39281126(-) ATGAGGAAGAGG >mm10_chr1:39281144-39281155(-)::chr1:39281143-39281155(-) AACAGGAAATAT >mm10_chr1:39321798-39321809(-)::chr1:39321797-39321809(-) ATGGGGAAGTGG >mm10_chr1:39327414-39327425(-)::chr1:39327413-39327425(-) AAACGGAAGTGT >mm10_chr1:39367816-39367827(-)::chr1:39367815-39367827(-) CGAGGGAAGTTA >mm10_chr1:39367833-39367844(-)::chr1:39367832-39367844(-) AGGAGGAAGAGG >mm10_chr1:39367857-39367868(+)::chr1:39367856-39367868(+) ACCCGGAAGTTG >mm10_chr1:39405588-39405599(+)::chr1:39405587-39405599(+) AGCAGGAAGGAC >mm10_chr1:39408850-39408861(-)::chr1:39408849-39408861(-) ATGAGGAAGTAT >mm10_chr1:39417868-39417879(-)::chr1:39417867-39417879(-) GGGAGGAAATGT >mm10_chr1:39434195-39434206(-)::chr1:39434194-39434206(-) acaaggatgtga >mm10_chr1:39434378-39434389(-)::chr1:39434377-39434389(-) agaaggaaatta >mm10_chr1:39454313-39454324(+)::chr1:39454312-39454324(+) aacaggaagccc >mm10_chr1:39458754-39458765(-)::chr1:39458753-39458765(-) AGCAGGAAGATC >mm10_chr1:39478962-39478973(+)::chr1:39478961-39478973(+) GGTAGGAAGCGA >mm10_chr1:39479014-39479025(+)::chr1:39479013-39479025(+) TGGAGGAAGGAC >mm10_chr1:39485863-39485874(-)::chr1:39485862-39485874(-) GCCAGGAAGAAC >mm10_chr1:39485881-39485892(+)::chr1:39485880-39485892(+) AAAAGGAAGAGG >mm10_chr1:39487236-39487247(-)::chr1:39487235-39487247(-) TGAAGGAAGGGG >mm10_chr1:39493844-39493855(+)::chr1:39493843-39493855(+) ataaggaagacg >mm10_chr1:39560600-39560611(-)::chr1:39560599-39560611(-) GACAGGAAGTCA >mm10_chr1:39560904-39560915(-)::chr1:39560903-39560915(-) AGAAGGAAATAT >mm10_chr1:39578805-39578816(-)::chr1:39578804-39578816(-) AGAAGGAAGACT >mm10_chr1:39578836-39578847(+)::chr1:39578835-39578847(+) TACAGGAAGTAG >mm10_chr1:39579360-39579371(-)::chr1:39579359-39579371(-) AGAAGGAAATAA >mm10_chr1:39579383-39579394(-)::chr1:39579382-39579394(-) AGAAGGAAGAGG >mm10_chr1:39608761-39608772(-)::chr1:39608760-39608772(-) tacaggaagagg >mm10_chr1:39626005-39626016(-)::chr1:39626004-39626016(-) ggaaggaaggGC >mm10_chr1:39626009-39626020(-)::chr1:39626008-39626020(-) ggaaggaaggaa >mm10_chr1:39626013-39626024(-)::chr1:39626012-39626024(-) ggaaggaaggaa >mm10_chr1:39626017-39626028(-)::chr1:39626016-39626028(-) ggaaggaaggaa >mm10_chr1:39626021-39626032(-)::chr1:39626020-39626032(-) ggaaggaaggaa >mm10_chr1:39626025-39626036(-)::chr1:39626024-39626036(-) ggaaggaaggaa >mm10_chr1:39626029-39626040(-)::chr1:39626028-39626040(-) ggaaggaaggaa >mm10_chr1:39626033-39626044(-)::chr1:39626032-39626044(-) ggaaggaaggaa >mm10_chr1:39626037-39626048(-)::chr1:39626036-39626048(-) ggaaggaaggaa >mm10_chr1:39626041-39626052(-)::chr1:39626040-39626052(-) Agaaggaaggaa >mm10_chr1:39626062-39626073(-)::chr1:39626061-39626073(-) agaaggaaaaga >mm10_chr1:39636337-39636348(-)::chr1:39636336-39636348(-) ATGAGGAAATGT >mm10_chr1:39670503-39670514(-)::chr1:39670502-39670514(-) AGGAGGAAGAGG >mm10_chr1:39685996-39686007(-)::chr1:39685995-39686007(-) TCAAGGAAGTAG >mm10_chr1:39696479-39696490(+)::chr1:39696478-39696490(+) ACCAGGAAATGT >mm10_chr1:39697712-39697723(+)::chr1:39697711-39697723(+) AGGAGGAAATAC >mm10_chr1:39710782-39710793(-)::chr1:39710781-39710793(-) GGCAGGAAGTAA >mm10_chr1:39720601-39720612(-)::chr1:39720600-39720612(-) TGGAGGAAGCGT >mm10_chr1:39744116-39744127(+)::chr1:39744115-39744127(+) aagaggaaatgc >mm10_chr1:39859436-39859447(+)::chr1:39859435-39859447(+) atgaggaaatta >mm10_chr1:39861419-39861430(-)::chr1:39861418-39861430(-) aggaggaagggg >mm10_chr1:39861431-39861442(-)::chr1:39861430-39861442(-) agaaggaagagg >mm10_chr1:39861438-39861449(-)::chr1:39861437-39861449(-) aggaggaagaag >mm10_chr1:39861463-39861474(-)::chr1:39861462-39861474(-) aggaggaagggg >mm10_chr1:39861475-39861486(-)::chr1:39861474-39861486(-) agaaggaagagg >mm10_chr1:39861482-39861493(-)::chr1:39861481-39861493(-) aggaggaagaag >mm10_chr1:39861492-39861503(-)::chr1:39861491-39861503(-) aaaaggaaggag >mm10_chr1:39881548-39881559(+)::chr1:39881547-39881559(+) tcggggaagtgc >mm10_chr1:39888249-39888260(-)::chr1:39888248-39888260(-) tggaggaagtca >mm10_chr1:39900464-39900475(+)::chr1:39900463-39900475(+) AAAAGGAAACGA >mm10_chr1:39918700-39918711(-)::chr1:39918699-39918711(-) tacaggaaatgc >mm10_chr1:39920834-39920845(-)::chr1:39920833-39920845(-) TGAAGGAAATGT >mm10_chr1:39922801-39922812(+)::chr1:39922800-39922812(+) AACAGGAAATGA >mm10_chr1:39922826-39922837(+)::chr1:39922825-39922837(+) TAGAGGAAGTCA >mm10_chr1:39923800-39923811(+)::chr1:39923799-39923811(+) GGCAGGAAGAGC >mm10_chr1:39925074-39925085(-)::chr1:39925073-39925085(-) TGGAGGAAGAGG >mm10_chr1:39936456-39936467(-)::chr1:39936455-39936467(-) CAGAGGAAGTGG >mm10_chr1:39944509-39944520(+)::chr1:39944508-39944520(+) GGCAGGAAGTAA >mm10_chr1:39946218-39946229(+)::chr1:39946217-39946229(+) GAAAGGAAGGAA >mm10_chr1:39968133-39968144(-)::chr1:39968132-39968144(-) GGAAGGAAGGGA >mm10_chr1:39968137-39968148(-)::chr1:39968136-39968148(-) GGAAGGAAGGAA >mm10_chr1:39968141-39968152(-)::chr1:39968140-39968152(-) GAGAGGAAGGAA >mm10_chr1:39982255-39982266(+)::chr1:39982254-39982266(+) ACAAGGAAGCCA >mm10_chr1:39993537-39993548(-)::chr1:39993536-39993548(-) AACAGGAAGAGG >mm10_chr1:40009645-40009656(-)::chr1:40009644-40009656(-) AAGAGGAAGGTA >mm10_chr1:40009660-40009671(-)::chr1:40009659-40009671(-) AAAAGGAAGTTA >mm10_chr1:40038037-40038048(-)::chr1:40038036-40038048(-) agaaggaaatga >mm10_chr1:40038059-40038070(-)::chr1:40038058-40038070(-) ataaggaaggaa >mm10_chr1:40045318-40045329(-)::chr1:40045317-40045329(-) ATAAGGAAGTAG >mm10_chr1:40084573-40084584(-)::chr1:40084572-40084584(-) ATGGGGAAGTGG >mm10_chr1:40084588-40084599(+)::chr1:40084587-40084599(+) GCAAGGATGTAC >mm10_chr1:40107502-40107513(-)::chr1:40107501-40107513(-) AGATGGAAGTCA >mm10_chr1:40107554-40107565(-)::chr1:40107553-40107565(-) ATAAGTAAGCGA >mm10_chr1:40172106-40172117(-)::chr1:40172105-40172117(-) AGGAGGAAGTCT >mm10_chr1:40188098-40188109(-)::chr1:40188097-40188109(-) GGGAGGAAGTTA >mm10_chr1:40222761-40222772(+)::chr1:40222760-40222772(+) accaggaagaaa >mm10_chr1:40240707-40240718(+)::chr1:40240706-40240718(+) ATGAGGAAGAAA >mm10_chr1:40324474-40324485(-)::chr1:40324473-40324485(-) AGCAGGAAATTC >mm10_chr1:40325021-40325032(-)::chr1:40325020-40325032(-) AACAGGAAGCTA >mm10_chr1:40325037-40325048(-)::chr1:40325036-40325048(-) ATACGGAAATTA >mm10_chr1:40381496-40381507(-)::chr1:40381495-40381507(-) ACGAGGAACTGG >mm10_chr1:40381506-40381517(-)::chr1:40381505-40381517(-) GTCAGGAAGAAC >mm10_chr1:40381549-40381560(-)::chr1:40381548-40381560(-) ATGAGGAAGAAG >mm10_chr1:40381573-40381584(+)::chr1:40381572-40381584(+) GTGAGGAAGAGA >mm10_chr1:40404733-40404744(-)::chr1:40404732-40404744(-) ACAAGGAAGCTT >mm10_chr1:40404757-40404768(+)::chr1:40404756-40404768(+) GAAAGGAAGCAG >mm10_chr1:40499463-40499474(-)::chr1:40499462-40499474(-) ACAAGGAAGTCC >mm10_chr1:40501717-40501728(-)::chr1:40501716-40501728(-) ggagggaagtca >mm10_chr1:40515281-40515292(+)::chr1:40515280-40515292(+) aggaggaAGTAA >mm10_chr1:40515354-40515365(+)::chr1:40515353-40515365(+) TAAAGGAAGCAG >mm10_chr1:40543595-40543606(-)::chr1:40543594-40543606(-) aagaggaaggag >mm10_chr1:40543601-40543612(-)::chr1:40543600-40543612(-) aggaggaagagg >mm10_chr1:40543625-40543636(-)::chr1:40543624-40543636(-) aggaggaagaag >mm10_chr1:40543638-40543649(-)::chr1:40543637-40543649(-) gagaggaaggag >mm10_chr1:40576175-40576186(-)::chr1:40576174-40576186(-) AAGAGGAAGAAA >mm10_chr1:40576229-40576240(-)::chr1:40576228-40576240(-) ACAGGGAAGTCA >mm10_chr1:40580165-40580176(-)::chr1:40580164-40580176(-) ATAAGGAAAGGA >mm10_chr1:40599441-40599452(+)::chr1:40599440-40599452(+) ATAAGGAAGAAT >mm10_chr1:40599468-40599479(+)::chr1:40599467-40599479(+) AGCAGGAAGTCC >mm10_chr1:40599731-40599742(+)::chr1:40599730-40599742(+) GGAAGGAAGAGA >mm10_chr1:40599740-40599751(+)::chr1:40599739-40599751(+) AGAAGGAACTGC >mm10_chr1:40635062-40635073(+)::chr1:40635061-40635073(+) GGAAGGAAGAGC >mm10_chr1:40635093-40635104(-)::chr1:40635092-40635104(-) ACAAGGAAGCAA >mm10_chr1:40648782-40648793(-)::chr1:40648781-40648793(-) AGAAGGAAGAGA >mm10_chr1:40651906-40651917(+)::chr1:40651905-40651917(+) AAACGGAAGTGG >mm10_chr1:40657486-40657497(+)::chr1:40657485-40657497(+) AAAAGGAAGCAC >mm10_chr1:40657550-40657561(-)::chr1:40657549-40657561(-) TCAGGGAAGTGG >mm10_chr1:40666438-40666449(-)::chr1:40666437-40666449(-) ATAAGGAAGCTG >mm10_chr1:40666598-40666609(-)::chr1:40666597-40666609(-) ACAAGGAAGTAA >mm10_chr1:40666857-40666868(+)::chr1:40666856-40666868(+) AAGAGGAAGAGG >mm10_chr1:40666863-40666874(+)::chr1:40666862-40666874(+) AAGAGGAAGAGG >mm10_chr1:40666885-40666896(+)::chr1:40666884-40666896(+) ACAAGGAAGCCT >mm10_chr1:40750442-40750453(+)::chr1:40750441-40750453(+) ATgaggaagagg >mm10_chr1:40750448-40750459(+)::chr1:40750447-40750459(+) aagaggaagaag >mm10_chr1:40750478-40750489(+)::chr1:40750477-40750489(+) aagaggaagaag >mm10_chr1:40750493-40750504(+)::chr1:40750492-40750504(+) aggaggaagaag >mm10_chr1:40750505-40750516(+)::chr1:40750504-40750516(+) aggaggaagaaa >mm10_chr1:40815534-40815545(-)::chr1:40815533-40815545(-) AACAGGAAATGA >mm10_chr1:40826635-40826646(-)::chr1:40826634-40826646(-) AAGAGGAAATGG >mm10_chr1:40826686-40826697(-)::chr1:40826685-40826697(-) AGCAGGAAGAAA >mm10_chr1:41027855-41027866(+)::chr1:41027854-41027866(+) GGAAGGAAGTCT >mm10_chr1:41063280-41063291(+)::chr1:41063279-41063291(+) TCAAGGAAATAA >mm10_chr1:41477504-41477515(+)::chr1:41477503-41477515(+) GAAAGGAACGTG >mm10_chr1:41477536-41477547(+)::chr1:41477535-41477547(+) CCAAGGAAGTAG >mm10_chr1:41571973-41571984(-)::chr1:41571972-41571984(-) aggaggaaggga >mm10_chr1:41571995-41572006(-)::chr1:41571994-41572006(-) ggaaggaagaaa >mm10_chr1:41571999-41572010(-)::chr1:41571998-41572010(-) GTaaggaaggaa >mm10_chr1:42695708-42695719(-)::chr1:42695707-42695719(-) ACAAGGAAGTCG >mm10_chr1:43076983-43076994(-)::chr1:43076982-43076994(-) acagggaagata >mm10_chr1:43098707-43098718(-)::chr1:43098706-43098718(-) CGGCGGAAGCGT >mm10_chr1:43139692-43139703(-)::chr1:43139691-43139703(-) ggcaggaagaca >mm10_chr1:43191779-43191790(-)::chr1:43191778-43191790(-) AAAAGGAAATAA >mm10_chr1:43191828-43191839(+)::chr1:43191827-43191839(+) AAAAGGAAGCAA >mm10_chr1:43202662-43202673(-)::chr1:43202661-43202673(-) AGACGGAAGATA >mm10_chr1:43202727-43202738(+)::chr1:43202726-43202738(+) TAAAGGAAGGAA >mm10_chr1:43203282-43203293(+)::chr1:43203281-43203293(+) AGGAGGAAGTTT >mm10_chr1:43269858-43269869(-)::chr1:43269857-43269869(-) AGAAGGAAAGAA >mm10_chr1:43280530-43280541(+)::chr1:43280529-43280541(+) atgaggaaGTCA >mm10_chr1:43296624-43296635(-)::chr1:43296623-43296635(-) GTAAGGAAGGAG >mm10_chr1:43296925-43296936(-)::chr1:43296924-43296936(-) ACCAGGAAGGAC >mm10_chr1:43302975-43302986(-)::chr1:43302974-43302986(-) AGCAGGAAGTTG >mm10_chr1:43311517-43311528(-)::chr1:43311516-43311528(-) AGCAGGAAATGG >mm10_chr1:43311566-43311577(-)::chr1:43311565-43311577(-) AGAATGAAGTAG >mm10_chr1:43327335-43327346(+)::chr1:43327334-43327346(+) ttgaggaagtaa >mm10_chr1:43377449-43377460(-)::chr1:43377448-43377460(-) agaaggaagagt >mm10_chr1:43396785-43396796(+)::chr1:43396784-43396796(+) ACAAGGAAGCTC >mm10_chr1:43398119-43398130(-)::chr1:43398118-43398130(-) atgaggaaattg >mm10_chr1:43423197-43423208(+)::chr1:43423196-43423208(+) GTAAGGAAGTCA >mm10_chr1:43432108-43432119(+)::chr1:43432107-43432119(+) TTCAGGAAGGTA >mm10_chr1:43435157-43435168(+)::chr1:43435156-43435168(+) AAGAGGAAGTGC >mm10_chr1:43444853-43444864(-)::chr1:43444852-43444864(-) TATAGGAAGTTC >mm10_chr1:43445264-43445275(+)::chr1:43445263-43445275(+) ACTAGGAAGTGG >mm10_chr1:43451444-43451455(+)::chr1:43451443-43451455(+) agcaggaagacc >mm10_chr1:43451480-43451491(-)::chr1:43451479-43451491(-) aacaggaagaca >mm10_chr1:43463347-43463358(-)::chr1:43463346-43463358(-) aacaGGAAATCA >mm10_chr1:43467827-43467838(+)::chr1:43467826-43467838(+) CGCCGGAAGTAC >mm10_chr1:43468536-43468547(-)::chr1:43468535-43468547(-) aagaggaaggca >mm10_chr1:43468542-43468553(-)::chr1:43468541-43468553(-) aacaggaagagg >mm10_chr1:43472161-43472172(-)::chr1:43472160-43472172(-) GAAAGGAAGGGG >mm10_chr1:43482679-43482690(+)::chr1:43482678-43482690(+) AGAAGGAAACAA >mm10_chr1:43501782-43501793(-)::chr1:43501781-43501793(-) tgaaggaagtca >mm10_chr1:43503888-43503899(-)::chr1:43503887-43503899(-) AGGAGGAAGGAG >mm10_chr1:43506859-43506870(+)::chr1:43506858-43506870(+) GGAAGGAAGTAG >mm10_chr1:43529682-43529693(-)::chr1:43529681-43529693(-) aagaggaagaaa >mm10_chr1:43529694-43529705(-)::chr1:43529693-43529705(-) aggaggaagaac >mm10_chr1:43529712-43529723(-)::chr1:43529711-43529723(-) aggaggaagagg >mm10_chr1:43530754-43530765(+)::chr1:43530753-43530765(+) ggaaggaaggaa >mm10_chr1:43530758-43530769(+)::chr1:43530757-43530769(+) ggaaggaaggat >mm10_chr1:43530814-43530825(+)::chr1:43530813-43530825(+) ggaaggaagggc >mm10_chr1:43535069-43535080(+)::chr1:43535068-43535080(+) TGAAGGAAATAT >mm10_chr1:43558251-43558262(-)::chr1:43558250-43558262(-) CTAAGGAAATGA >mm10_chr1:43577588-43577599(-)::chr1:43577587-43577599(-) ctagggaagtgt >mm10_chr1:43577607-43577618(+)::chr1:43577606-43577618(+) tccaggaaggaa >mm10_chr1:43577611-43577622(+)::chr1:43577610-43577622(+) ggaaggaagcct >mm10_chr1:43590788-43590799(+)::chr1:43590787-43590799(+) AAAGGGAAGTCT >mm10_chr1:43616549-43616560(-)::chr1:43616548-43616560(-) gggaggaaggga >mm10_chr1:43625373-43625384(+)::chr1:43625372-43625384(+) AACAGGAAATAA >mm10_chr1:43625383-43625394(-)::chr1:43625382-43625394(-) GGCAGGAAGGTT >mm10_chr1:43625396-43625407(-)::chr1:43625395-43625407(-) AGGAGGAAATGA >mm10_chr1:43804351-43804362(+)::chr1:43804350-43804362(+) aggaggaagagg >mm10_chr1:43804357-43804368(+)::chr1:43804356-43804368(+) aagaggaagcgg >mm10_chr1:43804372-43804383(+)::chr1:43804371-43804383(+) aagaggaagagg >mm10_chr1:43871883-43871894(+)::chr1:43871882-43871894(+) AACAGGAAATGT >mm10_chr1:43872020-43872031(-)::chr1:43872019-43872031(-) AAGAGGAAGGTA >mm10_chr1:43933609-43933620(-)::chr1:43933608-43933620(-) AACAGGAAGTGG >mm10_chr1:43934843-43934854(-)::chr1:43934842-43934854(-) TTTAGGAAGTCG >mm10_chr1:43934862-43934873(-)::chr1:43934861-43934873(-) TAAAGGAAATGA >mm10_chr1:43939475-43939486(+)::chr1:43939474-43939486(+) TAGAGGAAGTAC >mm10_chr1:43939483-43939494(-)::chr1:43939482-43939494(-) AAGAGGAAGTAC >mm10_chr1:43958477-43958488(-)::chr1:43958476-43958488(-) ggaaggcagtaa >mm10_chr1:43958489-43958500(+)::chr1:43958488-43958500(+) tgaaggaagcct >mm10_chr1:43958513-43958524(-)::chr1:43958512-43958524(-) agcaggaaggta >mm10_chr1:44020551-44020562(+)::chr1:44020550-44020562(+) TATAGGAAGGGA >mm10_chr1:44042331-44042342(-)::chr1:44042330-44042342(-) gcgcggaagtga >mm10_chr1:44042356-44042367(+)::chr1:44042355-44042367(+) tacaggaagagc >mm10_chr1:44042407-44042418(-)::chr1:44042406-44042418(-) agcaggaagcta >mm10_chr1:44107685-44107696(-)::chr1:44107684-44107696(-) AATAGGAAGGAA >mm10_chr1:44180496-44180507(-)::chr1:44180495-44180507(-) GCAAGGAAGTGA >mm10_chr1:44388260-44388271(+)::chr1:44388259-44388271(+) acaaggaagtag >mm10_chr1:44553136-44553147(+)::chr1:44553135-44553147(+) GAAAGGAATTGG >mm10_chr1:44553201-44553212(-)::chr1:44553200-44553212(-) ATGAGGAAGAAG >mm10_chr1:44585487-44585498(+)::chr1:44585486-44585498(+) GCAAGGAAGAGA >mm10_chr1:44597677-44597688(-)::chr1:44597676-44597688(-) acaaggaagtaa >mm10_chr1:44909731-44909742(+)::chr1:44909730-44909742(+) AGCAGGAAATCA >mm10_chr1:45751130-45751141(-)::chr1:45751129-45751141(-) cccaggaagata >mm10_chr1:45795202-45795213(-)::chr1:45795201-45795213(-) AAGCGGAAGTGA >mm10_chr1:45795468-45795479(+)::chr1:45795467-45795479(+) TGAAGGAAGTTC >mm10_chr1:45838428-45838439(+)::chr1:45838427-45838439(+) AAGAGGAAGTAG >mm10_chr1:45860394-45860405(-)::chr1:45860393-45860405(-) TGCAGGAAGAAT >mm10_chr1:45892219-45892230(+)::chr1:45892218-45892230(+) TTCAGGAAATAA >mm10_chr1:45914180-45914191(+)::chr1:45914179-45914191(+) AGCAGgaaggtg >mm10_chr1:45917266-45917277(+)::chr1:45917265-45917277(+) TACAGGAAGTGA >mm10_chr1:45925842-45925853(+)::chr1:45925841-45925853(+) AGAAGGAAGCTC >mm10_chr1:46845154-46845165(-)::chr1:46845153-46845165(-) GTAAGGAAGGCT >mm10_chr1:46845184-46845195(-)::chr1:46845183-46845195(-) TGAAGGAAGGCA >mm10_chr1:46849966-46849977(+)::chr1:46849965-46849977(+) ATCAGGAAATCA >mm10_chr1:46885315-46885326(-)::chr1:46885314-46885326(-) GACAGGAAGGAA >mm10_chr1:46894584-46894595(-)::chr1:46894583-46894595(-) aacaggaagtag >mm10_chr1:46894636-46894647(-)::chr1:46894635-46894647(-) aagaggaagttg >mm10_chr1:46900051-46900062(+)::chr1:46900050-46900062(+) AGAAGGAAATTT >mm10_chr1:46901507-46901518(+)::chr1:46901506-46901518(+) AGGAGGAAGAGA >mm10_chr1:46921826-46921837(+)::chr1:46921825-46921837(+) AACAGGAAGTGC >mm10_chr1:50836295-50836306(+)::chr1:50836294-50836306(+) TGAAGGAAATTC >mm10_chr1:51245595-51245606(+)::chr1:51245594-51245606(+) ACCAGGAAGGTC >mm10_chr1:51448788-51448799(-)::chr1:51448787-51448799(-) ggcaggaactag >mm10_chr1:51448801-51448812(-)::chr1:51448800-51448812(-) caaaggaagcta >mm10_chr1:51476573-51476584(-)::chr1:51476572-51476584(-) AGCAGGAAGTGA >mm10_chr1:51476601-51476612(-)::chr1:51476600-51476612(-) TTCAGGAAGTGA >mm10_chr1:51478613-51478624(-)::chr1:51478612-51478624(-) ACCCGGAAGACG >mm10_chr1:51486466-51486477(+)::chr1:51486465-51486477(+) AACAGGAAGGAA >mm10_chr1:51488671-51488682(+)::chr1:51488670-51488682(+) ccaaggaaggag >mm10_chr1:51488678-51488689(+)::chr1:51488677-51488689(+) aggaggaaggaa >mm10_chr1:51488682-51488693(+)::chr1:51488681-51488693(+) ggaaggaagagg >mm10_chr1:51488688-51488699(+)::chr1:51488687-51488699(+) aagaggaagagc >mm10_chr1:51498155-51498166(-)::chr1:51498154-51498166(-) TAAAGGAAGTTA >mm10_chr1:51509260-51509271(+)::chr1:51509259-51509271(+) AGAAGGAAGCCA >mm10_chr1:51514583-51514594(-)::chr1:51514582-51514594(-) AACAGGAAGACA >mm10_chr1:51525196-51525207(-)::chr1:51525195-51525207(-) GAGAGGAAGTGG >mm10_chr1:51529848-51529859(+)::chr1:51529847-51529859(+) ACAAGGAAGTTC >mm10_chr1:51529895-51529906(-)::chr1:51529894-51529906(-) GGAAGGAAGTAA >mm10_chr1:51531550-51531561(-)::chr1:51531549-51531561(-) GTGAGGAAGTGT >mm10_chr1:51531575-51531586(-)::chr1:51531574-51531586(-) TGCAGGAAGGCA >mm10_chr1:51537579-51537590(-)::chr1:51537578-51537590(-) ctaaggaaatgt >mm10_chr1:51537604-51537615(+)::chr1:51537603-51537615(+) agaaggaagtca >mm10_chr1:51547157-51547168(+)::chr1:51547156-51547168(+) TTCAGGAAGTCT >mm10_chr1:51547186-51547197(+)::chr1:51547185-51547197(+) aggaggaaataa >mm10_chr1:51577919-51577930(-)::chr1:51577918-51577930(-) ataaggaagggt >mm10_chr1:51585041-51585052(+)::chr1:51585040-51585052(+) agcaggaaatga >mm10_chr1:51616576-51616587(+)::chr1:51616575-51616587(+) TTAAGGAAGCAA >mm10_chr1:51616641-51616652(-)::chr1:51616640-51616652(-) ACCAGGAAATAA >mm10_chr1:51671498-51671509(-)::chr1:51671497-51671509(-) GGAAGGAAATGA >mm10_chr1:51671502-51671513(-)::chr1:51671501-51671513(-) GCCAGGAAGGAA >mm10_chr1:51682059-51682070(-)::chr1:51682058-51682070(-) ctgaggaagtac >mm10_chr1:51758830-51758841(-)::chr1:51758829-51758841(-) CCAAGGAAATTG >mm10_chr1:51762229-51762240(+)::chr1:51762228-51762240(+) ACAAGGAAATCC >mm10_chr1:51766218-51766229(+)::chr1:51766217-51766229(+) AGCAGGAAGACC >mm10_chr1:51766270-51766281(-)::chr1:51766269-51766281(-) ATAAGGAAATTT >mm10_chr1:51770548-51770559(-)::chr1:51770547-51770559(-) AGCAGGAAGTCC >mm10_chr1:51780681-51780692(+)::chr1:51780680-51780692(+) GGAAGGAAGCAC >mm10_chr1:51780689-51780700(-)::chr1:51780688-51780700(-) CAAAGGAAGTGC >mm10_chr1:51802932-51802943(-)::chr1:51802931-51802943(-) AGAAGGAACTGG >mm10_chr1:51802973-51802984(+)::chr1:51802972-51802984(+) ACCAGGAACTAG >mm10_chr1:51803013-51803024(-)::chr1:51803012-51803024(-) AAGAGGAAGAAA >mm10_chr1:51815753-51815764(+)::chr1:51815752-51815764(+) AACAGGAAGCCA >mm10_chr1:51815805-51815816(-)::chr1:51815804-51815816(-) GCGAGGAAATTC >mm10_chr1:51849687-51849698(-)::chr1:51849686-51849698(-) AGAAGGAAGTGT >mm10_chr1:51849694-51849705(-)::chr1:51849693-51849705(-) AGAAGGAAGAAG >mm10_chr1:51852109-51852120(+)::chr1:51852108-51852120(+) ACAAGGAAGCCA >mm10_chr1:51852421-51852432(+)::chr1:51852420-51852432(+) GCCAGGAAGAGG >mm10_chr1:51887471-51887482(+)::chr1:51887470-51887482(+) GGAAGGAAGGAA >mm10_chr1:51887475-51887486(+)::chr1:51887474-51887486(+) GGAAGGAAGGCC >mm10_chr1:51964826-51964837(+)::chr1:51964825-51964837(+) gccaggaaggag >mm10_chr1:51964833-51964844(+)::chr1:51964832-51964844(+) aggaggaagttt >mm10_chr1:52119351-52119362(+)::chr1:52119350-52119362(+) ACCAGGAAGGCC >mm10_chr1:52292933-52292944(+)::chr1:52292932-52292944(+) ACaaggaaggga >mm10_chr1:52292944-52292955(+)::chr1:52292943-52292955(+) aagaggaaatga >mm10_chr1:52292983-52292994(+)::chr1:52292982-52292994(+) aagaggaagaaa >mm10_chr1:52293403-52293414(+)::chr1:52293402-52293414(+) ACAAGGAAGAGT >mm10_chr1:52331525-52331536(+)::chr1:52331524-52331536(+) GCAAGGAAGTAA >mm10_chr1:52331538-52331549(+)::chr1:52331537-52331549(+) ACAGGGAAATAC >mm10_chr1:52473737-52473748(-)::chr1:52473736-52473748(-) ATAAGGAAGTGC >mm10_chr1:52500002-52500013(+)::chr1:52500001-52500013(+) AGTAGGAAGGGG >mm10_chr1:52502022-52502033(+)::chr1:52502021-52502033(+) ACAAGGAAATGT >mm10_chr1:52502070-52502081(-)::chr1:52502069-52502081(-) ACAAGGAAGGAC >mm10_chr1:52515376-52515387(+)::chr1:52515375-52515387(+) TACAGGAAGTGC >mm10_chr1:52521351-52521362(-)::chr1:52521350-52521362(-) TCTAGGAAGTGA >mm10_chr1:52547302-52547313(+)::chr1:52547301-52547313(+) acaaggaaggaa >mm10_chr1:52547306-52547317(+)::chr1:52547305-52547317(+) ggaaggaaggaa >mm10_chr1:52547310-52547321(+)::chr1:52547309-52547321(+) ggaaggaagaag >mm10_chr1:52547317-52547328(+)::chr1:52547316-52547328(+) agaaggaagAGT >mm10_chr1:52578672-52578683(+)::chr1:52578671-52578683(+) GAAGGGAAGTTG >mm10_chr1:52669479-52669490(+)::chr1:52669478-52669490(+) AGGAGGAAGAAA >mm10_chr1:52676028-52676039(+)::chr1:52676027-52676039(+) AGAAGGAAGTTG >mm10_chr1:52684716-52684727(+)::chr1:52684715-52684727(+) AGAAGCAAGTGG >mm10_chr1:52703234-52703245(+)::chr1:52703233-52703245(+) acaaGGAAGTTG >mm10_chr1:52717389-52717400(+)::chr1:52717388-52717400(+) acaaggaaaaag >mm10_chr1:52717410-52717421(+)::chr1:52717409-52717421(+) ataaggaaggat >mm10_chr1:52717649-52717660(+)::chr1:52717648-52717660(+) AAAGGGAAGGTA >mm10_chr1:52728491-52728502(+)::chr1:52728490-52728502(+) GTACGGAAGTGA >mm10_chr1:52743323-52743334(+)::chr1:52743322-52743334(+) TAAAGGAAATTT >mm10_chr1:52799308-52799319(-)::chr1:52799307-52799319(-) TGCAGGAAGTTA >mm10_chr1:52816545-52816556(-)::chr1:52816544-52816556(-) CTAAGGAAGAAA >mm10_chr1:52817559-52817570(+)::chr1:52817558-52817570(+) AAACGGAAGAGG >mm10_chr1:52856500-52856511(+)::chr1:52856499-52856511(+) accaggaaggca >mm10_chr1:52856536-52856547(+)::chr1:52856535-52856547(+) agcaggaagtcc >mm10_chr1:52864798-52864809(+)::chr1:52864797-52864809(+) ACAGGGAAGTGG >mm10_chr1:52864842-52864853(-)::chr1:52864841-52864853(-) ATGAGGAAGTTT >mm10_chr1:52887553-52887564(-)::chr1:52887552-52887564(-) atagggaagagg >mm10_chr1:52901246-52901257(-)::chr1:52901245-52901257(-) GCAAGGAAGTAA >mm10_chr1:52901783-52901794(+)::chr1:52901782-52901794(+) AGGAGGAAGCTG >mm10_chr1:52918676-52918687(-)::chr1:52918675-52918687(-) ATCAGGAAATGG >mm10_chr1:53297029-53297040(+)::chr1:53297028-53297040(+) AGCAGGAAGTGG >mm10_chr1:53352656-53352667(+)::chr1:53352655-53352667(+) GGAAGGAACGGC >mm10_chr1:53352964-53352975(-)::chr1:53352963-53352975(-) aggaggaagggg >mm10_chr1:53352986-53352997(-)::chr1:53352985-53352997(-) aagaggaagaag >mm10_chr1:53353037-53353048(-)::chr1:53353036-53353048(-) aagaggaagggg >mm10_chr1:53353043-53353054(-)::chr1:53353042-53353054(-) aggaggaagagg >mm10_chr1:53385586-53385597(-)::chr1:53385585-53385597(-) AGGAGGAAGAAC >mm10_chr1:53785570-53785581(-)::chr1:53785569-53785581(-) ACAAGGAAGATT >mm10_chr1:53785582-53785593(-)::chr1:53785581-53785593(-) GTAAGGAAGAGG >mm10_chr1:53813688-53813699(+)::chr1:53813687-53813699(+) aggaggaaggaa >mm10_chr1:53813692-53813703(+)::chr1:53813691-53813703(+) ggaaggaagaag >mm10_chr1:53815484-53815495(-)::chr1:53815483-53815495(-) ACGAGGAAATGT >mm10_chr1:53818265-53818276(+)::chr1:53818264-53818276(+) ATAAGGAAATTG >mm10_chr1:53818274-53818285(+)::chr1:53818273-53818285(+) TTGAGGAAGTGT >mm10_chr1:53838149-53838160(+)::chr1:53838148-53838160(+) AGCAGGAAATGG >mm10_chr1:53868706-53868717(-)::chr1:53868705-53868717(-) ATAAGGAAATCG >mm10_chr1:53933317-53933328(+)::chr1:53933316-53933328(+) aggaggaagcag >mm10_chr1:53933332-53933343(+)::chr1:53933331-53933343(+) agaaggaaggca >mm10_chr1:53933343-53933354(+)::chr1:53933342-53933354(+) agcaggaagggg >mm10_chr1:53933368-53933379(+)::chr1:53933367-53933379(+) aggaggaaggaT >mm10_chr1:53946050-53946061(+)::chr1:53946049-53946061(+) AAGAGGAAGGAT >mm10_chr1:53946054-53946065(+)::chr1:53946053-53946065(+) GGAAGGATGTGG >mm10_chr1:53946074-53946085(-)::chr1:53946073-53946085(-) GAAAGGAAGATG >mm10_chr1:53975186-53975197(+)::chr1:53975185-53975197(+) AGGAGGAAGAAG >mm10_chr1:53975208-53975219(+)::chr1:53975207-53975219(+) AGGAGGAAGGAA >mm10_chr1:53975212-53975223(+)::chr1:53975211-53975223(+) GGAAGGAAGCAG >mm10_chr1:54060415-54060426(-)::chr1:54060414-54060426(-) gaAGGGAAGTGG >mm10_chr1:54060420-54060431(-)::chr1:54060419-54060431(-) aagaggaAGGGA >mm10_chr1:54172318-54172329(+)::chr1:54172317-54172329(+) AAGAGGAAGGGT >mm10_chr1:54394789-54394800(+)::chr1:54394788-54394800(+) CTAAGGAAGAAG >mm10_chr1:54394796-54394807(+)::chr1:54394795-54394807(+) AGAAGGAAAAAG >mm10_chr1:54585634-54585645(-)::chr1:54585633-54585645(-) aacaggaagtgt >mm10_chr1:54838182-54838193(-)::chr1:54838181-54838193(-) AGAAGGAAAGTA >mm10_chr1:54898854-54898865(+)::chr1:54898853-54898865(+) ATGAGGAAGCAC >mm10_chr1:54898911-54898922(+)::chr1:54898910-54898922(+) AATAGGAAGGAG >mm10_chr1:54914649-54914660(-)::chr1:54914648-54914660(-) TTGAGGAAGTAA >mm10_chr1:54914681-54914692(+)::chr1:54914680-54914692(+) AAGAGGAAGTAG >mm10_chr1:54966063-54966074(-)::chr1:54966062-54966074(-) AGGAGGAAGATG >mm10_chr1:55026747-55026758(-)::chr1:55026746-55026758(-) AGGAGGAAGAGA >mm10_chr1:55027601-55027612(+)::chr1:55027600-55027612(+) ACGCGGAAGAGG >mm10_chr1:55028951-55028962(+)::chr1:55028950-55028962(+) GACAGGAAGGAG >mm10_chr1:55077001-55077012(-)::chr1:55077000-55077012(-) AACAGGAAGTGC >mm10_chr1:55131064-55131075(-)::chr1:55131063-55131075(-) AAAAGGAAGATG >mm10_chr1:55193610-55193621(+)::chr1:55193609-55193621(+) GCAAGGAAGGAG >mm10_chr1:55193640-55193651(+)::chr1:55193639-55193651(+) AAGAGGAAGGAG >mm10_chr1:55196776-55196787(+)::chr1:55196775-55196787(+) GACAGGAAGCGG >mm10_chr1:55196821-55196832(+)::chr1:55196820-55196832(+) GGAAGGAAGTTT >mm10_chr1:55206078-55206089(-)::chr1:55206077-55206089(-) CCAAGGAAGCAG >mm10_chr1:55210276-55210287(-)::chr1:55210275-55210287(-) AAAAGGAAGTTC >mm10_chr1:55226777-55226788(+)::chr1:55226776-55226788(+) CCgaggaagcag >mm10_chr1:55226800-55226811(+)::chr1:55226799-55226811(+) agagggaaggga >mm10_chr1:55226817-55226828(+)::chr1:55226816-55226828(+) gaaaggaaggag >mm10_chr1:55227009-55227020(+)::chr1:55227008-55227020(+) GAAAGGAAGTGA >mm10_chr1:55227045-55227056(+)::chr1:55227044-55227056(+) gagaggaagagg >mm10_chr1:55227051-55227062(+)::chr1:55227050-55227062(+) aagaggaagagg >mm10_chr1:55227057-55227068(+)::chr1:55227056-55227068(+) aagaggaagagg >mm10_chr1:55406832-55406843(-)::chr1:55406831-55406843(-) GAGAGGAAGTCA >mm10_chr1:55462827-55462838(+)::chr1:55462826-55462838(+) TGAAGGAACTTG >mm10_chr1:55512986-55512997(-)::chr1:55512985-55512997(-) GGAAGGAAATCC >mm10_chr1:55513005-55513016(-)::chr1:55513004-55513016(-) AGGAGGAAGCTG >mm10_chr1:55513062-55513073(-)::chr1:55513061-55513073(-) TCCAGGAAGTTG >mm10_chr1:55553521-55553532(-)::chr1:55553520-55553532(-) AAAAGGAAGTAC >mm10_chr1:55575817-55575828(+)::chr1:55575816-55575828(+) AAAAGGAAATGC >mm10_chr1:55617687-55617698(+)::chr1:55617686-55617698(+) tacaggaagcta >mm10_chr1:55646225-55646236(-)::chr1:55646224-55646236(-) GAAAGGAAGACT >mm10_chr1:55685603-55685614(-)::chr1:55685602-55685614(-) ACCAGGAAGTTC >mm10_chr1:55738669-55738680(-)::chr1:55738668-55738680(-) GTGAGGAAGAAC >mm10_chr1:55738685-55738696(-)::chr1:55738684-55738696(-) AGACGGAAGTAA >mm10_chr1:55738697-55738708(-)::chr1:55738696-55738708(-) ATAGGGAAGGAG >mm10_chr1:55808871-55808882(+)::chr1:55808870-55808882(+) ACCAGGAAGGAG >mm10_chr1:55808918-55808929(-)::chr1:55808917-55808929(-) GCAAGGAAGAAA >mm10_chr1:55838257-55838268(-)::chr1:55838256-55838268(-) AGCAGGAAGTAC >mm10_chr1:56130067-56130078(+)::chr1:56130066-56130078(+) TAAAGGAAGTAT >mm10_chr1:56246059-56246070(-)::chr1:56246058-56246070(-) AGAAGGAAGCAG >mm10_chr1:56375230-56375241(+)::chr1:56375229-56375241(+) ctcaggaagttc >mm10_chr1:56401991-56402002(+)::chr1:56401990-56402002(+) CAAAGGAAGTAC >mm10_chr1:56402034-56402045(+)::chr1:56402033-56402045(+) TAAAGGAAGGAT >mm10_chr1:56402990-56403001(-)::chr1:56402989-56403001(-) AATAGGAAGCAT >mm10_chr1:56433424-56433435(-)::chr1:56433423-56433435(-) ATAAggaagatg >mm10_chr1:56439856-56439867(+)::chr1:56439855-56439867(+) GGAAGGAAGCCT >mm10_chr1:56439920-56439931(-)::chr1:56439919-56439931(-) GGAAGGAAGATT >mm10_chr1:56439932-56439943(-)::chr1:56439931-56439943(-) TAGAGGAAGTGT >mm10_chr1:56483025-56483036(+)::chr1:56483024-56483036(+) ATAAGGAAGTTC >mm10_chr1:56483064-56483075(+)::chr1:56483063-56483075(+) GAAAGGAACTAA >mm10_chr1:56769468-56769479(-)::chr1:56769467-56769479(-) AAGAGGAAGAAA >mm10_chr1:56796908-56796919(-)::chr1:56796907-56796919(-) ATAAGGACGAGG >mm10_chr1:56953062-56953073(-)::chr1:56953061-56953073(-) AAAAGGAAGTGG >mm10_chr1:56971214-56971225(-)::chr1:56971213-56971225(-) GAAAGGAAGACT >mm10_chr1:57006477-57006488(+)::chr1:57006476-57006488(+) AACCGGAAGTTA >mm10_chr1:57149484-57149495(-)::chr1:57149483-57149495(-) TTCAGGAAGTTT >mm10_chr1:57214499-57214510(-)::chr1:57214498-57214510(-) AGCAGGAAGCAG >mm10_chr1:57214506-57214517(-)::chr1:57214505-57214517(-) GGGAGGAAGCAG >mm10_chr1:57215180-57215191(-)::chr1:57215179-57215191(-) AGCAGGAAGGCC >mm10_chr1:57224670-57224681(+)::chr1:57224669-57224681(+) GCCAGGAAGAAC >mm10_chr1:57331343-57331354(+)::chr1:57331342-57331354(+) TACAGGAAGGGC >mm10_chr1:57331360-57331371(+)::chr1:57331359-57331371(+) AGCAGGAAGCAA >mm10_chr1:57338976-57338987(+)::chr1:57338975-57338987(+) GACAGGAAGATG >mm10_chr1:57429919-57429930(+)::chr1:57429918-57429930(+) GGAAGGAAGAGG >mm10_chr1:57444550-57444561(+)::chr1:57444549-57444561(+) AGGAGGAAGCCA >mm10_chr1:57669374-57669385(-)::chr1:57669373-57669385(-) atagggaagagg >mm10_chr1:57970070-57970081(+)::chr1:57970069-57970081(+) ACGCGGAAGTAA >mm10_chr1:57995927-57995938(-)::chr1:57995926-57995938(-) AGACGGAAGGGG >mm10_chr1:57995940-57995951(-)::chr1:57995939-57995951(-) ACCCGGAAGTGG >mm10_chr1:58030831-58030842(+)::chr1:58030830-58030842(+) CCAAGGAAGGTT >mm10_chr1:58101637-58101648(-)::chr1:58101636-58101648(-) TTCAGGAAGTGT >mm10_chr1:58114833-58114844(-)::chr1:58114832-58114844(-) TTGAGGAAGTTG >mm10_chr1:58114854-58114865(-)::chr1:58114853-58114865(-) GAGAGGAAGTGA >mm10_chr1:58115194-58115205(+)::chr1:58115193-58115205(+) AGCAGGAAGAGG >mm10_chr1:58296399-58296410(-)::chr1:58296398-58296410(-) AAAAGGCAGTGG >mm10_chr1:58296441-58296452(+)::chr1:58296440-58296452(+) CAAAGGAAGCCA >mm10_chr1:58315744-58315755(-)::chr1:58315743-58315755(-) CCCAGGAAGTTC >mm10_chr1:58347003-58347014(+)::chr1:58347002-58347014(+) atgaggaagact >mm10_chr1:58367076-58367087(-)::chr1:58367075-58367087(-) GAGAGGAAGGGG >mm10_chr1:58434163-58434174(+)::chr1:58434162-58434174(+) gagaggaaggga >mm10_chr1:58434190-58434201(-)::chr1:58434189-58434201(-) CTGAGGAAGTTA >mm10_chr1:58445598-58445609(+)::chr1:58445597-58445609(+) GAGCGGAAGTGA >mm10_chr1:58458248-58458259(-)::chr1:58458247-58458259(-) atgaggaagaag >mm10_chr1:58458304-58458315(-)::chr1:58458303-58458315(-) aggaggaagaga >mm10_chr1:58483830-58483841(+)::chr1:58483829-58483841(+) GGCAGGAAGCCG >mm10_chr1:58487523-58487534(+)::chr1:58487522-58487534(+) acaaggaagtaa >mm10_chr1:58541522-58541533(+)::chr1:58541521-58541533(+) atgaggaagagc >mm10_chr1:58597527-58597538(+)::chr1:58597526-58597538(+) tccaggaagtac >mm10_chr1:58610417-58610428(+)::chr1:58610416-58610428(+) GCAAGGATGTAA >mm10_chr1:58709161-58709172(-)::chr1:58709160-58709172(-) atagggaagtta >mm10_chr1:58713239-58713250(+)::chr1:58713238-58713250(+) AGGCGGAAGTTG >mm10_chr1:58713261-58713272(-)::chr1:58713260-58713272(-) TACAGGAAGCCA >mm10_chr1:58728241-58728252(-)::chr1:58728240-58728252(-) TTAGGGAAGTGA >mm10_chr1:58745242-58745253(-)::chr1:58745241-58745253(-) ACAGGGAAGTCT >mm10_chr1:58745281-58745292(-)::chr1:58745280-58745292(-) ATAGGGAAGAAA >mm10_chr1:58745296-58745307(-)::chr1:58745295-58745307(-) ACCAGGAAGAGA >mm10_chr1:58761625-58761636(+)::chr1:58761624-58761636(+) AGCAGGAAGAGG >mm10_chr1:58795377-58795388(+)::chr1:58795376-58795388(+) ATAAGGAAGTGT >mm10_chr1:58802567-58802578(+)::chr1:58802566-58802578(+) AGCAGGAAGTGT >mm10_chr1:58823761-58823772(-)::chr1:58823760-58823772(-) AACAGGAAGTTC >mm10_chr1:58824103-58824114(+)::chr1:58824102-58824114(+) TGGAGGAAGGCA >mm10_chr1:58956382-58956393(-)::chr1:58956381-58956393(-) GCCAGGAAGTGT >mm10_chr1:58961320-58961331(-)::chr1:58961319-58961331(-) TGGAGGAAGTGT >mm10_chr1:58962655-58962666(-)::chr1:58962654-58962666(-) ATAAGGAACAGG >mm10_chr1:58962698-58962709(-)::chr1:58962697-58962709(-) CAAAGGAAGAGA >mm10_chr1:58969133-58969144(+)::chr1:58969132-58969144(+) ACCAGGAAATCC >mm10_chr1:59037250-59037261(-)::chr1:59037249-59037261(-) GTAATGAAGTAG >mm10_chr1:59157743-59157754(-)::chr1:59157742-59157754(-) AGGAGGAAATGG >mm10_chr1:59316643-59316654(-)::chr1:59316642-59316654(-) ATGAGGAAATCA >mm10_chr1:59439917-59439928(+)::chr1:59439916-59439928(+) TAGAGGAAGGAA >mm10_chr1:59439921-59439932(+)::chr1:59439920-59439932(+) GGAAGGAACTTG >mm10_chr1:59446121-59446132(+)::chr1:59446120-59446132(+) GAAAGGAAGGAA >mm10_chr1:59446125-59446136(+)::chr1:59446124-59446136(+) GGAAGGAAGCTC >mm10_chr1:59446420-59446431(+)::chr1:59446419-59446431(+) AGAAGGAAGTAG >mm10_chr1:59446435-59446446(+)::chr1:59446434-59446446(+) TGGCGGAAGTGA >mm10_chr1:59446649-59446660(+)::chr1:59446648-59446660(+) tagaggaaGAAA >mm10_chr1:59449692-59449703(+)::chr1:59449691-59449703(+) ACGAGGAAGGCG >mm10_chr1:59481952-59481963(-)::chr1:59481951-59481963(-) ACAAGGAAGGCG >mm10_chr1:59488442-59488453(-)::chr1:59488441-59488453(-) aggaggaagagg >mm10_chr1:59516870-59516881(-)::chr1:59516869-59516881(-) GGGAGGAAGTGA >mm10_chr1:59566162-59566173(-)::chr1:59566161-59566173(-) ACAAGGAAGTTG >mm10_chr1:59593491-59593502(-)::chr1:59593490-59593502(-) GTCAGGAAGGAG >mm10_chr1:59634147-59634158(+)::chr1:59634146-59634158(+) AACAGGAAGAGG >mm10_chr1:59634153-59634164(+)::chr1:59634152-59634164(+) AAGAGGAAGCAG >mm10_chr1:59670867-59670878(+)::chr1:59670866-59670878(+) AAAAGGAAGCGG >mm10_chr1:59670888-59670899(+)::chr1:59670887-59670899(+) GTAAGGAAGGCC >mm10_chr1:59684465-59684476(+)::chr1:59684464-59684476(+) ACCAGGAAATGT >mm10_chr1:59687679-59687690(-)::chr1:59687678-59687690(-) ATGAGGAAGTAG >mm10_chr1:59749105-59749116(+)::chr1:59749104-59749116(+) ataaggaagttt >mm10_chr1:59749116-59749127(+)::chr1:59749115-59749127(+) tagaggaagagt >mm10_chr1:59766708-59766719(-)::chr1:59766707-59766719(-) AGGAGGAAGaga >mm10_chr1:59766750-59766761(-)::chr1:59766749-59766761(-) GAGAGGAAGTTG >mm10_chr1:59789987-59789998(-)::chr1:59789986-59789998(-) ACAGGGAAGTGT >mm10_chr1:59795590-59795601(+)::chr1:59795589-59795601(+) agcaggaagtga >mm10_chr1:59798027-59798038(+)::chr1:59798026-59798038(+) AACAGGAAATAG >mm10_chr1:59798058-59798069(+)::chr1:59798057-59798069(+) AACAGGAAGGTT >mm10_chr1:59804391-59804402(-)::chr1:59804390-59804402(-) ATAAGGAAAGAG >mm10_chr1:59849227-59849238(-)::chr1:59849226-59849238(-) ctcaggaagtat >mm10_chr1:59879448-59879459(+)::chr1:59879447-59879459(+) AAGAGGAAGAAA >mm10_chr1:59879498-59879509(+)::chr1:59879497-59879509(+) ACAAGGAAATAA >mm10_chr1:60073431-60073442(+)::chr1:60073430-60073442(+) AGCAGGAAGTCA >mm10_chr1:60076738-60076749(+)::chr1:60076737-60076749(+) tgcaggaaatgg >mm10_chr1:60169870-60169881(+)::chr1:60169869-60169881(+) agcaggaagatg >mm10_chr1:60250366-60250377(-)::chr1:60250365-60250377(-) TGAAGGAACGGA >mm10_chr1:60422750-60422761(-)::chr1:60422749-60422761(-) GCAAGGAAGTGG >mm10_chr1:60424622-60424633(+)::chr1:60424621-60424633(+) agaaggaagtag >mm10_chr1:60424647-60424658(-)::chr1:60424646-60424658(-) ttaaggaagtcc >mm10_chr1:60515060-60515071(+)::chr1:60515059-60515071(+) TGGAGGAAGATG >mm10_chr1:60545766-60545777(-)::chr1:60545765-60545777(-) AATAGGAAGGTA >mm10_chr1:60568513-60568524(+)::chr1:60568512-60568524(+) ACAAGGAAGAGT >mm10_chr1:60568538-60568549(-)::chr1:60568537-60568549(-) GTAAGGAAGTTT >mm10_chr1:60580159-60580170(+)::chr1:60580158-60580170(+) aagaggaaggca >mm10_chr1:60622003-60622014(-)::chr1:60622002-60622014(-) ATAAGGAAGTAA >mm10_chr1:60622630-60622641(+)::chr1:60622629-60622641(+) agcaggaagact >mm10_chr1:60622667-60622678(+)::chr1:60622666-60622678(+) acaaggaagaag >mm10_chr1:60625906-60625917(-)::chr1:60625905-60625917(-) AGCAGTAAGTAT >mm10_chr1:60652643-60652654(-)::chr1:60652642-60652654(-) AACAGGAAGTCT >mm10_chr1:60668042-60668053(+)::chr1:60668041-60668053(+) ACAAGGAAGCAG >mm10_chr1:60716093-60716104(-)::chr1:60716092-60716104(-) ATCAGGAAGAAA >mm10_chr1:60755971-60755982(+)::chr1:60755970-60755982(+) GAAAGGAAGCTA >mm10_chr1:60785792-60785803(-)::chr1:60785791-60785803(-) gaaaggaaatgt >mm10_chr1:60793188-60793199(+)::chr1:60793187-60793199(+) ggtaggaagaca >mm10_chr1:60799034-60799045(-)::chr1:60799033-60799045(-) attaggaagtcc >mm10_chr1:60800002-60800013(-)::chr1:60800001-60800013(-) AGAAGGAAGCAG >mm10_chr1:60801990-60802001(+)::chr1:60801989-60802001(+) ATGAGGAAGAGA >mm10_chr1:60803693-60803704(-)::chr1:60803692-60803704(-) tcaaggaagggt >mm10_chr1:60825851-60825862(-)::chr1:60825850-60825862(-) TAAAGGAAGAGG >mm10_chr1:60852557-60852568(+)::chr1:60852556-60852568(+) ATGAGGAAGTAA >mm10_chr1:60857769-60857780(+)::chr1:60857768-60857780(+) GCCAGGAAGTCG >mm10_chr1:60857810-60857821(+)::chr1:60857809-60857821(+) AGGAGGAAGAGG >mm10_chr1:60857816-60857827(+)::chr1:60857815-60857827(+) AAGAGGAAGTCA >mm10_chr1:60960757-60960768(-)::chr1:60960756-60960768(-) AGAAGGAAGCCA >mm10_chr1:60960792-60960803(-)::chr1:60960791-60960803(-) AGGAGGAAGTGT >mm10_chr1:61232591-61232602(+)::chr1:61232590-61232602(+) ACCAGGAAGTCA >mm10_chr1:61232619-61232630(-)::chr1:61232618-61232630(-) TGGAGGAAGAGC >mm10_chr1:61309675-61309686(-)::chr1:61309674-61309686(-) AGAAGGAAGTGA >mm10_chr1:61565640-61565651(-)::chr1:61565639-61565651(-) GAAAGGAAGAAC >mm10_chr1:61744724-61744735(+)::chr1:61744723-61744735(+) AACAGGAAGTGG >mm10_chr1:61772245-61772256(-)::chr1:61772244-61772256(-) AAGAGGAAATGC >mm10_chr1:61817221-61817232(-)::chr1:61817220-61817232(-) CTCAGGAAGTTT >mm10_chr1:61817234-61817245(+)::chr1:61817233-61817245(+) AGGAGGAAGATT >mm10_chr1:62075160-62075171(+)::chr1:62075159-62075171(+) TGGAGGAAGTGA >mm10_chr1:62075209-62075220(+)::chr1:62075208-62075220(+) AGAAGGAAAGTA >mm10_chr1:62106373-62106384(+)::chr1:62106372-62106384(+) gggaggaagaag >mm10_chr1:62106400-62106411(+)::chr1:62106399-62106411(+) ggaaggaaggaa >mm10_chr1:62106404-62106415(+)::chr1:62106403-62106415(+) ggaaggaagaag >mm10_chr1:62106411-62106422(+)::chr1:62106410-62106422(+) agaaggaaggaa >mm10_chr1:62106415-62106426(+)::chr1:62106414-62106426(+) ggaaggaaggTT >mm10_chr1:62171537-62171548(+)::chr1:62171536-62171548(+) TGGAGGAAGGAC >mm10_chr1:62171550-62171561(-)::chr1:62171549-62171561(-) AGCAGGACGTGT >mm10_chr1:62171565-62171576(-)::chr1:62171564-62171576(-) GCGAGGAAGGAC >mm10_chr1:62181883-62181894(-)::chr1:62181882-62181894(-) GGAAGGAAGCTG >mm10_chr1:62181887-62181898(-)::chr1:62181886-62181898(-) GCCAGGAAGGAA >mm10_chr1:62216144-62216155(+)::chr1:62216143-62216155(+) AGAAGGCAGTTG >mm10_chr1:62216175-62216186(+)::chr1:62216174-62216186(+) TCAAGGAAATCC >mm10_chr1:62234242-62234253(+)::chr1:62234241-62234253(+) GTGAGGAAGGAC >mm10_chr1:62244810-62244821(+)::chr1:62244809-62244821(+) tgtaggaagttt >mm10_chr1:62244849-62244860(-)::chr1:62244848-62244860(-) ACGAGGAAATAT >mm10_chr1:62334275-62334286(-)::chr1:62334274-62334286(-) AGGAGGAAGGAG >mm10_chr1:62334282-62334293(-)::chr1:62334281-62334293(-) AGAAGGAAGGAG >mm10_chr1:62341058-62341069(-)::chr1:62341057-62341069(-) ACAAGGAAGGTC >mm10_chr1:62341105-62341116(-)::chr1:62341104-62341116(-) AGGAGGAAGAAA >mm10_chr1:62483173-62483184(+)::chr1:62483172-62483184(+) ttgaggaagttg >mm10_chr1:62483209-62483220(+)::chr1:62483208-62483220(+) agcaggaaggaa >mm10_chr1:62483224-62483235(+)::chr1:62483223-62483235(+) ggcaggaagtga >mm10_chr1:62564433-62564444(+)::chr1:62564432-62564444(+) AACAGGAAGCCG >mm10_chr1:62570262-62570273(-)::chr1:62570261-62570273(-) GTCAGGAAGTAG >mm10_chr1:62595626-62595637(-)::chr1:62595625-62595637(-) GGGAGGAAATGG >mm10_chr1:62697090-62697101(-)::chr1:62697089-62697101(-) AAAAGGAAATGA >mm10_chr1:62697120-62697131(-)::chr1:62697119-62697131(-) AAAGGGAAGCGC >mm10_chr1:62703964-62703975(-)::chr1:62703963-62703975(-) CTAAGGAAGCGG >mm10_chr1:62724734-62724745(-)::chr1:62724733-62724745(-) GCCAGGAAATAG >mm10_chr1:62724784-62724795(+)::chr1:62724783-62724795(+) AGCAGGAAGCAG >mm10_chr1:62731770-62731781(+)::chr1:62731769-62731781(+) GGAAGGAAGGTT >mm10_chr1:62736739-62736750(-)::chr1:62736738-62736750(-) GAAAGGAAGCTT >mm10_chr1:62739837-62739848(+)::chr1:62739836-62739848(+) GAGAGGAAGAAG >mm10_chr1:62751194-62751205(+)::chr1:62751193-62751205(+) AAGAGGAAGTGG >mm10_chr1:62758813-62758824(+)::chr1:62758812-62758824(+) TGAAGGAAGAAA >mm10_chr1:62760290-62760301(+)::chr1:62760289-62760301(+) CTAAGGAACTAA >mm10_chr1:62770672-62770683(+)::chr1:62770671-62770683(+) GAAGGGAAGTAA >mm10_chr1:62770698-62770709(-)::chr1:62770697-62770709(-) GTGAGGAAGTGG >mm10_chr1:62771716-62771727(-)::chr1:62771715-62771727(-) TGAAGGAAGTTG >mm10_chr1:62877764-62877775(+)::chr1:62877763-62877775(+) AGCAGGAAGTTG >mm10_chr1:62877783-62877794(+)::chr1:62877782-62877794(+) GGGAGGAAGGGC >mm10_chr1:62877811-62877822(+)::chr1:62877810-62877822(+) GGCAGGAAGTTG >mm10_chr1:63035918-63035929(-)::chr1:63035917-63035929(-) ATAATGAAGTGA >mm10_chr1:63085036-63085047(+)::chr1:63085035-63085047(+) TAAAGGAAGCCC >mm10_chr1:63088045-63088056(+)::chr1:63088044-63088056(+) AGAAGGAAGAGG >mm10_chr1:63088051-63088062(+)::chr1:63088050-63088062(+) AAGAGGAAGTAG >mm10_chr1:63182372-63182383(+)::chr1:63182371-63182383(+) tcaaggaagacc >mm10_chr1:63188689-63188700(+)::chr1:63188688-63188700(+) ACAAGGAACTTA >mm10_chr1:63854873-63854884(+)::chr1:63854872-63854884(+) ATCAGGAAATCA >mm10_chr1:63909289-63909300(-)::chr1:63909288-63909300(-) aagaggaagaat >mm10_chr1:63929500-63929511(+)::chr1:63929499-63929511(+) AAGAGGAAGTGC >mm10_chr1:63937718-63937729(-)::chr1:63937717-63937729(-) ACCAGGAAGTGT >mm10_chr1:63956752-63956763(+)::chr1:63956751-63956763(+) TAAAGGAAGAAT >mm10_chr1:63956785-63956796(+)::chr1:63956784-63956796(+) TACAGGAAGCAG >mm10_chr1:63956792-63956803(+)::chr1:63956791-63956803(+) AGCAGGAACTGA >mm10_chr1:63992673-63992684(+)::chr1:63992672-63992684(+) gagaggaaggac >mm10_chr1:63992738-63992749(+)::chr1:63992737-63992749(+) gtcaggaaggaa >mm10_chr1:64021201-64021212(+)::chr1:64021200-64021212(+) AGGAGGAAATGT >mm10_chr1:64035366-64035377(-)::chr1:64035365-64035377(-) AGAAGGAAGCCA >mm10_chr1:64088868-64088879(+)::chr1:64088867-64088879(+) TACAGGAAGAGT >mm10_chr1:64088883-64088894(-)::chr1:64088882-64088894(-) CTGAGGAAGTAC >mm10_chr1:64089723-64089734(+)::chr1:64089722-64089734(+) TAGAGGAAGTTT >mm10_chr1:64104831-64104842(+)::chr1:64104830-64104842(+) agcaggaagtgt >mm10_chr1:64106067-64106078(+)::chr1:64106066-64106078(+) GAAAGGAAGGAG >mm10_chr1:64106074-64106085(+)::chr1:64106073-64106085(+) AGGAGGAAGCAT >mm10_chr1:64189659-64189670(+)::chr1:64189658-64189670(+) gacaggaagcag >mm10_chr1:64189708-64189719(-)::chr1:64189707-64189719(-) aggaggaagtga >mm10_chr1:64190557-64190568(-)::chr1:64190556-64190568(-) aggaggaaatgg >mm10_chr1:64190568-64190579(-)::chr1:64190567-64190579(-) Caaaggaaatca >mm10_chr1:64195384-64195395(+)::chr1:64195383-64195395(+) ACGAGGAAGGAA >mm10_chr1:64195388-64195399(+)::chr1:64195387-64195399(+) GGAAGGAAGAAG >mm10_chr1:64222657-64222668(+)::chr1:64222656-64222668(+) AGGAGGAAGTAA >mm10_chr1:64223383-64223394(-)::chr1:64223382-64223394(-) AAGAGGAAGGAA >mm10_chr1:64223389-64223400(-)::chr1:64223388-64223400(-) AAAAGGAAGAGG >mm10_chr1:64284676-64284687(+)::chr1:64284675-64284687(+) AGTAGGAAATAC >mm10_chr1:64284997-64285008(+)::chr1:64284996-64285008(+) GAGAGGAAAtcg >mm10_chr1:64295475-64295486(-)::chr1:64295474-64295486(-) aggaggaagagg >mm10_chr1:64301602-64301613(-)::chr1:64301601-64301613(-) aaagggaagtcc >mm10_chr1:64301643-64301654(+)::chr1:64301642-64301654(+) ataaggaaattc >mm10_chr1:64301664-64301675(+)::chr1:64301663-64301675(+) atcaggaagact >mm10_chr1:64301674-64301685(-)::chr1:64301673-64301685(-) aggaggaagaag >mm10_chr1:64308630-64308641(+)::chr1:64308629-64308641(+) GGCAGGAAGTTG >mm10_chr1:64308689-64308700(-)::chr1:64308688-64308700(-) AGGAGGAAGTGT >mm10_chr1:64376410-64376421(+)::chr1:64376409-64376421(+) GGAAGGAAATGG >mm10_chr1:64376443-64376454(+)::chr1:64376442-64376454(+) GAAAGGAAGTCA >mm10_chr1:64381981-64381992(-)::chr1:64381980-64381992(-) ACAAGGAAGCAT >mm10_chr1:64382023-64382034(-)::chr1:64382022-64382034(-) ATAGGGAAGAGA >mm10_chr1:64408325-64408336(+)::chr1:64408324-64408336(+) aaagggaagtaa >mm10_chr1:64419248-64419259(+)::chr1:64419247-64419259(+) ATGAGGAAGCTA >mm10_chr1:64444462-64444473(+)::chr1:64444461-64444473(+) TTAAGGAAGAAA >mm10_chr1:64447179-64447190(-)::chr1:64447178-64447190(-) AACAGGAAGCAA >mm10_chr1:64482318-64482329(-)::chr1:64482317-64482329(-) ttgaggaagtct >mm10_chr1:64482331-64482342(-)::chr1:64482330-64482342(-) ggaaggaagttg >mm10_chr1:64531996-64532007(+)::chr1:64531995-64532007(+) TATAGGAAGAGG >mm10_chr1:64717617-64717628(+)::chr1:64717616-64717628(+) TTTAGGAAGTGA >mm10_chr1:64766858-64766869(-)::chr1:64766857-64766869(-) ACGAGGAAGTGT >mm10_chr1:64766867-64766878(-)::chr1:64766866-64766878(-) AACAGGAAGACG >mm10_chr1:64767249-64767260(-)::chr1:64767248-64767260(-) ATAAGGAAGAGA >mm10_chr1:64789977-64789988(-)::chr1:64789976-64789988(-) ACCAGGAAATAC >mm10_chr1:64793260-64793271(+)::chr1:64793259-64793271(+) CAAAGGAAATGG >mm10_chr1:64797437-64797448(-)::chr1:64797436-64797448(-) AGGAGGAAGTTG >mm10_chr1:64842854-64842865(+)::chr1:64842853-64842865(+) GGAAGGAACGAA >mm10_chr1:64850193-64850204(-)::chr1:64850192-64850204(-) ACCAGGAAGTAC >mm10_chr1:64876463-64876474(-)::chr1:64876462-64876474(-) GAAAGGAAGTTA >mm10_chr1:64931674-64931685(+)::chr1:64931673-64931685(+) ccaaggaagagc >mm10_chr1:64941968-64941979(+)::chr1:64941967-64941979(+) AAACGGAAATAG >mm10_chr1:64941993-64942004(+)::chr1:64941992-64942004(+) GATAGGAAGAAA >mm10_chr1:65037744-65037755(-)::chr1:65037743-65037755(-) ggcaggaagtaa >mm10_chr1:65090513-65090524(+)::chr1:65090512-65090524(+) aggaggaaggca >mm10_chr1:65111018-65111029(-)::chr1:65111017-65111029(-) tgtaggaagtgc >mm10_chr1:65113718-65113729(+)::chr1:65113717-65113729(+) AGCAGGAAGCCA >mm10_chr1:65113752-65113763(-)::chr1:65113751-65113763(-) GGAAGGAACTAC >mm10_chr1:65113756-65113767(-)::chr1:65113755-65113767(-) TGAAGGAAGGAA >mm10_chr1:65118665-65118676(+)::chr1:65118664-65118676(+) TCGAGGAAGAGA >mm10_chr1:65177730-65177741(+)::chr1:65177729-65177741(+) CTAAGGAAGTAG >mm10_chr1:65186665-65186676(+)::chr1:65186664-65186676(+) AGGCGGAAGCGA >mm10_chr1:65197985-65197996(+)::chr1:65197984-65197996(+) AACAGGAAGTta >mm10_chr1:65230758-65230769(-)::chr1:65230757-65230769(-) AAGAGGAAGGAG >mm10_chr1:65249065-65249076(-)::chr1:65249064-65249076(-) acatggaagtag >mm10_chr1:65422357-65422368(-)::chr1:65422356-65422368(-) AACAGGAAGTGT >mm10_chr1:65422372-65422383(-)::chr1:65422371-65422383(-) TGTAGGAAGGTA >mm10_chr1:65422406-65422417(-)::chr1:65422405-65422417(-) GGTAGGAAGAGG >mm10_chr1:65473340-65473351(+)::chr1:65473339-65473351(+) atgaggaaattg >mm10_chr1:65536747-65536758(-)::chr1:65536746-65536758(-) TAAAGGAATTGG >mm10_chr1:65677647-65677658(+)::chr1:65677646-65677658(+) tgaaggaaataa >mm10_chr1:66100139-66100150(-)::chr1:66100138-66100150(-) AAAAAGAAGTAT >mm10_chr1:66101545-66101556(-)::chr1:66101544-66101556(-) AAGAGGAAGAAC >mm10_chr1:66114151-66114162(-)::chr1:66114150-66114162(-) ACAAGGAAGTTA >mm10_chr1:66175030-66175041(+)::chr1:66175029-66175041(+) GGAAGGAAGGAG >mm10_chr1:66175526-66175537(-)::chr1:66175525-66175537(-) GGGAGGAAGGAC >mm10_chr1:66187093-66187104(+)::chr1:66187092-66187104(+) TAAAGGAAGTGA >mm10_chr1:66187165-66187176(-)::chr1:66187164-66187176(-) AACAGGAAGGCA >mm10_chr1:66226524-66226535(-)::chr1:66226523-66226535(-) ATAAGCAAGTGG >mm10_chr1:66226548-66226559(-)::chr1:66226547-66226559(-) AGCAGGATGTAT >mm10_chr1:66308234-66308245(-)::chr1:66308233-66308245(-) AGCAGGAAGGTG >mm10_chr1:66426087-66426098(-)::chr1:66426086-66426098(-) AGGAGGAAGGAT >mm10_chr1:66590174-66590185(-)::chr1:66590173-66590185(-) AAAAGGAAGGAA >mm10_chr1:66608365-66608376(-)::chr1:66608364-66608376(-) CCAAGGAAGAGT >mm10_chr1:66608390-66608401(+)::chr1:66608389-66608401(+) AGAAGGAAGTCC >mm10_chr1:66617414-66617425(+)::chr1:66617413-66617425(+) AACAGGAAGCAA >mm10_chr1:66644054-66644065(-)::chr1:66644053-66644065(-) ACAAGGAAGGGA >mm10_chr1:66644288-66644299(+)::chr1:66644287-66644299(+) TGGAGGAAGTAG >mm10_chr1:66650182-66650193(+)::chr1:66650181-66650193(+) CATAGGAAGTGA >mm10_chr1:66650205-66650216(+)::chr1:66650204-66650216(+) TCCAGGAAGTTG >mm10_chr1:66679355-66679366(+)::chr1:66679354-66679366(+) ATAAGGAAGCTA >mm10_chr1:66700755-66700766(-)::chr1:66700754-66700766(-) GCGAGGAAGTCC >mm10_chr1:66759719-66759730(-)::chr1:66759718-66759730(-) AGGAGGAAGACA >mm10_chr1:66814915-66814926(-)::chr1:66814914-66814926(-) GGAAGGCAGTGT >mm10_chr1:66814919-66814930(-)::chr1:66814918-66814930(-) AACAGGAAGGCA >mm10_chr1:66824841-66824852(-)::chr1:66824840-66824852(-) taaaggaagcta >mm10_chr1:66824878-66824889(-)::chr1:66824877-66824889(-) accaggaagtgt >mm10_chr1:66861242-66861253(+)::chr1:66861241-66861253(+) actaggaagaga >mm10_chr1:66861291-66861302(-)::chr1:66861290-66861302(-) tgtaggaagtaa >mm10_chr1:66867990-66868001(+)::chr1:66867989-66868001(+) accaggaagcac >mm10_chr1:66884599-66884610(-)::chr1:66884598-66884610(-) atcaggaagttg >mm10_chr1:66928980-66928991(-)::chr1:66928979-66928991(-) ACGAGGAAATTG >mm10_chr1:67022593-67022604(-)::chr1:67022592-67022604(-) AGGAGGAAGAGG >mm10_chr1:67022658-67022669(-)::chr1:67022657-67022669(-) TGCAGGAAGAAA >mm10_chr1:67146873-67146884(-)::chr1:67146872-67146884(-) tccaggaagtac >mm10_chr1:67147167-67147178(+)::chr1:67147166-67147178(+) GGCAGGAAGACT >mm10_chr1:67398099-67398110(-)::chr1:67398098-67398110(-) CTGAGGAAGTGA >mm10_chr1:67429334-67429345(+)::chr1:67429333-67429345(+) AGAAGGAAGGAG >mm10_chr1:67477290-67477301(-)::chr1:67477289-67477301(-) ACAAGGAAGTGA >mm10_chr1:67482277-67482288(-)::chr1:67482276-67482288(-) agacggaagcaa >mm10_chr1:67546576-67546587(-)::chr1:67546575-67546587(-) AGAAGGAAGTAA >mm10_chr1:67546590-67546601(+)::chr1:67546589-67546601(+) ACGTGGAAGTCG >mm10_chr1:67546616-67546627(-)::chr1:67546615-67546627(-) AGAAGGAAGTAA >mm10_chr1:67972068-67972079(-)::chr1:67972067-67972079(-) taaaggaagtgt >mm10_chr1:67972298-67972309(+)::chr1:67972297-67972309(+) agaaggaaacaa >mm10_chr1:68034749-68034760(+)::chr1:68034748-68034760(+) CCAAGGAAGGCC >mm10_chr1:68834948-68834959(+)::chr1:68834947-68834959(+) ATCAGGAAATGG >mm10_chr1:68841568-68841579(+)::chr1:68841567-68841579(+) AGAAGGAAGAGG >mm10_chr1:68841586-68841597(+)::chr1:68841585-68841597(+) ACAAGGAAAAAC >mm10_chr1:68841603-68841614(+)::chr1:68841602-68841614(+) AAAAGGAAGAGG >mm10_chr1:68841609-68841620(+)::chr1:68841608-68841620(+) AAGAGGAAGAGA >mm10_chr1:68841638-68841649(+)::chr1:68841637-68841649(+) AGGAGGAAGTTG >mm10_chr1:68935482-68935493(+)::chr1:68935481-68935493(+) AGGAGGAAATGT >mm10_chr1:68974087-68974098(-)::chr1:68974086-68974098(-) TGCAGGAAATGA >mm10_chr1:69100443-69100454(-)::chr1:69100442-69100454(-) ggcaggaagagt >mm10_chr1:69106193-69106204(+)::chr1:69106192-69106204(+) AGGAGGAAGAGG >mm10_chr1:69437628-69437639(-)::chr1:69437627-69437639(-) agaaggaaggag >mm10_chr1:69437635-69437646(-)::chr1:69437634-69437646(-) acaaggaagaag >mm10_chr1:69437648-69437659(-)::chr1:69437647-69437659(-) ggaaggaaggga >mm10_chr1:69437652-69437663(-)::chr1:69437651-69437663(-) GCGaggaaggaa >mm10_chr1:69440741-69440752(-)::chr1:69440740-69440752(-) ggaAGGAAATGT >mm10_chr1:69440745-69440756(-)::chr1:69440744-69440756(-) agcaggaAGGAA >mm10_chr1:69444637-69444648(+)::chr1:69444636-69444648(+) TAGAGGAAATAA >mm10_chr1:69444647-69444658(+)::chr1:69444646-69444658(+) AACAGGAAGACT >mm10_chr1:69444687-69444698(-)::chr1:69444686-69444698(-) GGAAGGAGGTGA >mm10_chr1:69468129-69468140(-)::chr1:69468128-69468140(-) ACAAGGAAAACA >mm10_chr1:69469759-69469770(+)::chr1:69469758-69469770(+) AGGAGGAAGAGG >mm10_chr1:69471742-69471753(+)::chr1:69471741-69471753(+) AGCAGGAAGTGG >mm10_chr1:69471767-69471778(+)::chr1:69471766-69471778(+) GTGAGGAAGAGA >mm10_chr1:69477683-69477694(-)::chr1:69477682-69477694(-) GCAAGGAAGCCT >mm10_chr1:69488954-69488965(-)::chr1:69488953-69488965(-) ACAAGGCAGTAA >mm10_chr1:69489354-69489365(-)::chr1:69489353-69489365(-) AAAAAGAAGTGT >mm10_chr1:69541444-69541455(-)::chr1:69541443-69541455(-) AAAAGGAAGAGG >mm10_chr1:69770476-69770487(-)::chr1:69770475-69770487(-) TGCAGGAAGTAG >mm10_chr1:69770488-69770499(-)::chr1:69770487-69770499(-) ACAAGGAAATAT >mm10_chr1:69821950-69821961(+)::chr1:69821949-69821961(+) gacaggaagcag >mm10_chr1:69829218-69829229(+)::chr1:69829217-69829229(+) aggaggaagtgg >mm10_chr1:69829258-69829269(+)::chr1:69829257-69829269(+) tgtaggaaggga >mm10_chr1:69906651-69906662(-)::chr1:69906650-69906662(-) TGAAGGAAATGA >mm10_chr1:70176098-70176109(+)::chr1:70176097-70176109(+) AAAAGGAAGATG >mm10_chr1:71075691-71075702(+)::chr1:71075690-71075702(+) GTAAGGAAGAGG >mm10_chr1:71075697-71075708(+)::chr1:71075696-71075708(+) AAGAGGAAGTGG >mm10_chr1:71075712-71075723(+)::chr1:71075711-71075723(+) ATAAGTAAGTAG >mm10_chr1:71460311-71460322(+)::chr1:71460310-71460322(+) TTGAGGAAGTAC >mm10_chr1:71460350-71460361(+)::chr1:71460349-71460361(+) AGAACGAAGTGT >mm10_chr1:71461203-71461214(+)::chr1:71461202-71461214(+) AGAAGGAAGAGG >mm10_chr1:71467455-71467466(-)::chr1:71467454-71467466(-) AACAGGAAGGAG >mm10_chr1:71474140-71474151(+)::chr1:71474139-71474151(+) AAAAGGAAGTCT >mm10_chr1:71576220-71576231(-)::chr1:71576219-71576231(-) AGCAGGAAGAGA >mm10_chr1:71716713-71716724(-)::chr1:71716712-71716724(-) ggaaggaaATCC >mm10_chr1:71716733-71716744(-)::chr1:71716732-71716744(-) agaaggaagaaa >mm10_chr1:71716745-71716756(-)::chr1:71716744-71716756(-) gggaggaaggaa >mm10_chr1:71735291-71735302(-)::chr1:71735290-71735302(-) aggaggaagaag >mm10_chr1:71735306-71735317(-)::chr1:71735305-71735317(-) aagaggaagaag >mm10_chr1:71735327-71735338(-)::chr1:71735326-71735338(-) agaaggaagagg >mm10_chr1:71735785-71735796(+)::chr1:71735784-71735796(+) ACCAGGAAGTGA >mm10_chr1:71739277-71739288(+)::chr1:71739276-71739288(+) accaggaagcaa >mm10_chr1:71893829-71893840(+)::chr1:71893828-71893840(+) AGCAGGAAGTTG >mm10_chr1:72014747-72014758(-)::chr1:72014746-72014758(-) GGAAGGAAGGAG >mm10_chr1:72014751-72014762(-)::chr1:72014750-72014762(-) GACAGGAAGGAA >mm10_chr1:72019200-72019211(-)::chr1:72019199-72019211(-) ACAGGGAAGTAG >mm10_chr1:72019212-72019223(-)::chr1:72019211-72019223(-) ATGAGGAAATGA >mm10_chr1:72019256-72019267(-)::chr1:72019255-72019267(-) TAAAGGAAGTCT >mm10_chr1:72070648-72070659(-)::chr1:72070647-72070659(-) ATGAGGAAATGC >mm10_chr1:72070795-72070806(-)::chr1:72070794-72070806(-) GTAAGGAATTGA >mm10_chr1:72141150-72141161(+)::chr1:72141149-72141161(+) accaggaaggag >mm10_chr1:72141157-72141168(+)::chr1:72141156-72141168(+) aggaggaagtct >mm10_chr1:72195386-72195397(+)::chr1:72195385-72195397(+) TCAAGGAAATCT >mm10_chr1:72195401-72195412(+)::chr1:72195400-72195412(+) AACAGGAAGCAA >mm10_chr1:72198377-72198388(+)::chr1:72198376-72198388(+) GGGAGGAAGAAG >mm10_chr1:72198384-72198395(+)::chr1:72198383-72198395(+) AGAAGGAAGGGG >mm10_chr1:72225923-72225934(+)::chr1:72225922-72225934(+) TGGAGGAAGAAG >mm10_chr1:72254681-72254692(+)::chr1:72254680-72254692(+) AACCGGAAGTGT >mm10_chr1:72342516-72342527(-)::chr1:72342515-72342527(-) aggaggaagaag >mm10_chr1:72342534-72342545(-)::chr1:72342533-72342545(-) aagaggaagaag >mm10_chr1:72342564-72342575(-)::chr1:72342563-72342575(-) aagaggaaggag >mm10_chr1:72342570-72342581(-)::chr1:72342569-72342581(-) aagaggaagagg >mm10_chr1:72342576-72342587(-)::chr1:72342575-72342587(-) agaaggaagagg >mm10_chr1:72351339-72351350(-)::chr1:72351338-72351350(-) ACCAGGAAATGC >mm10_chr1:72383326-72383337(-)::chr1:72383325-72383337(-) AGAAGCAAGTCA >mm10_chr1:72497851-72497862(-)::chr1:72497850-72497862(-) TGAAGGAAGCTG >mm10_chr1:72531869-72531880(-)::chr1:72531868-72531880(-) AAGAGGAAGGGA >mm10_chr1:72531875-72531886(-)::chr1:72531874-72531886(-) TGAAGGAAGAGG >mm10_chr1:72531896-72531907(-)::chr1:72531895-72531907(-) AACAGGAAGATG >mm10_chr1:72531914-72531925(-)::chr1:72531913-72531925(-) ACAAGGAAGTCA >mm10_chr1:72567281-72567292(+)::chr1:72567280-72567292(+) AAGAGGAAGTGA >mm10_chr1:72606333-72606344(+)::chr1:72606332-72606344(+) atgaggaagcag >mm10_chr1:72610688-72610699(+)::chr1:72610687-72610699(+) AGGAGGAAGGAG >mm10_chr1:72631471-72631482(-)::chr1:72631470-72631482(-) GGAAGGAAGGCT >mm10_chr1:72631485-72631496(+)::chr1:72631484-72631496(+) GAGAGGAAATAA >mm10_chr1:72659019-72659030(-)::chr1:72659018-72659030(-) AGAAGGAATTTC >mm10_chr1:72711241-72711252(-)::chr1:72711240-72711252(-) GAGCGGAAGTGA >mm10_chr1:72711283-72711294(-)::chr1:72711282-72711294(-) AAGAGGAAGGGA >mm10_chr1:72739174-72739185(-)::chr1:72739173-72739185(-) TGAAGGAAGAGT >mm10_chr1:72751414-72751425(-)::chr1:72751413-72751425(-) gacaggaagtgg >mm10_chr1:72831442-72831453(+)::chr1:72831441-72831453(+) tgaaggaaggca >mm10_chr1:72831475-72831486(+)::chr1:72831474-72831486(+) acaaggatgtag >mm10_chr1:72833640-72833651(+)::chr1:72833639-72833651(+) CCCAGGAAGTTT >mm10_chr1:72878062-72878073(-)::chr1:72878061-72878073(-) GAAAGGAGGTAG >mm10_chr1:72878075-72878086(+)::chr1:72878074-72878086(+) TGCAGGAAGAGG >mm10_chr1:72878081-72878092(+)::chr1:72878080-72878092(+) AAGAGGAAGAAG >mm10_chr1:72915211-72915222(+)::chr1:72915210-72915222(+) AGAAGgaagagg >mm10_chr1:72915229-72915240(+)::chr1:72915228-72915240(+) acgaggaagagg >mm10_chr1:72944769-72944780(+)::chr1:72944768-72944780(+) GCAAGGAATTTA >mm10_chr1:72944778-72944789(-)::chr1:72944777-72944789(-) TAGAGGAAGTAA >mm10_chr1:72944800-72944811(-)::chr1:72944799-72944811(-) ACAAGGAAAGAC >mm10_chr1:73048323-73048334(+)::chr1:73048322-73048334(+) ACCAGGAAGTCA >mm10_chr1:73085134-73085145(-)::chr1:73085133-73085145(-) ACCAGGAACTAA >mm10_chr1:73114529-73114540(-)::chr1:73114528-73114540(-) TTAAGGAAGCAA >mm10_chr1:73188949-73188960(+)::chr1:73188948-73188960(+) GCAAGGAAGCCA >mm10_chr1:73194149-73194160(-)::chr1:73194148-73194160(-) GGCAGGAAGTGA >mm10_chr1:73368395-73368406(-)::chr1:73368394-73368406(-) AGAAGGAAGATT >mm10_chr1:73368465-73368476(+)::chr1:73368464-73368476(+) AAGAGGAAGATA >mm10_chr1:73381900-73381911(+)::chr1:73381899-73381911(+) AGGAGGAAGAAA >mm10_chr1:73391671-73391682(+)::chr1:73391670-73391682(+) AGCAGGAAGTGG >mm10_chr1:73392288-73392299(-)::chr1:73392287-73392299(-) AACAGGAAGGAC >mm10_chr1:73395482-73395493(-)::chr1:73395481-73395493(-) TCAGGGAAGTGA >mm10_chr1:73401349-73401360(+)::chr1:73401348-73401360(+) aggaggaagagg >mm10_chr1:73401355-73401366(+)::chr1:73401354-73401366(+) aagaggaagaga >mm10_chr1:73401367-73401378(+)::chr1:73401366-73401378(+) aggaggaagaag >mm10_chr1:73401379-73401390(+)::chr1:73401378-73401390(+) aggaggaagagg >mm10_chr1:73401385-73401396(+)::chr1:73401384-73401396(+) aagaggaagaga >mm10_chr1:73401409-73401420(+)::chr1:73401408-73401420(+) aggaggaagaaa >mm10_chr1:73410563-73410574(-)::chr1:73410562-73410574(-) GGCAGGAAGAAC >mm10_chr1:73435365-73435376(+)::chr1:73435364-73435376(+) GGGAGGAAGGAG >mm10_chr1:73435369-73435380(+)::chr1:73435368-73435380(+) GGAAGGAGGTAA >mm10_chr1:73435410-73435421(+)::chr1:73435409-73435421(+) TTcaggaagtaa >mm10_chr1:73444326-73444337(-)::chr1:73444325-73444337(-) GGAAGGAAATAC >mm10_chr1:73444330-73444341(-)::chr1:73444329-73444341(-) AGGAGGAAGGAA >mm10_chr1:73456504-73456515(+)::chr1:73456503-73456515(+) ATGAGGAACTAT >mm10_chr1:73529901-73529912(+)::chr1:73529900-73529912(+) ATAAGGAAATCC >mm10_chr1:73591495-73591506(-)::chr1:73591494-73591506(-) GGAAGGAACGGG >mm10_chr1:73618586-73618597(-)::chr1:73618585-73618597(-) TCCAGGAAGTGA >mm10_chr1:73671361-73671372(-)::chr1:73671360-73671372(-) acaaggaacttg >mm10_chr1:73902476-73902487(-)::chr1:73902475-73902487(-) AAGAGGAAGTAA >mm10_chr1:73902498-73902509(-)::chr1:73902497-73902509(-) AATAGGAAGTTG >mm10_chr1:73998757-73998768(+)::chr1:73998756-73998768(+) TGAAGGAAGCCA >mm10_chr1:74027705-74027716(-)::chr1:74027704-74027716(-) ACAAGGAAACAT >mm10_chr1:74098570-74098581(-)::chr1:74098569-74098581(-) CGGAGGAAGGCC >mm10_chr1:74098639-74098650(-)::chr1:74098638-74098650(-) CCCAGGAAGCCG >mm10_chr1:74106444-74106455(-)::chr1:74106443-74106455(-) ACAAGGAAGTCA >mm10_chr1:74107112-74107123(+)::chr1:74107111-74107123(+) ACCAGGAAGCAG >mm10_chr1:74119295-74119306(-)::chr1:74119294-74119306(-) GGCAGGAAGCCA >mm10_chr1:74121164-74121175(+)::chr1:74121163-74121175(+) gggaggaagagg >mm10_chr1:74121170-74121181(+)::chr1:74121169-74121181(+) aagaggaagagg >mm10_chr1:74121191-74121202(+)::chr1:74121190-74121202(+) aggaggaagaAC >mm10_chr1:74121213-74121224(-)::chr1:74121212-74121224(-) AGAAGGAAGAGG >mm10_chr1:74127300-74127311(+)::chr1:74127299-74127311(+) aggaggaagagg >mm10_chr1:74127306-74127317(+)::chr1:74127305-74127317(+) aagaggaagagg >mm10_chr1:74127312-74127323(+)::chr1:74127311-74127323(+) aagaggaaggag >mm10_chr1:74133034-74133045(+)::chr1:74133033-74133045(+) GACAGGAAGGAA >mm10_chr1:74153482-74153493(+)::chr1:74153481-74153493(+) AGCAGGAAATAA >mm10_chr1:74153501-74153512(+)::chr1:74153500-74153512(+) GCAAGGAAGAAC >mm10_chr1:74162496-74162507(-)::chr1:74162495-74162507(-) AGGAGGAAGAGT >mm10_chr1:74181625-74181636(+)::chr1:74181624-74181636(+) ATCAGGAAGTAT >mm10_chr1:74236481-74236492(+)::chr1:74236480-74236492(+) gagcggaagtgg >mm10_chr1:74236499-74236510(+)::chr1:74236498-74236510(+) gagcggaagtgg >mm10_chr1:74273950-74273961(+)::chr1:74273949-74273961(+) ATAAGGAAGGAA >mm10_chr1:74284714-74284725(+)::chr1:74284713-74284725(+) GGGAGGAAGTAG >mm10_chr1:74295085-74295096(+)::chr1:74295084-74295096(+) GACAGGAAGAGA >mm10_chr1:74298659-74298670(+)::chr1:74298658-74298670(+) AGAAGGAAACGA >mm10_chr1:74298670-74298681(+)::chr1:74298669-74298681(+) AGTAGGAAGAAG >mm10_chr1:74302104-74302115(+)::chr1:74302103-74302115(+) GTAAGGAAGTCT >mm10_chr1:74303174-74303185(-)::chr1:74303173-74303185(-) AGGAGGAAGGAA >mm10_chr1:74306683-74306694(+)::chr1:74306682-74306694(+) tcaaggaagttg >mm10_chr1:74309915-74309926(+)::chr1:74309914-74309926(+) ATCAGGAAGGGT >mm10_chr1:74309954-74309965(-)::chr1:74309953-74309965(-) TTCAGGAAATAA >mm10_chr1:74314912-74314923(+)::chr1:74314911-74314923(+) AAAGggaagtca >mm10_chr1:74323185-74323196(+)::chr1:74323184-74323196(+) gtgaggaagttt >mm10_chr1:74387029-74387040(-)::chr1:74387028-74387040(-) GCAAGGAACCGG >mm10_chr1:74397499-74397510(-)::chr1:74397498-74397510(-) TCACGGAAGACG >mm10_chr1:74397526-74397537(+)::chr1:74397525-74397537(+) GGCAGGAAGTGC >mm10_chr1:74415519-74415530(-)::chr1:74415518-74415530(-) AAGAGGAAGACA >mm10_chr1:74434735-74434746(-)::chr1:74434734-74434746(-) AGGAGGAAGTGT >mm10_chr1:74435846-74435857(+)::chr1:74435845-74435857(+) AGGAGGAAGTGT >mm10_chr1:74435859-74435870(+)::chr1:74435858-74435870(+) AAGAGGAAGGCA >mm10_chr1:74441584-74441595(+)::chr1:74441583-74441595(+) ATGAGGAAGAGC >mm10_chr1:74582921-74582932(+)::chr1:74582920-74582932(+) aggaggaaggag >mm10_chr1:74582928-74582939(+)::chr1:74582927-74582939(+) aggaggaagaag >mm10_chr1:74582935-74582946(+)::chr1:74582934-74582946(+) agaagaaagtgc >mm10_chr1:74582952-74582963(+)::chr1:74582951-74582963(+) aggaggaaggag >mm10_chr1:74616246-74616257(-)::chr1:74616245-74616257(-) AGTAGGAAGTCA >mm10_chr1:74616253-74616264(-)::chr1:74616252-74616264(-) ACTAGGAAGTAG >mm10_chr1:74670215-74670226(+)::chr1:74670214-74670226(+) acagggaagtag >mm10_chr1:74670222-74670233(+)::chr1:74670221-74670233(+) agtaggaagtag >mm10_chr1:74711480-74711491(-)::chr1:74711479-74711491(-) AGGAGGAAGCAG >mm10_chr1:74773428-74773439(-)::chr1:74773427-74773439(-) ACGAGGATGTCT >mm10_chr1:74812443-74812454(+)::chr1:74812442-74812454(+) ACAAGGAAGAAG >mm10_chr1:74817023-74817034(+)::chr1:74817022-74817034(+) GTGCGGAAGTGA >mm10_chr1:74846550-74846561(-)::chr1:74846549-74846561(-) CCAAGTAAGTAA >mm10_chr1:74846561-74846572(+)::chr1:74846560-74846572(+) GACAGGAAGCAG >mm10_chr1:74846604-74846615(+)::chr1:74846603-74846615(+) AGAAGGAAGAGT >mm10_chr1:74884665-74884676(+)::chr1:74884664-74884676(+) AAAAGGAAATCC >mm10_chr1:74898785-74898796(+)::chr1:74898784-74898796(+) GACAGGAAGCAG >mm10_chr1:74898809-74898820(+)::chr1:74898808-74898820(+) AGCAGGAAGGGG >mm10_chr1:74963447-74963458(+)::chr1:74963446-74963458(+) TCAAGGAAGGCC >mm10_chr1:74963471-74963482(+)::chr1:74963470-74963482(+) CCAAGGAAGGCG >mm10_chr1:75141639-75141650(-)::chr1:75141638-75141650(-) AACAGGAAGAGG >mm10_chr1:75188068-75188079(+)::chr1:75188067-75188079(+) ACCAGGAAGGTG >mm10_chr1:75192663-75192674(-)::chr1:75192662-75192674(-) GACAGGAAGTAC >mm10_chr1:75198519-75198530(+)::chr1:75198518-75198530(+) ACAAGGAAAAAA >mm10_chr1:75210754-75210765(-)::chr1:75210753-75210765(-) ACCCGGAAGTGA >mm10_chr1:75218348-75218359(+)::chr1:75218347-75218359(+) AAAAGGAAGTGG >mm10_chr1:75251874-75251885(+)::chr1:75251873-75251885(+) ACCAGGAAGTCC >mm10_chr1:75282582-75282593(+)::chr1:75282581-75282593(+) AGAAGGAAAAGT >mm10_chr1:75282645-75282656(-)::chr1:75282644-75282656(-) ACAAGGAAGGAC >mm10_chr1:75361960-75361971(-)::chr1:75361959-75361971(-) AGCAGGAAGTGG >mm10_chr1:75382823-75382834(-)::chr1:75382822-75382834(-) ACAAGGAAGTCA >mm10_chr1:75382894-75382905(+)::chr1:75382893-75382905(+) CTTAGGAAGTAC >mm10_chr1:75435957-75435968(+)::chr1:75435956-75435968(+) ACGCGGAAGGAG >mm10_chr1:75506407-75506418(-)::chr1:75506406-75506418(-) AACAGGAAGGAC >mm10_chr1:75588896-75588907(+)::chr1:75588895-75588907(+) TGGAGGAAGAAA >mm10_chr1:75636281-75636292(-)::chr1:75636280-75636292(-) acgaggaagaTG >mm10_chr1:75636294-75636305(-)::chr1:75636293-75636305(-) aagaggaagagg >mm10_chr1:75636300-75636311(-)::chr1:75636299-75636311(-) aagaggaagagg >mm10_chr1:75636306-75636317(-)::chr1:75636305-75636317(-) aagaggaagagg >mm10_chr1:75636319-75636330(-)::chr1:75636318-75636330(-) aagaggaagagg >mm10_chr1:75636325-75636336(-)::chr1:75636324-75636336(-) ATgaggaagagg >mm10_chr1:75653124-75653135(+)::chr1:75653123-75653135(+) ACCAGGAACTAA >mm10_chr1:75653804-75653815(-)::chr1:75653803-75653815(-) CTAAGGAAGAGA >mm10_chr1:75734021-75734032(-)::chr1:75734020-75734032(-) AGAAGGAAGACA >mm10_chr1:75773397-75773408(-)::chr1:75773396-75773408(-) GGAAGGCAGTGA >mm10_chr1:75773401-75773412(-)::chr1:75773400-75773412(-) TGCAGGAAGGCA >mm10_chr1:75773429-75773440(+)::chr1:75773428-75773440(+) GGGAGGAAGTGG >mm10_chr1:75916012-75916023(+)::chr1:75916011-75916023(+) accaggaagagg >mm10_chr1:75936796-75936807(-)::chr1:75936795-75936807(-) GCCAGGAAGTAG >mm10_chr1:76023879-76023890(+)::chr1:76023878-76023890(+) ACCAGGAAATAT >mm10_chr1:76152365-76152376(-)::chr1:76152364-76152376(-) GGCAGGAAGTAA >mm10_chr1:76378298-76378309(+)::chr1:76378297-76378309(+) aaaaggaagtca >mm10_chr1:76378329-76378340(+)::chr1:76378328-76378340(+) tacaggaagtaa >mm10_chr1:76429330-76429341(+)::chr1:76429329-76429341(+) AGAAGGAAGCTG >mm10_chr1:76429345-76429356(+)::chr1:76429344-76429356(+) ACACGGAAGATA >mm10_chr1:76429393-76429404(+)::chr1:76429392-76429404(+) AGAAGGAAATGA >mm10_chr1:76652368-76652379(-)::chr1:76652367-76652379(-) AGGAGGAAATGG >mm10_chr1:76652404-76652415(-)::chr1:76652403-76652415(-) CCAAGGAAGGAG >mm10_chr1:76665256-76665267(+)::chr1:76665255-76665267(+) ATAAGGAACAAG >mm10_chr1:76724539-76724550(-)::chr1:76724538-76724550(-) TGAAGGAACTGA >mm10_chr1:76731557-76731568(-)::chr1:76731556-76731568(-) agagggaagtgg >mm10_chr1:76735351-76735362(-)::chr1:76735350-76735362(-) tccaggaagtgc >mm10_chr1:76735367-76735378(+)::chr1:76735366-76735378(+) atgaggaagaag >mm10_chr1:76822283-76822294(-)::chr1:76822282-76822294(-) AGCAGGAAGTGC >mm10_chr1:76822341-76822352(+)::chr1:76822340-76822352(+) ttgaggaagtgt >mm10_chr1:76832485-76832496(+)::chr1:76832484-76832496(+) ACAAGGAAGCAG >mm10_chr1:76866707-76866718(-)::chr1:76866706-76866718(-) GACAGGAAGAAT >mm10_chr1:76866751-76866762(-)::chr1:76866750-76866762(-) CAAAGGAAGCCA >mm10_chr1:76866768-76866779(+)::chr1:76866767-76866779(+) AACAGGAACTAT >mm10_chr1:76923042-76923053(+)::chr1:76923041-76923053(+) GGATGGAAGTAG >mm10_chr1:77059672-77059683(+)::chr1:77059671-77059683(+) ACAAGGAAATGA >mm10_chr1:77203129-77203140(+)::chr1:77203128-77203140(+) AACAGGAAGCCC >mm10_chr1:77213868-77213879(+)::chr1:77213867-77213879(+) GCCAGGAAGCAA >mm10_chr1:77213879-77213890(+)::chr1:77213878-77213890(+) AGCaggaagttt >mm10_chr1:77219379-77219390(-)::chr1:77219378-77219390(-) AGCAGGAAGAAA >mm10_chr1:77219753-77219764(-)::chr1:77219752-77219764(-) AAGAGGAAGGCG >mm10_chr1:77219776-77219787(-)::chr1:77219775-77219787(-) ACGAGGAAGAGG >mm10_chr1:77274889-77274900(-)::chr1:77274888-77274900(-) GGAAAGAAGTAA >mm10_chr1:77306910-77306921(+)::chr1:77306909-77306921(+) ATCAGGAAGAGG >mm10_chr1:77306925-77306936(-)::chr1:77306924-77306936(-) GGGAGGAAGAAG >mm10_chr1:77333159-77333170(-)::chr1:77333158-77333170(-) tagaggaaggag >mm10_chr1:77333222-77333233(-)::chr1:77333221-77333233(-) ttcaggaagtcc >mm10_chr1:77393650-77393661(+)::chr1:77393649-77393661(+) AAGGGGAAGTAG >mm10_chr1:77396677-77396688(+)::chr1:77396676-77396688(+) CTAAGGAAGAAT >mm10_chr1:77412619-77412630(-)::chr1:77412618-77412630(-) AGCAGGAAGTAC >mm10_chr1:77420441-77420452(-)::chr1:77420440-77420452(-) GCCAGGAAGAGA >mm10_chr1:77438835-77438846(-)::chr1:77438834-77438846(-) AACAGGAAGTCC >mm10_chr1:77442148-77442159(+)::chr1:77442147-77442159(+) AGAAGGAAGGAA >mm10_chr1:77442152-77442163(+)::chr1:77442151-77442163(+) GGAAGGAAGGAG >mm10_chr1:77445088-77445099(-)::chr1:77445087-77445099(-) GCAAGGAAATGC >mm10_chr1:77445121-77445132(+)::chr1:77445120-77445132(+) GGCAGGAAGGAG >mm10_chr1:77445125-77445136(+)::chr1:77445124-77445136(+) GGAAGGAGGTGG >mm10_chr1:77460415-77460426(+)::chr1:77460414-77460426(+) GGCAGGAAGGAG >mm10_chr1:77460436-77460447(+)::chr1:77460435-77460447(+) GTAAGGAAGCAG >mm10_chr1:77461431-77461442(+)::chr1:77461430-77461442(+) TACAGGAAGTGA >mm10_chr1:77488196-77488207(+)::chr1:77488195-77488207(+) AGAAGGAAGGAA >mm10_chr1:77488200-77488211(+)::chr1:77488199-77488211(+) GGAAGGAAGGAG >mm10_chr1:77663548-77663559(+)::chr1:77663547-77663559(+) TCAAGGAAACGT >mm10_chr1:77866458-77866469(+)::chr1:77866457-77866469(+) gaaaggaactaa >mm10_chr1:77902175-77902186(-)::chr1:77902174-77902186(-) acaaggaactga >mm10_chr1:77998901-77998912(-)::chr1:77998900-77998912(-) aacaggaagttg >mm10_chr1:78073923-78073934(+)::chr1:78073922-78073934(+) aggaggaagaag >mm10_chr1:78073962-78073973(+)::chr1:78073961-78073973(+) aggaggaagaag >mm10_chr1:78074001-78074012(+)::chr1:78074000-78074012(+) aggaggaagagg >mm10_chr1:78148401-78148412(-)::chr1:78148400-78148412(-) ATGAGGAAGGAA >mm10_chr1:78149827-78149838(-)::chr1:78149826-78149838(-) AAAAGGAAATGT >mm10_chr1:78274131-78274142(-)::chr1:78274130-78274142(-) CGGAGGAAATCA >mm10_chr1:78419902-78419913(+)::chr1:78419901-78419913(+) ATCAGGAAGAAT >mm10_chr1:78419915-78419926(-)::chr1:78419914-78419926(-) AAAAGGATGTGT >mm10_chr1:78476135-78476146(+)::chr1:78476134-78476146(+) AGAAGGAAGCAG >mm10_chr1:78484628-78484639(+)::chr1:78484627-78484639(+) TAAAGGAAGTGG >mm10_chr1:78486842-78486853(+)::chr1:78486841-78486853(+) ATTAGGAAGACA >mm10_chr1:78486877-78486888(+)::chr1:78486876-78486888(+) GAAAGGAAATGC >mm10_chr1:78490209-78490220(+)::chr1:78490208-78490220(+) AGCAGGAAGATA >mm10_chr1:78507401-78507412(+)::chr1:78507400-78507412(+) ACCAGGAAGTAG >mm10_chr1:78554143-78554154(+)::chr1:78554142-78554154(+) aaaaggaagtaa >mm10_chr1:78554147-78554158(+)::chr1:78554146-78554158(+) ggaagtaagtca >mm10_chr1:78696443-78696454(+)::chr1:78696442-78696454(+) AAAAGGAAGCAA >mm10_chr1:78696515-78696526(+)::chr1:78696514-78696526(+) TTCAGGAAGTGC >mm10_chr1:78713327-78713338(+)::chr1:78713326-78713338(+) TTAAGGAAGTTC >mm10_chr1:78713332-78713343(-)::chr1:78713331-78713343(-) TAAAGGAACTTC >mm10_chr1:78812380-78812391(+)::chr1:78812379-78812391(+) ACCAGGAAATGA >mm10_chr1:78863720-78863731(+)::chr1:78863719-78863731(+) TCCGGGAAGTTA >mm10_chr1:78863787-78863798(+)::chr1:78863786-78863798(+) ATCAGGAAGTTC >mm10_chr1:78864068-78864079(+)::chr1:78864067-78864079(+) AGCAGGAAGCAG >mm10_chr1:78864081-78864092(-)::chr1:78864080-78864092(-) TCAAGGAAGCAT >mm10_chr1:78895895-78895906(+)::chr1:78895894-78895906(+) aggaggaaggaa >mm10_chr1:78895899-78895910(+)::chr1:78895898-78895910(+) ggaaggaattta >mm10_chr1:78895941-78895952(+)::chr1:78895940-78895952(+) ttgaggaagtca >mm10_chr1:78895954-78895965(+)::chr1:78895953-78895965(+) gagaggaagtca >mm10_chr1:79158124-79158135(+)::chr1:79158123-79158135(+) ACCAGGAAGAGC >mm10_chr1:79158174-79158185(-)::chr1:79158173-79158185(-) AGGAGGAAATAA >mm10_chr1:79158200-79158211(-)::chr1:79158199-79158211(-) GGCAGGAAGAAA >mm10_chr1:79207018-79207029(+)::chr1:79207017-79207029(+) ATCAGGAAGAGG >mm10_chr1:79346036-79346047(+)::chr1:79346035-79346047(+) ggcaggaagaca >mm10_chr1:79440283-79440294(+)::chr1:79440282-79440294(+) GAAAGGAAGAAA >mm10_chr1:79521768-79521779(-)::chr1:79521767-79521779(-) ATAAAGAAGTAT >mm10_chr1:79548827-79548838(-)::chr1:79548826-79548838(-) acacggaagtgt >mm10_chr1:79548894-79548905(+)::chr1:79548893-79548905(+) aacaggaagcag >mm10_chr1:79560693-79560704(-)::chr1:79560692-79560704(-) AACAGGAAATAA >mm10_chr1:79561328-79561339(+)::chr1:79561327-79561339(+) TCAAGGAAGTTT >mm10_chr1:79561371-79561382(+)::chr1:79561370-79561382(+) ACAAGGAAGAAT >mm10_chr1:79561393-79561404(+)::chr1:79561392-79561404(+) AAACGGAAGGAA >mm10_chr1:79561397-79561408(+)::chr1:79561396-79561408(+) GGAAGGAAATGA >mm10_chr1:79581690-79581701(-)::chr1:79581689-79581701(-) AGGAGGAAGCAG >mm10_chr1:79669706-79669717(+)::chr1:79669705-79669717(+) ATGAGGAAGGAG >mm10_chr1:79672037-79672048(-)::chr1:79672036-79672048(-) aggaggaaggtg >mm10_chr1:79694874-79694885(-)::chr1:79694873-79694885(-) atcaggaagtac >mm10_chr1:79697586-79697597(-)::chr1:79697585-79697597(-) GGAAGGAAGCAA >mm10_chr1:79711372-79711383(+)::chr1:79711371-79711383(+) TGCAGGAAGTGC >mm10_chr1:79711419-79711430(+)::chr1:79711418-79711430(+) GGCAGGAAGGAT >mm10_chr1:79757454-79757465(-)::chr1:79757453-79757465(-) ACGAGGATGTCC >mm10_chr1:79760810-79760821(+)::chr1:79760809-79760821(+) GCCAGGAAGACA >mm10_chr1:79776097-79776108(-)::chr1:79776096-79776108(-) GGAAGGAAGACA >mm10_chr1:79824163-79824174(-)::chr1:79824162-79824174(-) AGCAGGAAGTTG >mm10_chr1:79930358-79930369(+)::chr1:79930357-79930369(+) ATTAGGAAGGCG >mm10_chr1:79955261-79955272(+)::chr1:79955260-79955272(+) ATGAGGAAGGAG >mm10_chr1:80055914-80055925(-)::chr1:80055913-80055925(-) gagaggaaggaa >mm10_chr1:80055924-80055935(-)::chr1:80055923-80055935(-) aggaggaaggga >mm10_chr1:80055937-80055948(-)::chr1:80055936-80055948(-) aggaggaagagg >mm10_chr1:80055946-80055957(-)::chr1:80055945-80055957(-) ggaaggaagagg >mm10_chr1:80055979-80055990(-)::chr1:80055978-80055990(-) aggaggaagagg >mm10_chr1:80181183-80181194(-)::chr1:80181182-80181194(-) AAATGGAAGTGT >mm10_chr1:80309163-80309174(+)::chr1:80309162-80309174(+) aaaaggaaatat >mm10_chr1:80335962-80335973(+)::chr1:80335961-80335973(+) acaaggaaataa >mm10_chr1:80335970-80335981(+)::chr1:80335969-80335981(+) ataaggtagtga >mm10_chr1:80341183-80341194(+)::chr1:80341182-80341194(+) AGGAGGAAGGTT >mm10_chr1:80344464-80344475(-)::chr1:80344463-80344475(-) ATGAGgaagtta >mm10_chr1:80344496-80344507(-)::chr1:80344495-80344507(-) AAGAGGAAATTA >mm10_chr1:80401480-80401491(-)::chr1:80401479-80401491(-) ACAATGAAGTGC >mm10_chr1:80408697-80408708(+)::chr1:80408696-80408708(+) ATTAGGAAGGAT >mm10_chr1:80426459-80426470(-)::chr1:80426458-80426470(-) AACAGGAAATGG >mm10_chr1:80452074-80452085(-)::chr1:80452073-80452085(-) aacaggaagtAC >mm10_chr1:80627013-80627024(+)::chr1:80627012-80627024(+) GACAGGAAGGAG >mm10_chr1:80627028-80627039(+)::chr1:80627027-80627039(+) AAGAGGAAGAAA >mm10_chr1:80636049-80636060(+)::chr1:80636048-80636060(+) ACCAGGAAGTGG >mm10_chr1:80809837-80809848(+)::chr1:80809836-80809848(+) agcaggaactga >mm10_chr1:81077613-81077624(-)::chr1:81077612-81077624(-) GCAAGGAAGAGG >mm10_chr1:81403807-81403818(+)::chr1:81403806-81403818(+) ACCAGGAAATAG >mm10_chr1:81653146-81653157(-)::chr1:81653145-81653157(-) acaaggaagtcg >mm10_chr1:81654014-81654025(+)::chr1:81654013-81654025(+) GAACGGAAGAAT >mm10_chr1:81717295-81717306(-)::chr1:81717294-81717306(-) GAAAGGATGTGG >mm10_chr1:81910942-81910953(-)::chr1:81910941-81910953(-) tcaaggaagggt >mm10_chr1:81910959-81910970(-)::chr1:81910958-81910970(-) aggaggaagaag >mm10_chr1:81910972-81910983(-)::chr1:81910971-81910983(-) aaaaggaaataa >mm10_chr1:81927583-81927594(+)::chr1:81927582-81927594(+) agtaggaagaag >mm10_chr1:81927620-81927631(-)::chr1:81927619-81927631(-) ctgaggaagtat >mm10_chr1:81927917-81927928(-)::chr1:81927916-81927928(-) agaagggagtgt >mm10_chr1:81927959-81927970(-)::chr1:81927958-81927970(-) accaggaaataa >mm10_chr1:81932975-81932986(+)::chr1:81932974-81932986(+) AAAAGGAGGTAA >mm10_chr1:81933015-81933026(+)::chr1:81933014-81933026(+) ACAAGGAAGGAA >mm10_chr1:81933019-81933030(+)::chr1:81933018-81933030(+) GGAAGGAAGGCT >mm10_chr1:81939050-81939061(+)::chr1:81939049-81939061(+) TGAAGGAAATAC >mm10_chr1:81939067-81939078(+)::chr1:81939066-81939078(+) AGAAGGAAAAAG >mm10_chr1:81965977-81965988(-)::chr1:81965976-81965988(-) ggaaggaaggga >mm10_chr1:81965981-81965992(-)::chr1:81965980-81965992(-) gggaggaaggaa >mm10_chr1:81965989-81966000(-)::chr1:81965988-81966000(-) ggaaggaaggga >mm10_chr1:81965993-81966004(-)::chr1:81965992-81966004(-) ggaaggaaggaa >mm10_chr1:81965997-81966008(-)::chr1:81965996-81966008(-) gcaaggaaggaa >mm10_chr1:82000049-82000060(+)::chr1:82000048-82000060(+) ACAAGGAAATTc >mm10_chr1:82033969-82033980(+)::chr1:82033968-82033980(+) gagaggaagagg >mm10_chr1:82033975-82033986(+)::chr1:82033974-82033986(+) aagaggaagagg >mm10_chr1:82033986-82033997(+)::chr1:82033985-82033997(+) gaaaggaagagg >mm10_chr1:82033992-82034003(+)::chr1:82033991-82034003(+) aagaggaagagg >mm10_chr1:82034003-82034014(+)::chr1:82034002-82034014(+) gaaaggaagagg >mm10_chr1:82034009-82034020(+)::chr1:82034008-82034020(+) aagaggaaggag >mm10_chr1:82038985-82038996(-)::chr1:82038984-82038996(-) TAAGGGAAGTAA >mm10_chr1:82058338-82058349(+)::chr1:82058337-82058349(+) TGGAGGAAGAGG >mm10_chr1:82058344-82058355(+)::chr1:82058343-82058355(+) AAGAGGAAGATG >mm10_chr1:82065105-82065116(+)::chr1:82065104-82065116(+) GCAAGAAAGTTA >mm10_chr1:82093281-82093292(+)::chr1:82093280-82093292(+) AGAAGGAAACAC >mm10_chr1:82097418-82097429(-)::chr1:82097417-82097429(-) AACAGGAAGCAC >mm10_chr1:82098759-82098770(+)::chr1:82098758-82098770(+) CCAAGGAAGATG >mm10_chr1:82118331-82118342(-)::chr1:82118330-82118342(-) AACAGGAAGGTC >mm10_chr1:82124717-82124728(-)::chr1:82124716-82124728(-) AGAGGGAAATCG >mm10_chr1:82125531-82125542(-)::chr1:82125530-82125542(-) tcaaggaagttc >mm10_chr1:82150967-82150978(-)::chr1:82150966-82150978(-) AACAGGAAGGCC >mm10_chr1:82151282-82151293(+)::chr1:82151281-82151293(+) GTCAGGAAGTCA >mm10_chr1:82161285-82161296(+)::chr1:82161284-82161296(+) ACAAGGAAGGCC >mm10_chr1:82163272-82163283(-)::chr1:82163271-82163283(-) ACAGGGAAGTAC >mm10_chr1:82170763-82170774(+)::chr1:82170762-82170774(+) ttgaggaagtcg >mm10_chr1:82185355-82185366(+)::chr1:82185354-82185366(+) ATAAGGAAGGGC >mm10_chr1:82185395-82185406(+)::chr1:82185394-82185406(+) ATGAGGAAATGT >mm10_chr1:82191768-82191779(+)::chr1:82191767-82191779(+) TCAAGGAAGCTG >mm10_chr1:82245523-82245534(+)::chr1:82245522-82245534(+) ACAAGGAAGGAA >mm10_chr1:82249594-82249605(-)::chr1:82249593-82249605(-) AGGAGGAAGTGT >mm10_chr1:82251827-82251838(-)::chr1:82251826-82251838(-) ACTAGGAAGTTG >mm10_chr1:82257712-82257723(-)::chr1:82257711-82257723(-) TATAGGAAGTAG >mm10_chr1:82278563-82278574(+)::chr1:82278562-82278574(+) CCAAGGAAGAAG >mm10_chr1:82278577-82278588(+)::chr1:82278576-82278588(+) AGGAGGAAGAAG >mm10_chr1:82282765-82282776(-)::chr1:82282764-82282776(-) TGAAGGAACTCA >mm10_chr1:82290641-82290652(+)::chr1:82290640-82290652(+) CGAAGGACGAGG >mm10_chr1:82290661-82290672(+)::chr1:82290660-82290672(+) CCAAGGAAGATA >mm10_chr1:82291426-82291437(-)::chr1:82291425-82291437(-) aggaggaaggag >mm10_chr1:82411999-82412010(+)::chr1:82411998-82412010(+) ATCAGGAAGTGG >mm10_chr1:82432849-82432860(-)::chr1:82432848-82432860(-) aacaggaagggg >mm10_chr1:82432871-82432882(-)::chr1:82432870-82432882(-) gacaggaagcag >mm10_chr1:82477033-82477044(-)::chr1:82477032-82477044(-) GCAAGGAAGTAA >mm10_chr1:82477075-82477086(+)::chr1:82477074-82477086(+) CAAAGGAAGAGT >mm10_chr1:82477088-82477099(-)::chr1:82477087-82477099(-) TGAAGGAAGGAG >mm10_chr1:82655815-82655826(+)::chr1:82655814-82655826(+) ACCAGGAAGTAG >mm10_chr1:82701589-82701600(+)::chr1:82701588-82701600(+) AGAAGGAAGTAT >mm10_chr1:82766887-82766898(-)::chr1:82766886-82766898(-) ACCAGGAAGCTG >mm10_chr1:82790236-82790247(+)::chr1:82790235-82790247(+) gggaggaaggaa >mm10_chr1:82795788-82795799(-)::chr1:82795787-82795799(-) gtcaggaagtag >mm10_chr1:82795838-82795849(-)::chr1:82795837-82795849(-) gcagggaagtca >mm10_chr1:82796293-82796304(+)::chr1:82796292-82796304(+) CAAAGGAAGAAA >mm10_chr1:82796333-82796344(+)::chr1:82796332-82796344(+) GGGAGGAAATGC >mm10_chr1:82796351-82796362(+)::chr1:82796350-82796362(+) AGGAGGAAGTCT >mm10_chr1:82814700-82814711(-)::chr1:82814699-82814711(-) AACAGGAAGTAA >mm10_chr1:82831777-82831788(-)::chr1:82831776-82831788(-) AACAGGAAGAGT >mm10_chr1:82844738-82844749(+)::chr1:82844737-82844749(+) AAAGGGAAGTCA >mm10_chr1:82909826-82909837(-)::chr1:82909825-82909837(-) GTGAGGAAGTAG >mm10_chr1:82923203-82923214(-)::chr1:82923202-82923214(-) aggaggaaggag >mm10_chr1:82923215-82923226(-)::chr1:82923214-82923226(-) aggaggaagagg >mm10_chr1:82923319-82923330(+)::chr1:82923318-82923330(+) AGGAGGAAGGAG >mm10_chr1:82975902-82975913(-)::chr1:82975901-82975913(-) GGAAGGAAGTGG >mm10_chr1:82975906-82975917(-)::chr1:82975905-82975917(-) TCCAGGAAGGAA >mm10_chr1:83058740-83058751(+)::chr1:83058739-83058751(+) ATCAGGAAGTTT >mm10_chr1:83109932-83109943(-)::chr1:83109931-83109943(-) AGGAGGAAGTGC >mm10_chr1:83127980-83127991(+)::chr1:83127979-83127991(+) acagggaagtag >mm10_chr1:83137514-83137525(+)::chr1:83137513-83137525(+) tggaggaagtac >mm10_chr1:83138026-83138037(+)::chr1:83138025-83138037(+) CTAAGGAAGTGA >mm10_chr1:83794710-83794721(-)::chr1:83794709-83794721(-) AGAAGGAAGAGT >mm10_chr1:84131927-84131938(+)::chr1:84131926-84131938(+) gcaaggaactga >mm10_chr1:84145185-84145196(+)::chr1:84145184-84145196(+) gagaggaaggag >mm10_chr1:84145192-84145203(+)::chr1:84145191-84145203(+) aggaggaagaag >mm10_chr1:84407043-84407054(-)::chr1:84407042-84407054(-) GGAAGGAAGTGG >mm10_chr1:84793746-84793757(-)::chr1:84793745-84793757(-) ACAAGGAAAAGA >mm10_chr1:84793813-84793824(+)::chr1:84793812-84793824(+) ATGAGGAAGTAG >mm10_chr1:84839572-84839583(+)::chr1:84839571-84839583(+) ACCAGGAAGGGA >mm10_chr1:84839641-84839652(+)::chr1:84839640-84839652(+) AGCAGGAACTAA >mm10_chr1:84864852-84864863(-)::chr1:84864851-84864863(-) agcaggaagttc >mm10_chr1:84864866-84864877(-)::chr1:84864865-84864877(-) accaggaaggca >mm10_chr1:84869146-84869157(-)::chr1:84869145-84869157(-) ATAAGGAAGTCT >mm10_chr1:84869156-84869167(+)::chr1:84869155-84869167(+) ATAGGGAAGAGG >mm10_chr1:84869162-84869173(+)::chr1:84869161-84869173(+) AAGAGGAAGGCA >mm10_chr1:84925775-84925786(+)::chr1:84925774-84925786(+) atcaggaaggag >mm10_chr1:84935895-84935906(-)::chr1:84935894-84935906(-) atgaggaagtgg >mm10_chr1:84941743-84941754(+)::chr1:84941742-84941754(+) ACAGGGAAGTAA >mm10_chr1:84953242-84953253(-)::chr1:84953241-84953253(-) TGAAGGAAATAT >mm10_chr1:85090772-85090783(+)::chr1:85090771-85090783(+) tgaaggaaggac >mm10_chr1:85257638-85257649(-)::chr1:85257637-85257649(-) AGAAGAAAGTAA >mm10_chr1:85257667-85257678(-)::chr1:85257666-85257678(-) TAGAGGAAGAGC >mm10_chr1:85591339-85591350(+)::chr1:85591338-85591350(+) AATAGGAAGAAG >mm10_chr1:85687526-85687537(+)::chr1:85687525-85687537(+) AGCAGGAAGTGA >mm10_chr1:85735601-85735612(+)::chr1:85735600-85735612(+) ACAAAGAAGTGC >mm10_chr1:85735635-85735646(-)::chr1:85735634-85735646(-) TAGAGGAAGAGC >mm10_chr1:85735980-85735991(+)::chr1:85735979-85735991(+) TACAGgaaggag >mm10_chr1:85744752-85744763(-)::chr1:85744751-85744763(-) GGAAGGAAGTTA >mm10_chr1:85809438-85809449(-)::chr1:85809437-85809449(-) ATGAGGAAGCAA >mm10_chr1:85838275-85838286(-)::chr1:85838274-85838286(-) AGGAGGAAGTAG >mm10_chr1:85841199-85841210(+)::chr1:85841198-85841210(+) aagaggaagtct >mm10_chr1:85853545-85853556(-)::chr1:85853544-85853556(-) CCAAGGAACTAC >mm10_chr1:85855352-85855363(+)::chr1:85855351-85855363(+) AGAAGGAACTGC >mm10_chr1:85897279-85897290(-)::chr1:85897278-85897290(-) AAGAGGAAATAT >mm10_chr1:85919729-85919740(+)::chr1:85919728-85919740(+) ACAAGGAAGCCT >mm10_chr1:85919755-85919766(+)::chr1:85919754-85919766(+) CTGAGGAAGTGC >mm10_chr1:85928834-85928845(-)::chr1:85928833-85928845(-) gacaggaagtaa >mm10_chr1:85947612-85947623(+)::chr1:85947611-85947623(+) agcaggaagagg >mm10_chr1:85961117-85961128(-)::chr1:85961116-85961128(-) TCCAGGAAGGGA >mm10_chr1:85961151-85961162(+)::chr1:85961150-85961162(+) AGGAGGAAGTTC >mm10_chr1:85981975-85981986(-)::chr1:85981974-85981986(-) AAGAGGAAGTGG >mm10_chr1:85993495-85993506(+)::chr1:85993494-85993506(+) accaggaagagt >mm10_chr1:86038353-86038364(+)::chr1:86038352-86038364(+) AGGAGGAAGTTC >mm10_chr1:86040160-86040171(+)::chr1:86040159-86040171(+) AGAAGGAAGAGC >mm10_chr1:86040208-86040219(+)::chr1:86040207-86040219(+) ACCAGGAAGAAG >mm10_chr1:86040574-86040585(-)::chr1:86040573-86040585(-) GAAAGGAACTGA >mm10_chr1:86153960-86153971(-)::chr1:86153959-86153971(-) aggaggaagaAA >mm10_chr1:86153985-86153996(-)::chr1:86153984-86153996(-) aggaggaagagg >mm10_chr1:86154663-86154674(-)::chr1:86154662-86154674(-) TACCGGAAGTGA >mm10_chr1:86166939-86166950(-)::chr1:86166938-86166950(-) aagaggaagagg >mm10_chr1:86166945-86166956(-)::chr1:86166944-86166956(-) aggaggaagagg >mm10_chr1:86166970-86166981(-)::chr1:86166969-86166981(-) aagaggaagaag >mm10_chr1:86166994-86167005(-)::chr1:86166993-86167005(-) aggaggaagagg >mm10_chr1:86167009-86167020(-)::chr1:86167008-86167020(-) aaaaggaagagg >mm10_chr1:86190259-86190270(-)::chr1:86190258-86190270(-) GACAGGAAGAAG >mm10_chr1:86195956-86195967(-)::chr1:86195955-86195967(-) AGGAGGAAGAGG >mm10_chr1:86196023-86196034(+)::chr1:86196022-86196034(+) TACAGGAAGAAA >mm10_chr1:86196376-86196387(+)::chr1:86196375-86196387(+) AGGAGGAAGACA >mm10_chr1:86196385-86196396(+)::chr1:86196384-86196396(+) ACAAGGATGTCA >mm10_chr1:86207296-86207307(-)::chr1:86207295-86207307(-) AGTAGGAAGCAC >mm10_chr1:86236607-86236618(-)::chr1:86236606-86236618(-) aaaaggaggtgg >mm10_chr1:86247967-86247978(+)::chr1:86247966-86247978(+) tacaggaaatat >mm10_chr1:86248024-86248035(+)::chr1:86248023-86248035(+) tacaggaactcg >mm10_chr1:86273810-86273821(-)::chr1:86273809-86273821(-) ACGAGGAAGAAG >mm10_chr1:86275671-86275682(+)::chr1:86275670-86275682(+) ATCAGGAAATGA >mm10_chr1:86282310-86282321(-)::chr1:86282309-86282321(-) AGCAGGAAGGTG >mm10_chr1:86322391-86322402(+)::chr1:86322390-86322402(+) cgaaggaaggaa >mm10_chr1:86322395-86322406(+)::chr1:86322394-86322406(+) ggaaggaagctg >mm10_chr1:86360035-86360046(-)::chr1:86360034-86360046(-) ACCAGGAAGTCA >mm10_chr1:86397783-86397794(-)::chr1:86397782-86397794(-) TGGAGGAAGGAA >mm10_chr1:86397888-86397899(+)::chr1:86397887-86397899(+) gccAGGAAATGG >mm10_chr1:86416410-86416421(+)::chr1:86416409-86416421(+) ACAGGGAAATAC >mm10_chr1:86439634-86439645(+)::chr1:86439633-86439645(+) AGCAGGAAGCGC >mm10_chr1:86442191-86442202(-)::chr1:86442190-86442202(-) GGGAGGAAATGA >mm10_chr1:86442213-86442224(-)::chr1:86442212-86442224(-) GGAAGGAAACGG >mm10_chr1:86442217-86442228(-)::chr1:86442216-86442228(-) AGAAGGAAGGAA >mm10_chr1:86444267-86444278(-)::chr1:86444266-86444278(-) TGGAGGAAGCAT >mm10_chr1:86444298-86444309(-)::chr1:86444297-86444309(-) AACAGGAAGAAA >mm10_chr1:86448063-86448074(-)::chr1:86448062-86448074(-) AGAAGGAAGCAA >mm10_chr1:86448594-86448605(+)::chr1:86448593-86448605(+) gaaaggaaggag >mm10_chr1:86457399-86457410(+)::chr1:86457398-86457410(+) tccaggaagatg >mm10_chr1:86457442-86457453(-)::chr1:86457441-86457453(-) GCAGGGAAGTAT >mm10_chr1:86462232-86462243(-)::chr1:86462231-86462243(-) ttaaggatgtgt >mm10_chr1:86473319-86473330(-)::chr1:86473318-86473330(-) GGAAGGAAATGC >mm10_chr1:86473323-86473334(-)::chr1:86473322-86473334(-) ATCAGGAAGGAA >mm10_chr1:86473348-86473359(+)::chr1:86473347-86473359(+) AAAAGGAAGTCA >mm10_chr1:86492238-86492249(-)::chr1:86492237-86492249(-) AAAAGGAAATGT >mm10_chr1:86492897-86492908(-)::chr1:86492896-86492908(-) TAGAGGAAGATC >mm10_chr1:86492918-86492929(-)::chr1:86492917-86492929(-) AGCAGGAACTAA >mm10_chr1:86501893-86501904(+)::chr1:86501892-86501904(+) AGAAGGAAGGTG >mm10_chr1:86509523-86509534(-)::chr1:86509522-86509534(-) GGGAGGAAGTGG >mm10_chr1:86529157-86529168(-)::chr1:86529156-86529168(-) AGAAGGAAGAGG >mm10_chr1:86529186-86529197(+)::chr1:86529185-86529197(+) AGAAGGAAGTTG >mm10_chr1:86545809-86545820(+)::chr1:86545808-86545820(+) TGAAGGAAGAAG >mm10_chr1:86545816-86545827(+)::chr1:86545815-86545827(+) AGAAGGAAAAGC >mm10_chr1:86651658-86651669(+)::chr1:86651657-86651669(+) ACAAGGATGTCT >mm10_chr1:86651689-86651700(+)::chr1:86651688-86651700(+) ATGAGGAAGCAG >mm10_chr1:86651728-86651739(-)::chr1:86651727-86651739(-) GGAAGGAAGAAA >mm10_chr1:86651732-86651743(-)::chr1:86651731-86651743(-) AGCAGGAAGGAA >mm10_chr1:86656697-86656708(+)::chr1:86656696-86656708(+) aggaggaagtct >mm10_chr1:86656712-86656723(+)::chr1:86656711-86656723(+) tgcaggaagtaa >mm10_chr1:86656716-86656727(+)::chr1:86656715-86656727(+) ggaagtaagtca >mm10_chr1:86743185-86743196(-)::chr1:86743184-86743196(-) GCAAGGAAATTA >mm10_chr1:86743196-86743207(-)::chr1:86743195-86743207(-) AGAAGGCAGTGG >mm10_chr1:86833370-86833381(-)::chr1:86833369-86833381(-) GGAAGGAATTAC >mm10_chr1:86833374-86833385(-)::chr1:86833373-86833385(-) AAAAGGAAGGAA >mm10_chr1:86940062-86940073(+)::chr1:86940061-86940073(+) aggaggaaggtt >mm10_chr1:86978242-86978253(-)::chr1:86978241-86978253(-) AAGAGGAAGTGT >mm10_chr1:86991888-86991899(+)::chr1:86991887-86991899(+) aacaggaagatt >mm10_chr1:86991941-86991952(+)::chr1:86991940-86991952(+) gcaaggaagaat >mm10_chr1:86991956-86991967(+)::chr1:86991955-86991967(+) aggaggaagcag >mm10_chr1:87029060-87029071(+)::chr1:87029059-87029071(+) TAAAGGAATTGC >mm10_chr1:87085312-87085323(+)::chr1:87085311-87085323(+) GGAAGGATGTAG >mm10_chr1:87086539-87086550(-)::chr1:87086538-87086550(-) AGAAGGAAGGAG >mm10_chr1:87149211-87149222(+)::chr1:87149210-87149222(+) AAAAGGAAGAAG >mm10_chr1:87228557-87228568(-)::chr1:87228556-87228568(-) GCAAGGAAACGG >mm10_chr1:87235694-87235705(-)::chr1:87235693-87235705(-) GGCAGGAAGTGT >mm10_chr1:87248396-87248407(+)::chr1:87248395-87248407(+) AGACGGAAGCGC >mm10_chr1:87248441-87248452(-)::chr1:87248440-87248452(-) CGCAGGAAATGA >mm10_chr1:87249899-87249910(-)::chr1:87249898-87249910(-) GGGAGGAAATGC >mm10_chr1:87249959-87249970(+)::chr1:87249958-87249970(+) AACAGGAAGCCA >mm10_chr1:87273555-87273566(+)::chr1:87273554-87273566(+) TGCAGGAAGCAG >mm10_chr1:87278940-87278951(-)::chr1:87278939-87278951(-) ggaAGGAAGCCC >mm10_chr1:87278944-87278955(-)::chr1:87278943-87278955(-) aagaggaAGGAA >mm10_chr1:87278950-87278961(-)::chr1:87278949-87278961(-) aggaggaagagg >mm10_chr1:87297797-87297808(-)::chr1:87297796-87297808(-) TCAAGGAAGAGT >mm10_chr1:87297826-87297837(-)::chr1:87297825-87297837(-) GATAGGAAGTGG >mm10_chr1:87314650-87314661(+)::chr1:87314649-87314661(+) aggaggaaggag >mm10_chr1:87314657-87314668(+)::chr1:87314656-87314668(+) aggaggaagaag >mm10_chr1:87326907-87326918(+)::chr1:87326906-87326918(+) TCGAGGAAGGGA >mm10_chr1:87331566-87331577(-)::chr1:87331565-87331577(-) ACAAGgaagcca >mm10_chr1:87345246-87345257(-)::chr1:87345245-87345257(-) TTAAGGAAGTCC >mm10_chr1:87394648-87394659(+)::chr1:87394647-87394659(+) TTAAGGAAGCTA >mm10_chr1:87428643-87428654(+)::chr1:87428642-87428654(+) AGCAGGAAGAAG >mm10_chr1:87428703-87428714(+)::chr1:87428702-87428714(+) AGCAGGAAGAAC >mm10_chr1:87433708-87433719(+)::chr1:87433707-87433719(+) AAGAGGAAGAAG >mm10_chr1:87493047-87493058(+)::chr1:87493046-87493058(+) tacaggaagtcg >mm10_chr1:87573916-87573927(-)::chr1:87573915-87573927(-) GACAGGAAGTGC >mm10_chr1:87590178-87590189(-)::chr1:87590177-87590189(-) TTGAGGAAGTCT >mm10_chr1:87590206-87590217(+)::chr1:87590205-87590217(+) GCTAGGAAGCGC >mm10_chr1:87607849-87607860(+)::chr1:87607848-87607860(+) TAGAGGAAGAGG >mm10_chr1:87755849-87755860(-)::chr1:87755848-87755860(-) GACAGGAAATGG >mm10_chr1:87755909-87755920(-)::chr1:87755908-87755920(-) AGCCGGAAGCGT >mm10_chr1:87763495-87763506(-)::chr1:87763494-87763506(-) ATAAGGAAGTgg >mm10_chr1:87770306-87770317(-)::chr1:87770305-87770317(-) tggaggaagagg >mm10_chr1:87837345-87837356(-)::chr1:87837344-87837356(-) GAAAGGACGACG >mm10_chr1:87840606-87840617(-)::chr1:87840605-87840617(-) TTCAGGAAGTGG >mm10_chr1:87854873-87854884(-)::chr1:87854872-87854884(-) AAAGGGAAGTTA >mm10_chr1:87858360-87858371(+)::chr1:87858359-87858371(+) TTGAGGAAGTGA >mm10_chr1:87871730-87871741(+)::chr1:87871729-87871741(+) ATGAGGAAGTCT >mm10_chr1:87880063-87880074(-)::chr1:87880062-87880074(-) TCCAGGAAGTAG >mm10_chr1:87891797-87891808(+)::chr1:87891796-87891808(+) AGCAGGAAGAGG >mm10_chr1:87901150-87901161(+)::chr1:87901149-87901161(+) AACAGGAAGTGA >mm10_chr1:88220493-88220504(-)::chr1:88220492-88220504(-) tccaggaagtgt >mm10_chr1:88277682-88277693(-)::chr1:88277681-88277693(-) CGCATGAAGTAA >mm10_chr1:88586954-88586965(-)::chr1:88586953-88586965(-) AGCAGGAAGGTC >mm10_chr1:88587022-88587033(+)::chr1:88587021-88587033(+) ACCAGGAAGCAG >mm10_chr1:88592411-88592422(-)::chr1:88592410-88592422(-) GGGAGGAAGAAA >mm10_chr1:88666147-88666158(-)::chr1:88666146-88666158(-) AGGAGGAAATGG >mm10_chr1:88690187-88690198(+)::chr1:88690186-88690198(+) AGAAGGAAATGA >mm10_chr1:88757243-88757254(+)::chr1:88757242-88757254(+) AAAAGGAAGACT >mm10_chr1:88757289-88757300(-)::chr1:88757288-88757300(-) TTCAGGAAGTGG >mm10_chr1:88780460-88780471(+)::chr1:88780459-88780471(+) GGGAGGAAGTGA >mm10_chr1:88795216-88795227(+)::chr1:88795215-88795227(+) TAACGGAAGATA >mm10_chr1:88902522-88902533(+)::chr1:88902521-88902533(+) AGGAGGAAGCGG >mm10_chr1:88929104-88929115(+)::chr1:88929103-88929115(+) atgaggaagaca >mm10_chr1:88929113-88929124(+)::chr1:88929112-88929124(+) acaaggaaggag >mm10_chr1:88929123-88929134(+)::chr1:88929122-88929134(+) aggaggaagagg >mm10_chr1:88929129-88929140(+)::chr1:88929128-88929140(+) aagaggaagagg >mm10_chr1:88932038-88932049(-)::chr1:88932037-88932049(-) acaaggaagagg >mm10_chr1:88932065-88932076(-)::chr1:88932064-88932076(-) aggaggaagagg >mm10_chr1:88932077-88932088(-)::chr1:88932076-88932088(-) aagaggaagagg >mm10_chr1:88932083-88932094(-)::chr1:88932082-88932094(-) aggaggaagagg >mm10_chr1:88952244-88952255(-)::chr1:88952243-88952255(-) ttaaggatgtgt >mm10_chr1:89015732-89015743(+)::chr1:89015731-89015743(+) GCAAGGAAGCTT >mm10_chr1:89016068-89016079(+)::chr1:89016067-89016079(+) ATAAGGAAGCCT >mm10_chr1:89023116-89023127(+)::chr1:89023115-89023127(+) ACCAGGAAGACT >mm10_chr1:89030202-89030213(+)::chr1:89030201-89030213(+) AGGAGGAAGGGA >mm10_chr1:89042290-89042301(-)::chr1:89042289-89042301(-) AGCAGGAAGTGT >mm10_chr1:89043086-89043097(+)::chr1:89043085-89043097(+) tggaggaagtag >mm10_chr1:89043135-89043146(-)::chr1:89043134-89043146(-) aacaggaagtag >mm10_chr1:89043155-89043166(-)::chr1:89043154-89043166(-) agaatgaagtcg >mm10_chr1:89059540-89059551(-)::chr1:89059539-89059551(-) aggaggaagcat >mm10_chr1:89059547-89059558(-)::chr1:89059546-89059558(-) agcaggaaggag >mm10_chr1:89059554-89059565(-)::chr1:89059553-89059565(-) agcaggaagcag >mm10_chr1:89063423-89063434(-)::chr1:89063422-89063434(-) TTGAGGAAGTAT >mm10_chr1:89069951-89069962(+)::chr1:89069950-89069962(+) TTAAGGAAGGAG >mm10_chr1:89079560-89079571(+)::chr1:89079559-89079571(+) aggaggaagagg >mm10_chr1:89102817-89102828(+)::chr1:89102816-89102828(+) TAAAGGAAGGAG >mm10_chr1:89102827-89102838(+)::chr1:89102826-89102838(+) AGAAGGAAGGAA >mm10_chr1:89102831-89102842(+)::chr1:89102830-89102842(+) GGAAGGAAGTAC >mm10_chr1:89108838-89108849(+)::chr1:89108837-89108849(+) AAGAGGAAATAG >mm10_chr1:89121958-89121969(+)::chr1:89121957-89121969(+) ACAAGGAAGGTA >mm10_chr1:89133455-89133466(+)::chr1:89133454-89133466(+) CGGGGGAAGTGG >mm10_chr1:89141969-89141980(+)::chr1:89141968-89141980(+) GCCAGGAAGACA >mm10_chr1:89152841-89152852(+)::chr1:89152840-89152852(+) AGCAGGAAGTCC >mm10_chr1:89188766-89188777(+)::chr1:89188765-89188777(+) agaaggaagagc >mm10_chr1:89189022-89189033(-)::chr1:89189021-89189033(-) ggcaggaaatca >mm10_chr1:89189035-89189046(-)::chr1:89189034-89189046(-) tcagggaagtca >mm10_chr1:89223715-89223726(+)::chr1:89223714-89223726(+) ACAAGGAAGAAA >mm10_chr1:89235575-89235586(-)::chr1:89235574-89235586(-) AGGAGGAAGTTC >mm10_chr1:89302896-89302907(-)::chr1:89302895-89302907(-) ACGCGGAAGACG >mm10_chr1:89302905-89302916(-)::chr1:89302904-89302916(-) TCACGGAAGACG >mm10_chr1:89313010-89313021(-)::chr1:89313009-89313021(-) TGAAGGAAGGAG >mm10_chr1:89313019-89313030(+)::chr1:89313018-89313030(+) TCAAGGAAGAAC >mm10_chr1:89321962-89321973(-)::chr1:89321961-89321973(-) cacaggaagtag >mm10_chr1:89322847-89322858(+)::chr1:89322846-89322858(+) TAAAGGAAATCA >mm10_chr1:89322871-89322882(-)::chr1:89322870-89322882(-) TACAGGAAGTTA >mm10_chr1:89441103-89441114(-)::chr1:89441102-89441114(-) ACAAGGAACTGT >mm10_chr1:89462207-89462218(-)::chr1:89462206-89462218(-) AAAAGGAAATGG >mm10_chr1:89463326-89463337(+)::chr1:89463325-89463337(+) TGAAGGAAGTGA >mm10_chr1:89467775-89467786(+)::chr1:89467774-89467786(+) ACCAGGAAGGAC >mm10_chr1:89475033-89475044(-)::chr1:89475032-89475044(-) ACCAGGAAGTCA >mm10_chr1:89493428-89493439(+)::chr1:89493427-89493439(+) GAAAGGAGGTAG >mm10_chr1:89494940-89494951(+)::chr1:89494939-89494951(+) ACCAGGAAGTTT >mm10_chr1:89505103-89505114(+)::chr1:89505102-89505114(+) ACAAGGAAGTTC >mm10_chr1:89505117-89505128(-)::chr1:89505116-89505128(-) ATAAGGAAATAA >mm10_chr1:89505422-89505433(+)::chr1:89505421-89505433(+) aggaggaagttt >mm10_chr1:89507252-89507263(+)::chr1:89507251-89507263(+) GAAAGGAAGTGG >mm10_chr1:89507314-89507325(-)::chr1:89507313-89507325(-) ACAAGGAAGGTG >mm10_chr1:89519412-89519423(+)::chr1:89519411-89519423(+) ACCAGGAAGAGC >mm10_chr1:89524639-89524650(+)::chr1:89524638-89524650(+) AGCAGGAAGGGG >mm10_chr1:89549340-89549351(+)::chr1:89549339-89549351(+) GGCAGGAAGGAA >mm10_chr1:89549344-89549355(+)::chr1:89549343-89549355(+) GGAAGGAAGCTG >mm10_chr1:89552594-89552605(+)::chr1:89552593-89552605(+) AAGAGGAAGTGT >mm10_chr1:89555836-89555847(-)::chr1:89555835-89555847(-) AGGAGGAAGAAC >mm10_chr1:89575139-89575150(-)::chr1:89575138-89575150(-) AAAAGGAAGCAA >mm10_chr1:89575200-89575211(-)::chr1:89575199-89575211(-) TGCAGGAAGGAG >mm10_chr1:89575609-89575620(-)::chr1:89575608-89575620(-) GGAAGGAAGTCT >mm10_chr1:89575613-89575624(-)::chr1:89575612-89575624(-) ACAAGGAAGGAA >mm10_chr1:89635669-89635680(-)::chr1:89635668-89635680(-) AGAAGGAACGGA >mm10_chr1:89635698-89635709(-)::chr1:89635697-89635709(-) ACCAGGAAGAAT >mm10_chr1:89646868-89646879(-)::chr1:89646867-89646879(-) AGCAGGAAGTCT >mm10_chr1:89660948-89660959(+)::chr1:89660947-89660959(+) TGCAGGAAGCAG >mm10_chr1:89665959-89665970(+)::chr1:89665958-89665970(+) TTCAGGAAGTCT >mm10_chr1:89742543-89742554(+)::chr1:89742542-89742554(+) aagaggaagtga >mm10_chr1:89742588-89742599(-)::chr1:89742587-89742599(-) tggaggaaatga >mm10_chr1:89771595-89771606(-)::chr1:89771594-89771606(-) AAAAGGAAGCCG >mm10_chr1:89821526-89821537(+)::chr1:89821525-89821537(+) ACCAGGAAGTTT >mm10_chr1:89838406-89838417(+)::chr1:89838405-89838417(+) ATGAGGAAGGGG >mm10_chr1:89879257-89879268(+)::chr1:89879256-89879268(+) gacaggaagaaa >mm10_chr1:89986399-89986410(+)::chr1:89986398-89986410(+) acaaggaaatgg >mm10_chr1:90054278-90054289(+)::chr1:90054277-90054289(+) acacggaaggat >mm10_chr1:90134292-90134303(-)::chr1:90134291-90134303(-) ATGAGGAAGGAA >mm10_chr1:90207771-90207782(+)::chr1:90207770-90207782(+) TAAAGGAAGTTT >mm10_chr1:90225808-90225819(+)::chr1:90225807-90225819(+) GGAAGGAAGACC >mm10_chr1:90225971-90225982(-)::chr1:90225970-90225982(-) ACCAGGAAGATG >mm10_chr1:90228615-90228626(-)::chr1:90228614-90228626(-) AGAAGGAACACG >mm10_chr1:90278320-90278331(+)::chr1:90278319-90278331(+) ACCAGGAAATGG >mm10_chr1:90284713-90284724(+)::chr1:90284712-90284724(+) GCCAGGAAATGT >mm10_chr1:90284747-90284758(+)::chr1:90284746-90284758(+) GTAAGGAAGTAG >mm10_chr1:90288604-90288615(-)::chr1:90288603-90288615(-) AGGAGGAAGAGG >mm10_chr1:90337785-90337796(+)::chr1:90337784-90337796(+) AGCAGGAAGGAG >mm10_chr1:90337792-90337803(+)::chr1:90337791-90337803(+) AGGAGGAAATGG >mm10_chr1:90472320-90472331(-)::chr1:90472319-90472331(-) GAAAGGATGTAA >mm10_chr1:90479546-90479557(+)::chr1:90479545-90479557(+) GGAAGGAAGGTT >mm10_chr1:90480334-90480345(+)::chr1:90480333-90480345(+) AGCAGGAAGAGA >mm10_chr1:90566541-90566552(+)::chr1:90566540-90566552(+) CCGAGGAAGGTA >mm10_chr1:90609515-90609526(+)::chr1:90609514-90609526(+) ACCAGGAAGACT >mm10_chr1:90609555-90609566(+)::chr1:90609554-90609566(+) TTCAGGAAGTTT >mm10_chr1:90681122-90681133(-)::chr1:90681121-90681133(-) GAGAGGAAGTAG >mm10_chr1:90694670-90694681(+)::chr1:90694669-90694681(+) ACAAGGAAGACA >mm10_chr1:90710002-90710013(-)::chr1:90710001-90710013(-) AGAAGCAAGTGA >mm10_chr1:90717232-90717243(+)::chr1:90717231-90717243(+) TAAAGGATGTGT >mm10_chr1:90729219-90729230(+)::chr1:90729218-90729230(+) TGGAGGAAGGAA >mm10_chr1:90729251-90729262(+)::chr1:90729250-90729262(+) TCGAGGAAGGCT >mm10_chr1:90748891-90748902(-)::chr1:90748890-90748902(-) GGTAGGAAATGG >mm10_chr1:90748940-90748951(+)::chr1:90748939-90748951(+) ACAAGGAAGGTC >mm10_chr1:90771251-90771262(-)::chr1:90771250-90771262(-) AGACGGAAGAGC >mm10_chr1:90778351-90778362(-)::chr1:90778350-90778362(-) ATAAGGAAGCCT >mm10_chr1:90915502-90915513(-)::chr1:90915501-90915513(-) aagaggaagTTT >mm10_chr1:90926297-90926308(-)::chr1:90926296-90926308(-) acacggaagcag >mm10_chr1:90926909-90926920(+)::chr1:90926908-90926920(+) GAAAGGAAAGCG >mm10_chr1:90927499-90927510(-)::chr1:90927498-90927510(-) ACCCGGAAGTTC >mm10_chr1:90950351-90950362(+)::chr1:90950350-90950362(+) CCAAGGAAGAGG >mm10_chr1:90967639-90967650(+)::chr1:90967638-90967650(+) ACCCGGAAGCGG >mm10_chr1:90968710-90968721(-)::chr1:90968709-90968721(-) AAGAGGAAGGGG >mm10_chr1:90973393-90973404(+)::chr1:90973392-90973404(+) AGAAAGAAGTCA >mm10_chr1:90973407-90973418(+)::chr1:90973406-90973418(+) AAAAGGAAGCTA >mm10_chr1:91038255-91038266(-)::chr1:91038254-91038266(-) AGCAGGAAGCAT >mm10_chr1:91043182-91043193(-)::chr1:91043181-91043193(-) AAAAGGAAATGT >mm10_chr1:91043559-91043570(+)::chr1:91043558-91043570(+) ATGAGGAAGTTT >mm10_chr1:91055090-91055101(+)::chr1:91055089-91055101(+) AGGAGGAAGTCA >mm10_chr1:91065103-91065114(+)::chr1:91065102-91065114(+) AGGAGGAAGTGC >mm10_chr1:91080464-91080475(-)::chr1:91080463-91080475(-) GTGAGGAAGTCA >mm10_chr1:91094541-91094552(-)::chr1:91094540-91094552(-) aggaggaagagg >mm10_chr1:91094568-91094579(-)::chr1:91094567-91094579(-) aagaggaagagg >mm10_chr1:91094577-91094588(-)::chr1:91094576-91094588(-) aggaggaagaag >mm10_chr1:91094596-91094607(-)::chr1:91094595-91094607(-) aggaggaagagg >mm10_chr1:91103805-91103816(+)::chr1:91103804-91103816(+) TGAAGGACGTCG >mm10_chr1:91103824-91103835(+)::chr1:91103823-91103835(+) AAAAGGAAATGT >mm10_chr1:91168200-91168211(+)::chr1:91168199-91168211(+) AGGAGGAAATGA >mm10_chr1:91205812-91205823(-)::chr1:91205811-91205823(-) ACAGGGAAGTGG >mm10_chr1:91207284-91207295(-)::chr1:91207283-91207295(-) GGCAGGAAGCAC >mm10_chr1:91207754-91207765(-)::chr1:91207753-91207765(-) ACCAGGAAATGT >mm10_chr1:91210095-91210106(-)::chr1:91210094-91210106(-) GGGAGGAAGAGA >mm10_chr1:91220544-91220555(-)::chr1:91220543-91220555(-) CACAGGAAGTTC >mm10_chr1:91235542-91235553(+)::chr1:91235541-91235553(+) aaaaggaagctc >mm10_chr1:91235592-91235603(-)::chr1:91235591-91235603(-) ggaaggacgttc >mm10_chr1:91241262-91241273(-)::chr1:91241261-91241273(-) TCAAGGAAGCCC >mm10_chr1:91244177-91244188(-)::chr1:91244176-91244188(-) ggaaggaaggcc >mm10_chr1:91244181-91244192(-)::chr1:91244180-91244192(-) taaaggaaggaa >mm10_chr1:91244909-91244920(+)::chr1:91244908-91244920(+) aagaggaagaag >mm10_chr1:91244918-91244929(+)::chr1:91244917-91244929(+) aagaggaagaag >mm10_chr1:91244927-91244938(+)::chr1:91244926-91244938(+) aagaggaagaag >mm10_chr1:91244954-91244965(+)::chr1:91244953-91244965(+) aggaggaagagg >mm10_chr1:91244963-91244974(+)::chr1:91244962-91244974(+) aggaggaagaga >mm10_chr1:91245408-91245419(-)::chr1:91245407-91245419(-) AGCAGGAAGATC >mm10_chr1:91258076-91258087(+)::chr1:91258075-91258087(+) AGAAGGAAGTTA >mm10_chr1:91313250-91313261(+)::chr1:91313249-91313261(+) GAGAGGAAGGAG >mm10_chr1:91313257-91313268(+)::chr1:91313256-91313268(+) AGGAGGAAGGGA >mm10_chr1:91325819-91325830(+)::chr1:91325818-91325830(+) agagggaaggga >mm10_chr1:91325839-91325850(+)::chr1:91325838-91325850(+) gagaggaagagg >mm10_chr1:91325864-91325875(+)::chr1:91325863-91325875(+) GGTAGGAAGTAG >mm10_chr1:91328050-91328061(-)::chr1:91328049-91328061(-) GGCAGGAAGGGT >mm10_chr1:91329071-91329082(-)::chr1:91329070-91329082(-) AACAGGAAGTAC >mm10_chr1:91436540-91436551(+)::chr1:91436539-91436551(+) gggaggaagaat >mm10_chr1:91436557-91436568(+)::chr1:91436556-91436568(+) aaaaggaagaag >mm10_chr1:91456350-91456361(-)::chr1:91456349-91456361(-) TTAAGGAAGTAG >mm10_chr1:91456578-91456589(-)::chr1:91456577-91456589(-) ACCAGGAAGTAG >mm10_chr1:91490009-91490020(-)::chr1:91490008-91490020(-) aagaggaagagg >mm10_chr1:91490015-91490026(-)::chr1:91490014-91490026(-) aagaggaagagg >mm10_chr1:91490021-91490032(-)::chr1:91490020-91490032(-) aagaggaagagg >mm10_chr1:91490027-91490038(-)::chr1:91490026-91490038(-) aagaggaagagg >mm10_chr1:91504882-91504893(-)::chr1:91504881-91504893(-) ATGAGGAAGTGG >mm10_chr1:91540645-91540656(+)::chr1:91540644-91540656(+) AGCAGGAAGTAC >mm10_chr1:91561440-91561451(-)::chr1:91561439-91561451(-) TGAAGGAAGAAC >mm10_chr1:91814480-91814491(+)::chr1:91814479-91814491(+) ACCAGGAAGAAA >mm10_chr1:91814484-91814495(+)::chr1:91814483-91814495(+) GGAAGAAAGTGC >mm10_chr1:91872295-91872306(-)::chr1:91872294-91872306(-) AACAGGAAGGCA >mm10_chr1:91878822-91878833(-)::chr1:91878821-91878833(-) Aagaggaagaga >mm10_chr1:91896871-91896882(+)::chr1:91896870-91896882(+) ctgaggaagtag >mm10_chr1:91965327-91965338(-)::chr1:91965326-91965338(-) AGCAGGAAATAT >mm10_chr1:91965376-91965387(-)::chr1:91965375-91965387(-) AATAGGAAGTGG >mm10_chr1:92058883-92058894(+)::chr1:92058882-92058894(+) CACAGGAAGTGA >mm10_chr1:92113913-92113924(-)::chr1:92113912-92113924(-) ACAAGGAACTCC >mm10_chr1:92113940-92113951(+)::chr1:92113939-92113951(+) ACAAGGAACTAC >mm10_chr1:92115657-92115668(+)::chr1:92115656-92115668(+) ACAAGGAAGACT >mm10_chr1:92115684-92115695(+)::chr1:92115683-92115695(+) TGAGGGAAGTCC >mm10_chr1:92145429-92145440(+)::chr1:92145428-92145440(+) GGAAGGAAGACA >mm10_chr1:92153877-92153888(-)::chr1:92153876-92153888(-) accaggaaatac >mm10_chr1:92158536-92158547(+)::chr1:92158535-92158547(+) tggaggaagtat >mm10_chr1:92158583-92158594(-)::chr1:92158582-92158594(-) accaggaagctg >mm10_chr1:92166354-92166365(+)::chr1:92166353-92166365(+) ACAAGGAAGAGA >mm10_chr1:92183532-92183543(+)::chr1:92183531-92183543(+) TGCAGGAAGTGG >mm10_chr1:92315523-92315534(+)::chr1:92315522-92315534(+) TCACGGAAGTTA >mm10_chr1:92434209-92434220(+)::chr1:92434208-92434220(+) AATAGGAAATAC >mm10_chr1:92437578-92437589(-)::chr1:92437577-92437589(-) GAAAGGAAGCAA >mm10_chr1:92437616-92437627(+)::chr1:92437615-92437627(+) AACAGGAAGAAA >mm10_chr1:92438236-92438247(-)::chr1:92438235-92438247(-) CAGAGGAAGTCC >mm10_chr1:92459825-92459836(-)::chr1:92459824-92459836(-) GGAAGGAACTTT >mm10_chr1:92459881-92459892(+)::chr1:92459880-92459892(+) GGCAGGAAGATA >mm10_chr1:92461060-92461071(-)::chr1:92461059-92461071(-) AGAAGGAAGTTG >mm10_chr1:92492245-92492256(-)::chr1:92492244-92492256(-) TAAAGGAAGTAG >mm10_chr1:92634333-92634344(-)::chr1:92634332-92634344(-) agaaggaagagg >mm10_chr1:92641718-92641729(-)::chr1:92641717-92641729(-) GCGAGGAAGTAG >mm10_chr1:92641964-92641975(-)::chr1:92641963-92641975(-) GGCCGGAAGTGA >mm10_chr1:92641984-92641995(-)::chr1:92641983-92641995(-) CGCCGGAAGTAG >mm10_chr1:92654881-92654892(+)::chr1:92654880-92654892(+) TCCAGGAAGAAA >mm10_chr1:92676349-92676360(+)::chr1:92676348-92676360(+) AGCAGGAAGCAG >mm10_chr1:92681343-92681354(-)::chr1:92681342-92681354(-) AGGAGGAAGAGG >mm10_chr1:92730558-92730569(+)::chr1:92730557-92730569(+) GGAAGGAAATAG >mm10_chr1:92742497-92742508(-)::chr1:92742496-92742508(-) ATAAGGAACTCC >mm10_chr1:92747609-92747620(+)::chr1:92747608-92747620(+) acgaggaagcaa >mm10_chr1:92756170-92756181(+)::chr1:92756169-92756181(+) AGAAGGAAATGC >mm10_chr1:92775461-92775472(-)::chr1:92775460-92775472(-) AGGAGGAAGATC >mm10_chr1:92845247-92845258(+)::chr1:92845246-92845258(+) acagggaagtca >mm10_chr1:92845261-92845272(+)::chr1:92845260-92845272(+) agcaggaagttg >mm10_chr1:92850131-92850142(+)::chr1:92850130-92850142(+) AGGAGGAAGCTG >mm10_chr1:92875253-92875264(+)::chr1:92875252-92875264(+) agaaggaagaga >mm10_chr1:92875280-92875291(+)::chr1:92875279-92875291(+) aaaaggaagaag >mm10_chr1:92875292-92875303(+)::chr1:92875291-92875303(+) aagaggaagagg >mm10_chr1:92875310-92875321(+)::chr1:92875309-92875321(+) agaaggaagaga >mm10_chr1:92875331-92875342(+)::chr1:92875330-92875342(+) aggaggaagagg >mm10_chr1:92895232-92895243(+)::chr1:92895231-92895243(+) AAGAGGAAGACA >mm10_chr1:92934307-92934318(-)::chr1:92934306-92934318(-) GTGAGGAAGTGG >mm10_chr1:92950846-92950857(-)::chr1:92950845-92950857(-) AACAGGAAATAG >mm10_chr1:92964616-92964627(+)::chr1:92964615-92964627(+) aaaagcaagtta >mm10_chr1:92964672-92964683(+)::chr1:92964671-92964683(+) cgaaggaaatca >mm10_chr1:93069192-93069203(-)::chr1:93069191-93069203(-) CCGAGGAAATGT >mm10_chr1:93085414-93085425(+)::chr1:93085413-93085425(+) ATCAGGAAGCCA >mm10_chr1:93125400-93125411(-)::chr1:93125399-93125411(-) AGGAGGAAGCAG >mm10_chr1:93141942-93141953(+)::chr1:93141941-93141953(+) AGCAGGAAGTGT >mm10_chr1:93205581-93205592(-)::chr1:93205580-93205592(-) AGCAGGAAGAAG >mm10_chr1:93205596-93205607(+)::chr1:93205595-93205607(+) TGTAGGAAGCCG >mm10_chr1:93246239-93246250(-)::chr1:93246238-93246250(-) TGCAGGAAGGCA >mm10_chr1:93246260-93246271(+)::chr1:93246259-93246271(+) AGGGGGAAGTAA >mm10_chr1:93267367-93267378(+)::chr1:93267366-93267378(+) tggaggaagtaa >mm10_chr1:93267437-93267448(+)::chr1:93267436-93267448(+) ggaaggaagcag >mm10_chr1:93286033-93286044(+)::chr1:93286032-93286044(+) AAGAGGAAGAGT >mm10_chr1:93286106-93286117(-)::chr1:93286105-93286117(-) GCCAGGAAGTTT >mm10_chr1:93337784-93337795(+)::chr1:93337783-93337795(+) AGCAGGAAATGC >mm10_chr1:93377735-93377746(-)::chr1:93377734-93377746(-) ACCAGGAAATGA >mm10_chr1:93393301-93393312(-)::chr1:93393300-93393312(-) ggaaggaaggga >mm10_chr1:93393305-93393316(-)::chr1:93393304-93393316(-) ggaaggaaggaa >mm10_chr1:93393309-93393320(-)::chr1:93393308-93393320(-) GACaggaaggaa >mm10_chr1:93393337-93393348(-)::chr1:93393336-93393348(-) AAGAGGAAGAAG >mm10_chr1:93393343-93393354(-)::chr1:93393342-93393354(-) ATGAGGAAGAGG >mm10_chr1:93410657-93410668(+)::chr1:93410656-93410668(+) TGGAGGAAGTAC >mm10_chr1:93410667-93410678(+)::chr1:93410666-93410678(+) ACCAGGAAGTAA >mm10_chr1:93410671-93410682(+)::chr1:93410670-93410682(+) GGAAGTAAGTGG >mm10_chr1:93423717-93423728(+)::chr1:93423716-93423728(+) AGAAGGAAGGAA >mm10_chr1:93423721-93423732(+)::chr1:93423720-93423732(+) GGAAGGAAGGAA >mm10_chr1:93423725-93423736(+)::chr1:93423724-93423736(+) GGAAGGAAGGAA >mm10_chr1:93438313-93438324(+)::chr1:93438312-93438324(+) CTCAGGAAGTGG >mm10_chr1:93443401-93443412(+)::chr1:93443400-93443412(+) ACAAGGAAGAAA >mm10_chr1:93462578-93462589(+)::chr1:93462577-93462589(+) ataaggaaatgg >mm10_chr1:93462591-93462602(+)::chr1:93462590-93462602(+) agaaggaagctc >mm10_chr1:93484137-93484148(-)::chr1:93484136-93484148(-) AAAAGGAAGAAA >mm10_chr1:93492621-93492632(+)::chr1:93492620-93492632(+) tAGAGGAAGCTA >mm10_chr1:93495447-93495458(+)::chr1:93495446-93495458(+) TTGAGGAAGTTG >mm10_chr1:93495461-93495472(-)::chr1:93495460-93495472(-) TGAAGGAAGGCG >mm10_chr1:93549265-93549276(-)::chr1:93549264-93549276(-) TCAAGGAAGTCC >mm10_chr1:93605759-93605770(-)::chr1:93605758-93605770(-) GTAAGGAAATCC >mm10_chr1:93634645-93634656(-)::chr1:93634644-93634656(-) GACAGGAAGCAT >mm10_chr1:93654460-93654471(-)::chr1:93654459-93654471(-) GTAAGGAAGTAG >mm10_chr1:93668240-93668251(-)::chr1:93668239-93668251(-) GGAAGGAAGCAC >mm10_chr1:93668717-93668728(+)::chr1:93668716-93668728(+) AACAGGAAGCAT >mm10_chr1:93668950-93668961(-)::chr1:93668949-93668961(-) GGCAGGAAGTGT >mm10_chr1:93668994-93669005(+)::chr1:93668993-93669005(+) TAGAGGAAGAAA >mm10_chr1:93671967-93671978(+)::chr1:93671966-93671978(+) ACTAGGAAGTAT >mm10_chr1:93672019-93672030(+)::chr1:93672018-93672030(+) gggaggaagaaa >mm10_chr1:93746292-93746303(-)::chr1:93746291-93746303(-) AGAAGGAAAAGA >mm10_chr1:93820617-93820628(+)::chr1:93820616-93820628(+) ATCAGGAAGAAG >mm10_chr1:95201695-95201706(+)::chr1:95201694-95201706(+) aggaggaagttt >mm10_chr1:95219098-95219109(-)::chr1:95219097-95219109(-) AACAGGAAGCAT >mm10_chr1:95331061-95331072(-)::chr1:95331060-95331072(-) ACGAGGAAGACA >mm10_chr1:95339674-95339685(+)::chr1:95339673-95339685(+) aggaggaagttc >mm10_chr1:95533270-95533281(+)::chr1:95533269-95533281(+) ATGAGGAAGACA >mm10_chr1:95590227-95590238(+)::chr1:95590226-95590238(+) AACAGGAAGTCA >mm10_chr1:95593047-95593058(-)::chr1:95593046-95593058(-) TTAAGGAAATGA >mm10_chr1:95749115-95749126(+)::chr1:95749114-95749126(+) atggggaagtag >mm10_chr1:96804284-96804295(+)::chr1:96804283-96804295(+) ggcaggaaatag >mm10_chr1:96835592-96835603(-)::chr1:96835591-96835603(-) TAAAGGAAGTCT >mm10_chr1:97038113-97038124(+)::chr1:97038112-97038124(+) CAAAGGAAGTCA >mm10_chr1:97371577-97371588(-)::chr1:97371576-97371588(-) acaagaaagtga >mm10_chr1:97576206-97576217(+)::chr1:97576205-97576217(+) TAGAGGAAGAAG >mm10_chr1:97615747-97615758(-)::chr1:97615746-97615758(-) AGAAGGAAGGga >mm10_chr1:97648311-97648322(-)::chr1:97648310-97648322(-) TGAAGTAAGTAT >mm10_chr1:97660773-97660784(-)::chr1:97660772-97660784(-) GCCAGGAAGGAA >mm10_chr1:97673052-97673063(-)::chr1:97673051-97673063(-) AACAGGAAATGC >mm10_chr1:97673076-97673087(-)::chr1:97673075-97673087(-) GAAAAGAAGTAC >mm10_chr1:97673092-97673103(+)::chr1:97673091-97673103(+) Tgaaggaagaag >mm10_chr1:97673103-97673114(+)::chr1:97673102-97673114(+) gagaggaagtag >mm10_chr1:97673116-97673127(+)::chr1:97673115-97673127(+) gaagggaagtag >mm10_chr1:97673123-97673134(+)::chr1:97673122-97673134(+) agtaggaaggga >mm10_chr1:97770135-97770146(-)::chr1:97770134-97770146(-) AACAGGAAGGCT >mm10_chr1:97910878-97910889(-)::chr1:97910877-97910889(-) GAAAGGAAGAAT >mm10_chr1:97963872-97963883(-)::chr1:97963871-97963883(-) TTAAGGAAGCTC >mm10_chr1:97992145-97992156(-)::chr1:97992144-97992156(-) GGAAGGAAGAAG >mm10_chr1:97992149-97992160(-)::chr1:97992148-97992160(-) AGTAGGAAGGAA >mm10_chr1:97992156-97992167(-)::chr1:97992155-97992167(-) GAAATGAAGTAG >mm10_chr1:97992188-97992199(-)::chr1:97992187-97992199(-) AGAAGGAAGAGC >mm10_chr1:97992195-97992206(-)::chr1:97992194-97992206(-) AGGAGGAAGAAG >mm10_chr1:97992207-97992218(-)::chr1:97992206-97992218(-) AACAGGAAATGA >mm10_chr1:98048553-98048564(-)::chr1:98048552-98048564(-) GCAAGGAAGAAC >mm10_chr1:98079749-98079760(+)::chr1:98079748-98079760(+) AGCAGGAAATGC >mm10_chr1:98085081-98085092(+)::chr1:98085080-98085092(+) ACGAGGAAATGA >mm10_chr1:98085344-98085355(-)::chr1:98085343-98085355(-) AACAGGAAGTGA >mm10_chr1:98095509-98095520(+)::chr1:98095508-98095520(+) GGACGGAAGCGG >mm10_chr1:98131399-98131410(+)::chr1:98131398-98131410(+) TAGAGGAAATGA >mm10_chr1:98131424-98131435(+)::chr1:98131423-98131435(+) ATAAGGAAATGG >mm10_chr1:100139295-100139306(-)::chr1:100139294-100139306(-) ATCAGGAAGCCA >mm10_chr1:100432746-100432757(-)::chr1:100432745-100432757(-) agcaggaagtta >mm10_chr1:103187423-103187434(+)::chr1:103187422-103187434(+) gtgaggaagtag >mm10_chr1:103698742-103698753(-)::chr1:103698741-103698753(-) tacaggaaggac >mm10_chr1:104627007-104627018(+)::chr1:104627006-104627018(+) AGAAGCAAGTAC >mm10_chr1:104992483-104992494(-)::chr1:104992482-104992494(-) aagaggaagaga >mm10_chr1:104992495-104992506(-)::chr1:104992494-104992506(-) aggaggaagaag >mm10_chr1:104992504-104992515(-)::chr1:104992503-104992515(-) aagaggaagagg >mm10_chr1:104992510-104992521(-)::chr1:104992509-104992521(-) aagaggaagagg >mm10_chr1:104992516-104992527(-)::chr1:104992515-104992527(-) aagaggaagagg >mm10_chr1:104992522-104992533(-)::chr1:104992521-104992533(-) aagaggaagagg >mm10_chr1:104992558-104992569(-)::chr1:104992557-104992569(-) agaaggaggtgg >mm10_chr1:105290071-105290082(-)::chr1:105290070-105290082(-) ACCAGGAAGTGC >mm10_chr1:105342756-105342767(+)::chr1:105342755-105342767(+) ACAAGGAAGCTT >mm10_chr1:105342807-105342818(-)::chr1:105342806-105342818(-) ACGAGGAAGCAG >mm10_chr1:105360441-105360452(+)::chr1:105360440-105360452(+) TCAAGGAAGAAG >mm10_chr1:105360454-105360465(+)::chr1:105360453-105360465(+) AAGAGGAAGGAG >mm10_chr1:105654654-105654665(-)::chr1:105654653-105654665(-) ggacggaagtct >mm10_chr1:105663880-105663891(+)::chr1:105663879-105663891(+) ACCAGGAAGACG >mm10_chr1:105664990-105665001(+)::chr1:105664989-105665001(+) ACGAGGAAATAA >mm10_chr1:105684206-105684217(+)::chr1:105684205-105684217(+) CTAAGGAAGACC >mm10_chr1:105684225-105684236(+)::chr1:105684224-105684236(+) AAAAGGAAATGA >mm10_chr1:105771374-105771385(-)::chr1:105771373-105771385(-) accaggaagtgt >mm10_chr1:105779956-105779967(-)::chr1:105779955-105779967(-) AAGAGGAAGAGC >mm10_chr1:105780267-105780278(+)::chr1:105780266-105780278(+) AAGAGGAAGCGG >mm10_chr1:105780299-105780310(+)::chr1:105780298-105780310(+) GAGGGGAAGTAT >mm10_chr1:105785378-105785389(+)::chr1:105785377-105785389(+) ACCAGGAAGGAG >mm10_chr1:105786949-105786960(+)::chr1:105786948-105786960(+) ACAGGGAAGTCC >mm10_chr1:105794321-105794332(+)::chr1:105794320-105794332(+) AAGAGGAAGTGC >mm10_chr1:105813434-105813445(+)::chr1:105813433-105813445(+) ATTAGGAAGAAA >mm10_chr1:105813472-105813483(+)::chr1:105813471-105813483(+) ATAAGGAAGTAT >mm10_chr1:105814719-105814730(-)::chr1:105814718-105814730(-) gcaaggatgtag >mm10_chr1:105840309-105840320(+)::chr1:105840308-105840320(+) AGCAGGAAGGAC >mm10_chr1:105866824-105866835(-)::chr1:105866823-105866835(-) ATACGGAAGTGC >mm10_chr1:105866845-105866856(-)::chr1:105866844-105866856(-) ACAAGGAAGAGC >mm10_chr1:105883783-105883794(-)::chr1:105883782-105883794(-) GGAGGGAAGTAG >mm10_chr1:105908526-105908537(-)::chr1:105908525-105908537(-) ACAAGGATGTAA >mm10_chr1:105912006-105912017(-)::chr1:105912005-105912017(-) AGCAGGAAATGA >mm10_chr1:105922842-105922853(+)::chr1:105922841-105922853(+) CAAAGGAAATTG >mm10_chr1:105925738-105925749(-)::chr1:105925737-105925749(-) ACCAGGAAATGA >mm10_chr1:105925759-105925770(+)::chr1:105925758-105925770(+) AACAGGAAGGAT >mm10_chr1:105942315-105942326(-)::chr1:105942314-105942326(-) acagggaagtag >mm10_chr1:105980993-105981004(+)::chr1:105980992-105981004(+) TTAAGGAAGCCT >mm10_chr1:105981014-105981025(+)::chr1:105981013-105981025(+) ATAAGGAAGTGA >mm10_chr1:105981045-105981056(-)::chr1:105981044-105981056(-) GGAAGGAAATGA >mm10_chr1:105981049-105981060(-)::chr1:105981048-105981060(-) AAAAGGAAGGAA >mm10_chr1:105998909-105998920(-)::chr1:105998908-105998920(-) ACAAGGAAGGTG >mm10_chr1:106043345-106043356(+)::chr1:106043344-106043356(+) TACAGGAAGGAG >mm10_chr1:106043377-106043388(-)::chr1:106043376-106043388(-) AGAAGGAAACAT >mm10_chr1:106069978-106069989(-)::chr1:106069977-106069989(-) AAGAGGAAGTGC >mm10_chr1:106069984-106069995(-)::chr1:106069983-106069995(-) GGCAGGAAGAGG >mm10_chr1:106109397-106109408(+)::chr1:106109396-106109408(+) AGCAGGAAGCAG >mm10_chr1:106155453-106155464(+)::chr1:106155452-106155464(+) AAACGGAAGATC >mm10_chr1:106158355-106158366(+)::chr1:106158354-106158366(+) ACAAGGAAGGAA >mm10_chr1:106175663-106175674(+)::chr1:106175662-106175674(+) AAAAGGAAGCTG >mm10_chr1:106185608-106185619(-)::chr1:106185607-106185619(-) TAAAGGAAGCAG >mm10_chr1:106185617-106185628(-)::chr1:106185616-106185628(-) AGCAGGAAGTAA >mm10_chr1:106199935-106199946(+)::chr1:106199934-106199946(+) TTCAGGAAGTTC >mm10_chr1:106200383-106200394(-)::chr1:106200382-106200394(-) AGGAGGAAGACG >mm10_chr1:106212882-106212893(+)::chr1:106212881-106212893(+) AGTAGGAAGTAG >mm10_chr1:106212892-106212903(+)::chr1:106212891-106212903(+) AGAAGGAAGGAA >mm10_chr1:106212896-106212907(+)::chr1:106212895-106212907(+) GGAAGGAAGAAC >mm10_chr1:106213935-106213946(+)::chr1:106213934-106213946(+) ACCAGGAAGAAG >mm10_chr1:106241835-106241846(-)::chr1:106241834-106241846(-) TCAAGGAAGTGC >mm10_chr1:106256540-106256551(-)::chr1:106256539-106256551(-) agcaggaactaa >mm10_chr1:106274841-106274852(-)::chr1:106274840-106274852(-) ACACGGAACTAC >mm10_chr1:106278679-106278690(+)::chr1:106278678-106278690(+) ATAAGGAAGTTT >mm10_chr1:106285812-106285823(+)::chr1:106285811-106285823(+) aggaggaagtca >mm10_chr1:106289807-106289818(+)::chr1:106289806-106289818(+) TTACGGAAGTGT >mm10_chr1:106289820-106289831(+)::chr1:106289819-106289831(+) GTAAGGAACTGT >mm10_chr1:106306909-106306920(-)::chr1:106306908-106306920(-) GGAAGGAACATA >mm10_chr1:106306913-106306924(-)::chr1:106306912-106306924(-) AGGAGGAAGGAA >mm10_chr1:106316526-106316537(-)::chr1:106316525-106316537(-) AGAAGGAAGAAC >mm10_chr1:106317199-106317210(-)::chr1:106317198-106317210(-) AGGAGGAAGTGG >mm10_chr1:106320795-106320806(-)::chr1:106320794-106320806(-) GGAAGGAACTTT >mm10_chr1:106320799-106320810(-)::chr1:106320798-106320810(-) AAGAGGAAGGAA >mm10_chr1:106328203-106328214(+)::chr1:106328202-106328214(+) TTGAGGAAGTAG >mm10_chr1:106328212-106328223(+)::chr1:106328211-106328223(+) TAGAGGAAGCTA >mm10_chr1:106408113-106408124(+)::chr1:106408112-106408124(+) TGAAGGAAGTGA >mm10_chr1:106408287-106408298(+)::chr1:106408286-106408298(+) AGGAGGAAGTGG >mm10_chr1:106428349-106428360(-)::chr1:106428348-106428360(-) gccaggaaatgg >mm10_chr1:106514057-106514068(-)::chr1:106514056-106514068(-) GAGAGGAAGGAC >mm10_chr1:106514097-106514108(+)::chr1:106514096-106514108(+) GGAAGGAAGCCA >mm10_chr1:106543866-106543877(-)::chr1:106543865-106543877(-) aacaggaagaac >mm10_chr1:106549260-106549271(+)::chr1:106549259-106549271(+) GTGAGGAAGTGA >mm10_chr1:106549274-106549285(-)::chr1:106549273-106549285(-) AGAAGGAAATGG >mm10_chr1:106568245-106568256(+)::chr1:106568244-106568256(+) ATAAGGAAATGA >mm10_chr1:106629637-106629648(-)::chr1:106629636-106629648(-) GTGAGGAAGAGA >mm10_chr1:106634779-106634790(+)::chr1:106634778-106634790(+) AAATGGAAGTGg >mm10_chr1:106700868-106700879(+)::chr1:106700867-106700879(+) ACCAGGAAGGTG >mm10_chr1:106700913-106700924(-)::chr1:106700912-106700924(-) ACCAGGAAGCTA >mm10_chr1:106796763-106796774(+)::chr1:106796762-106796774(+) AACAGGAAGCCG >mm10_chr1:106796777-106796788(+)::chr1:106796776-106796788(+) ATGCGGAAGTCA >mm10_chr1:106861590-106861601(+)::chr1:106861589-106861601(+) ACCAGGATGTAC >mm10_chr1:106867011-106867022(+)::chr1:106867010-106867022(+) TGGAGGAAGTTC >mm10_chr1:106876170-106876181(+)::chr1:106876169-106876181(+) AGCAGGAAGTAG >mm10_chr1:106883783-106883794(+)::chr1:106883782-106883794(+) AAAAGGAAAACG >mm10_chr1:106883823-106883834(-)::chr1:106883822-106883834(-) AGGAGGAAATGA >mm10_chr1:106901109-106901120(-)::chr1:106901108-106901120(-) AAAATGAAGTCG >mm10_chr1:106932156-106932167(+)::chr1:106932155-106932167(+) ATGAGGAACTAC >mm10_chr1:106932182-106932193(-)::chr1:106932181-106932193(-) AAGAGGAAATGC >mm10_chr1:106933903-106933914(+)::chr1:106933902-106933914(+) ATGAGGAAGAAA >mm10_chr1:106958009-106958020(-)::chr1:106958008-106958020(-) GGAAGGAAGAGG >mm10_chr1:106958013-106958024(-)::chr1:106958012-106958024(-) GGAAGGAAGGAA >mm10_chr1:106958017-106958028(-)::chr1:106958016-106958028(-) TGAAGGAAGGAA >mm10_chr1:107361011-107361022(+)::chr1:107361010-107361022(+) ACAAGGAAAAAT >mm10_chr1:107362192-107362203(-)::chr1:107362191-107362203(-) AGAAGGAAGTCT >mm10_chr1:107362211-107362222(-)::chr1:107362210-107362222(-) GGCAGGAAGTAC >mm10_chr1:107399660-107399671(+)::chr1:107399659-107399671(+) AGGAGGAAGGAG >mm10_chr1:107399674-107399685(+)::chr1:107399673-107399685(+) AGGAGGAACTCG >mm10_chr1:107481666-107481677(-)::chr1:107481665-107481677(-) TGCAGGAAGCTA >mm10_chr1:107592911-107592922(+)::chr1:107592910-107592922(+) AGGAGGAAGGCT >mm10_chr1:108006563-108006574(-)::chr1:108006562-108006574(-) acaaggaagtaa >mm10_chr1:108006606-108006617(-)::chr1:108006605-108006617(-) acaaggaaatgg >mm10_chr1:109003521-109003532(-)::chr1:109003520-109003532(-) ttaatgaagtta >mm10_chr1:110774159-110774170(-)::chr1:110774158-110774170(-) gaaaggaaggta >mm10_chr1:111134027-111134038(-)::chr1:111134026-111134038(-) gaaaggaagtga >mm10_chr1:111690144-111690155(-)::chr1:111690143-111690155(-) AGAAGGAAACAG >mm10_chr1:111989551-111989562(-)::chr1:111989550-111989562(-) ACAAGGAAGCTG >mm10_chr1:111993464-111993475(+)::chr1:111993463-111993475(+) ACAATGAAGTCA >mm10_chr1:112054100-112054111(+)::chr1:112054099-112054111(+) TGCAGGAAGTCC >mm10_chr1:112302340-112302351(-)::chr1:112302339-112302351(-) gtatggaagtat >mm10_chr1:112398009-112398020(+)::chr1:112398008-112398020(+) TCAAGGAAGCTG >mm10_chr1:112398021-112398032(+)::chr1:112398020-112398032(+) GAAAGGAAATGG >mm10_chr1:112445266-112445277(-)::chr1:112445265-112445277(-) TTAAGGAAGTTT >mm10_chr1:112445948-112445959(-)::chr1:112445947-112445959(-) AGGAGGAAGCAA >mm10_chr1:112445990-112446001(-)::chr1:112445989-112446001(-) GAAGGGAAGTAG >mm10_chr1:113108336-113108347(+)::chr1:113108335-113108347(+) AGAAGGAAAGAA >mm10_chr1:113508256-113508267(+)::chr1:113508255-113508267(+) TGAATGAAGTGT >mm10_chr1:114039637-114039648(-)::chr1:114039636-114039648(-) ggaaggaagtga >mm10_chr1:114039641-114039652(-)::chr1:114039640-114039652(-) gaaaggaaggaa >mm10_chr1:114834643-114834654(+)::chr1:114834642-114834654(+) agaaggatgtag >mm10_chr1:115684830-115684841(+)::chr1:115684829-115684841(+) ATCCGGAAGACG >mm10_chr1:115684851-115684862(+)::chr1:115684850-115684862(+) GCGAGGAAGGCA >mm10_chr1:115867058-115867069(+)::chr1:115867057-115867069(+) GCAAGCAAGTAA >mm10_chr1:117113926-117113937(-)::chr1:117113925-117113937(-) ATGCGGAAGTAG >mm10_chr1:117525062-117525073(+)::chr1:117525061-117525073(+) GCAAGGAAGTAA >mm10_chr1:117525070-117525081(+)::chr1:117525069-117525081(+) GTAAGGAAGGCA >mm10_chr1:118292414-118292425(-)::chr1:118292413-118292425(-) ACAAGGAAAGGG >mm10_chr1:118295101-118295112(+)::chr1:118295100-118295112(+) ACCAGGAAATGG >mm10_chr1:118321803-118321814(-)::chr1:118321802-118321814(-) AGGCGGAAGTGT >mm10_chr1:118321815-118321826(-)::chr1:118321814-118321826(-) AAGCGGAAGTAG >mm10_chr1:118321835-118321846(+)::chr1:118321834-118321846(+) CGAAGGAAACGT >mm10_chr1:118325317-118325328(-)::chr1:118325316-118325328(-) AGCAGGAAGCTG >mm10_chr1:118325340-118325351(-)::chr1:118325339-118325351(-) CAGAGGAAGTGG >mm10_chr1:118388944-118388955(+)::chr1:118388943-118388955(+) TACGGGAAGTCG >mm10_chr1:118421341-118421352(-)::chr1:118421340-118421352(-) AGGAGGAAGTAA >mm10_chr1:118421353-118421364(-)::chr1:118421352-118421364(-) TGCAGGAAGGGA >mm10_chr1:118428484-118428495(-)::chr1:118428483-118428495(-) GCAAGGAAGCTA >mm10_chr1:118448410-118448421(-)::chr1:118448409-118448421(-) agaaggaagagA >mm10_chr1:118448440-118448451(-)::chr1:118448439-118448451(-) aagaggaagtga >mm10_chr1:118448466-118448477(-)::chr1:118448465-118448477(-) agaaggaagaac >mm10_chr1:118459117-118459128(+)::chr1:118459116-118459128(+) GTAAGGAAGAGA >mm10_chr1:118525557-118525568(+)::chr1:118525556-118525568(+) CTCAGGAAGTTA >mm10_chr1:118567893-118567904(+)::chr1:118567892-118567904(+) ATTAGGAAGAGA >mm10_chr1:118580384-118580395(-)::chr1:118580383-118580395(-) AGAAGGAAGTGG >mm10_chr1:118648278-118648289(-)::chr1:118648277-118648289(-) TCAAGGAAATTC >mm10_chr1:118648328-118648339(-)::chr1:118648327-118648339(-) AGAAGGAAGTAG >mm10_chr1:118667431-118667442(+)::chr1:118667430-118667442(+) acaaggaagtca >mm10_chr1:118690076-118690087(-)::chr1:118690075-118690087(-) ACCAGGAAGCTG >mm10_chr1:118709512-118709523(+)::chr1:118709511-118709523(+) TAGAGGAAGGGA >mm10_chr1:118711782-118711793(+)::chr1:118711781-118711793(+) AGACGGAAGGGG >mm10_chr1:118712532-118712543(+)::chr1:118712531-118712543(+) gggaggaaggga >mm10_chr1:118712563-118712574(+)::chr1:118712562-118712574(+) ggaaggaagAAG >mm10_chr1:118712570-118712581(+)::chr1:118712569-118712581(+) agAAGGaagact >mm10_chr1:118850063-118850074(+)::chr1:118850062-118850074(+) acaagGAAGAGC >mm10_chr1:119007555-119007566(-)::chr1:119007554-119007566(-) AGAAGGAAGCCT >mm10_chr1:119034062-119034073(-)::chr1:119034061-119034073(-) AAGAGGAAGTGG >mm10_chr1:119064984-119064995(+)::chr1:119064983-119064995(+) GCAAGGAAGTTA >mm10_chr1:119075176-119075187(-)::chr1:119075175-119075187(-) AGAAGGAAGGTG >mm10_chr1:119075223-119075234(-)::chr1:119075222-119075234(-) AGAAGGAAGTTT >mm10_chr1:119116525-119116536(-)::chr1:119116524-119116536(-) AGAGGGAAGAAA >mm10_chr1:119116546-119116557(-)::chr1:119116545-119116557(-) ATAAGGAAGAGA >mm10_chr1:119125026-119125037(+)::chr1:119125025-119125037(+) aacaggaagctg >mm10_chr1:119125046-119125057(+)::chr1:119125045-119125057(+) aacaggaagctg >mm10_chr1:119125077-119125088(+)::chr1:119125076-119125088(+) tgcaggaagcag >mm10_chr1:119168813-119168824(+)::chr1:119168812-119168824(+) GGAAGGAAGGTC >mm10_chr1:119189715-119189726(+)::chr1:119189714-119189726(+) AGAAGGAAGTGC >mm10_chr1:119194850-119194861(-)::chr1:119194849-119194861(-) CCAAGGAAGAGG >mm10_chr1:119209920-119209931(-)::chr1:119209919-119209931(-) AGCAGGAAGTAA >mm10_chr1:119219033-119219044(-)::chr1:119219032-119219044(-) AGGAGGAAGGGG >mm10_chr1:119219250-119219261(-)::chr1:119219249-119219261(-) AGCAGGAAGGGA >mm10_chr1:119220058-119220069(+)::chr1:119220057-119220069(+) aggaggaagggg >mm10_chr1:119220085-119220096(-)::chr1:119220084-119220096(-) aaaaggaacttt >mm10_chr1:119234778-119234789(+)::chr1:119234777-119234789(+) AGAAGGAAGGTC >mm10_chr1:119234801-119234812(+)::chr1:119234800-119234812(+) GGAAGGAGGTAG >mm10_chr1:119236745-119236756(+)::chr1:119236744-119236756(+) gccaggaagtgc >mm10_chr1:119239296-119239307(-)::chr1:119239295-119239307(-) ctgaggaagtca >mm10_chr1:119239309-119239320(-)::chr1:119239308-119239320(-) ataaggaagcag >mm10_chr1:119272209-119272220(+)::chr1:119272208-119272220(+) ACCAGGAAGAGC >mm10_chr1:119272247-119272258(+)::chr1:119272246-119272258(+) AGAGGGAAGTTA >mm10_chr1:119280523-119280534(+)::chr1:119280522-119280534(+) AGGAGGAAGGGC >mm10_chr1:119281087-119281098(+)::chr1:119281086-119281098(+) AGGAGGAAGGAA >mm10_chr1:119281091-119281102(+)::chr1:119281090-119281102(+) GGAAGGAAGGAA >mm10_chr1:119281095-119281106(+)::chr1:119281094-119281106(+) GGAAGGAAGCCC >mm10_chr1:119281112-119281123(+)::chr1:119281111-119281123(+) ATGGGGAAGTCG >mm10_chr1:119287956-119287967(-)::chr1:119287955-119287967(-) agaaggaagctt >mm10_chr1:119287963-119287974(-)::chr1:119287962-119287974(-) acacggaagaag >mm10_chr1:119289530-119289541(+)::chr1:119289529-119289541(+) agaaggaagagg >mm10_chr1:119289536-119289547(+)::chr1:119289535-119289547(+) aagaggaagaag >mm10_chr1:119289552-119289563(+)::chr1:119289551-119289563(+) agaagGAAAAGA >mm10_chr1:119295398-119295409(-)::chr1:119295397-119295409(-) TCCAGGAAGTTC >mm10_chr1:119302779-119302790(+)::chr1:119302778-119302790(+) ACCAGGAAGAGG >mm10_chr1:119333365-119333376(-)::chr1:119333364-119333376(-) atacggaagtcg >mm10_chr1:119395958-119395969(-)::chr1:119395957-119395969(-) agaaggaagaga >mm10_chr1:119395970-119395981(-)::chr1:119395969-119395981(-) aggaggaagaag >mm10_chr1:119395988-119395999(-)::chr1:119395987-119395999(-) aggaggaagatg >mm10_chr1:119396015-119396026(-)::chr1:119396014-119396026(-) aggaggaagagg >mm10_chr1:119401517-119401528(+)::chr1:119401516-119401528(+) cgaaggaaacag >mm10_chr1:119416402-119416413(+)::chr1:119416401-119416413(+) AGGAGGAAGTCC >mm10_chr1:119416442-119416453(-)::chr1:119416441-119416453(-) AGAAGGAAAGAA >mm10_chr1:119451008-119451019(+)::chr1:119451007-119451019(+) AAGAGGAAGAAA >mm10_chr1:119492377-119492388(+)::chr1:119492376-119492388(+) aacaggaagtga >mm10_chr1:119498714-119498725(-)::chr1:119498713-119498725(-) GGTAGGAAGTGC >mm10_chr1:119498743-119498754(+)::chr1:119498742-119498754(+) GGGAGGAAGGAA >mm10_chr1:119498747-119498758(+)::chr1:119498746-119498758(+) GGAAGGAAGTCC >mm10_chr1:119498765-119498776(+)::chr1:119498764-119498776(+) AAAAGGAAGCAA >mm10_chr1:119508701-119508712(-)::chr1:119508700-119508712(-) GCCAGGAAGGAA >mm10_chr1:119804821-119804832(+)::chr1:119804820-119804832(+) ataaggaagaat >mm10_chr1:119837417-119837428(-)::chr1:119837416-119837428(-) aggaggaaggag >mm10_chr1:119864009-119864020(-)::chr1:119864008-119864020(-) aggaggaagaag >mm10_chr1:119864093-119864104(-)::chr1:119864092-119864104(-) CCAAGGAAGGAA >mm10_chr1:119899729-119899740(-)::chr1:119899728-119899740(-) AATAGGAAGGTG >mm10_chr1:119903299-119903310(-)::chr1:119903298-119903310(-) aacaggaagtag >mm10_chr1:119903888-119903899(+)::chr1:119903887-119903899(+) ggtaggaagaca >mm10_chr1:120055654-120055665(+)::chr1:120055653-120055665(+) ACCAGGAAATCA >mm10_chr1:120055715-120055726(+)::chr1:120055714-120055726(+) GGGAGGAAGTGG >mm10_chr1:120073056-120073067(+)::chr1:120073055-120073067(+) AGCAGGAAGCTG >mm10_chr1:120074827-120074838(+)::chr1:120074826-120074838(+) ACCAGGAAGATG >mm10_chr1:120075671-120075682(+)::chr1:120075670-120075682(+) ATGAGGAAGTGT >mm10_chr1:120080761-120080772(-)::chr1:120080760-120080772(-) AAGAGGAAGTGA >mm10_chr1:120083418-120083429(-)::chr1:120083417-120083429(-) TCCAGGAAGGAA >mm10_chr1:120111856-120111867(-)::chr1:120111855-120111867(-) TCAAGGAAGCAA >mm10_chr1:120112398-120112409(+)::chr1:120112397-120112409(+) CCAAGGAAGTCC >mm10_chr1:120112426-120112437(-)::chr1:120112425-120112437(-) GCAGGGAAGCGG >mm10_chr1:120251325-120251336(+)::chr1:120251324-120251336(+) GGGAGGAAGTGG >mm10_chr1:120251355-120251366(+)::chr1:120251354-120251366(+) AATAGGAAGATG >mm10_chr1:120265507-120265518(-)::chr1:120265506-120265518(-) ATCAGGAAGTGA >mm10_chr1:120266820-120266831(+)::chr1:120266819-120266831(+) TCCAGGAAgaac >mm10_chr1:120270091-120270102(-)::chr1:120270090-120270102(-) GGGAGGAAGCAG >mm10_chr1:120274876-120274887(+)::chr1:120274875-120274887(+) CAGAGGAAGTTG >mm10_chr1:120293946-120293957(+)::chr1:120293945-120293957(+) tagaggaagtat >mm10_chr1:120435737-120435748(+)::chr1:120435736-120435748(+) agcaggaagtgg >mm10_chr1:120435751-120435762(+)::chr1:120435750-120435762(+) agcaggaagcag >mm10_chr1:120435758-120435769(+)::chr1:120435757-120435769(+) agcaggaagtga >mm10_chr1:120435793-120435804(+)::chr1:120435792-120435804(+) aacaggaagcag >mm10_chr1:120435800-120435811(+)::chr1:120435799-120435811(+) agcaggaagcag >mm10_chr1:120435807-120435818(+)::chr1:120435806-120435818(+) agcaggaagcag >mm10_chr1:120475085-120475096(-)::chr1:120475084-120475096(-) AGAAGGAAGAGG >mm10_chr1:120504830-120504841(+)::chr1:120504829-120504841(+) AGGAGGAAGCAG >mm10_chr1:120557095-120557106(-)::chr1:120557094-120557106(-) gcgaggaagagc >mm10_chr1:120596641-120596652(-)::chr1:120596640-120596652(-) AGGAGGAAGAAA >mm10_chr1:120596710-120596721(+)::chr1:120596709-120596721(+) TGAAGGAAGGAA >mm10_chr1:120596714-120596725(+)::chr1:120596713-120596725(+) GGAAGGAAGAGG >mm10_chr1:120596720-120596731(+)::chr1:120596719-120596731(+) AAGAGGAAGACA >mm10_chr1:120759521-120759532(-)::chr1:120759520-120759532(-) GAAAGGATGTGT >mm10_chr1:120828966-120828977(-)::chr1:120828965-120828977(-) TAAAGGAAGCGT >mm10_chr1:121277844-121277855(+)::chr1:121277843-121277855(+) TGAAGGAAGAGC >mm10_chr1:121325042-121325053(-)::chr1:121325041-121325053(-) acaaggaaggag >mm10_chr1:121431127-121431138(+)::chr1:121431126-121431138(+) TGAAGGAAGTTT >mm10_chr1:121556519-121556530(+)::chr1:121556518-121556530(+) CCTAGGAAGTCA >mm10_chr1:121556553-121556564(+)::chr1:121556552-121556564(+) ACAGGGAAGTGA >mm10_chr1:121556599-121556610(-)::chr1:121556598-121556610(-) TTCAGGAAGTTG >mm10_chr1:121881296-121881307(-)::chr1:121881295-121881307(-) cgaaggcagtgt >mm10_chr1:121885569-121885580(+)::chr1:121885568-121885580(+) AGAAGCAAGTGC >mm10_chr1:122245550-122245561(-)::chr1:122245549-122245561(-) GAAAGGAAGAAG >mm10_chr1:122245560-122245571(+)::chr1:122245559-122245571(+) TCCAGGAAGAAT >mm10_chr1:122328492-122328503(-)::chr1:122328491-122328503(-) TCCAGGAAGTTT >mm10_chr1:122972051-122972062(-)::chr1:122972050-122972062(-) ATAAGGAAGTCA >mm10_chr1:123503079-123503090(+)::chr1:123503078-123503090(+) AGAAGGATGTTA >mm10_chr1:123704032-123704043(-)::chr1:123704031-123704043(-) ATGAGGAAGTTA >mm10_chr1:123761088-123761099(+)::chr1:123761087-123761099(+) CTCAGGAAGTTG >mm10_chr1:123812177-123812188(+)::chr1:123812176-123812188(+) atgaggaagaac >mm10_chr1:123900631-123900642(-)::chr1:123900630-123900642(-) agaaggaagaag >mm10_chr1:123900638-123900649(-)::chr1:123900637-123900649(-) agaaggaagaag >mm10_chr1:123900645-123900656(-)::chr1:123900644-123900656(-) agaaggaagaag >mm10_chr1:123916747-123916758(-)::chr1:123916746-123916758(-) ACCAGGAAGGTG >mm10_chr1:123916784-123916795(+)::chr1:123916783-123916795(+) AGGAGGAAATGC >mm10_chr1:123990458-123990469(-)::chr1:123990457-123990469(-) gtcaggaagtag >mm10_chr1:123990482-123990493(-)::chr1:123990481-123990493(-) tcgaggaagtgc >mm10_chr1:123990511-123990522(-)::chr1:123990510-123990522(-) ggaaagaagtgt >mm10_chr1:124594124-124594135(-)::chr1:124594123-124594135(-) agaaggaactta >mm10_chr1:124776794-124776805(+)::chr1:124776793-124776805(+) gggaggaagaag >mm10_chr1:124873469-124873480(-)::chr1:124873468-124873480(-) AGAAGGCAGTAC >mm10_chr1:125288419-125288430(-)::chr1:125288418-125288430(-) ctgaggaagtgg >mm10_chr1:125296262-125296273(-)::chr1:125296261-125296273(-) CCAGGGAAGTGA >mm10_chr1:125296293-125296304(+)::chr1:125296292-125296304(+) ATGAGGAAGATG >mm10_chr1:125354788-125354799(+)::chr1:125354787-125354799(+) AGAAGGAAACAG >mm10_chr1:125354795-125354806(+)::chr1:125354794-125354806(+) AACAGGAAGGAA >mm10_chr1:125354799-125354810(+)::chr1:125354798-125354810(+) GGAAGGAAGCAG >mm10_chr1:125415815-125415826(+)::chr1:125415814-125415826(+) AAGAGGAAGAAC >mm10_chr1:125415868-125415879(+)::chr1:125415867-125415879(+) AGAAGGAAATCT >mm10_chr1:125431607-125431618(+)::chr1:125431606-125431618(+) ACAAGGAAATAG >mm10_chr1:125434674-125434685(+)::chr1:125434673-125434685(+) AGCAGGAAGCGG >mm10_chr1:125434697-125434708(+)::chr1:125434696-125434708(+) CTAAGGAAGTTG >mm10_chr1:125435441-125435452(+)::chr1:125435440-125435452(+) gggaggaaggga >mm10_chr1:125435498-125435509(-)::chr1:125435497-125435509(-) TTGCGGAAGTAC >mm10_chr1:125489475-125489486(-)::chr1:125489474-125489486(-) AGAAGGAAGTCA >mm10_chr1:125489531-125489542(+)::chr1:125489530-125489542(+) AGCAGGAAGCCA >mm10_chr1:125491161-125491172(+)::chr1:125491160-125491172(+) AGAAGGAAGTCC >mm10_chr1:125496836-125496847(+)::chr1:125496835-125496847(+) agcaggaagggg >mm10_chr1:125496854-125496865(+)::chr1:125496853-125496865(+) agaaggaagaaa >mm10_chr1:125514145-125514156(+)::chr1:125514144-125514156(+) GACAGGAAGACC >mm10_chr1:125520657-125520668(-)::chr1:125520656-125520668(-) TAGAGGAAGATG >mm10_chr1:125520672-125520683(+)::chr1:125520671-125520683(+) ACAAGGAACTCA >mm10_chr1:125526221-125526232(+)::chr1:125526220-125526232(+) AAAAGGAAATGG >mm10_chr1:125537869-125537880(+)::chr1:125537868-125537880(+) acaaggaagtaa >mm10_chr1:125537881-125537892(-)::chr1:125537880-125537892(-) gcaaggaagggc >mm10_chr1:125550650-125550661(-)::chr1:125550649-125550661(-) GGCAGGAAGTGA >mm10_chr1:125661167-125661178(-)::chr1:125661166-125661178(-) agcaggaagtag >mm10_chr1:125663165-125663176(-)::chr1:125663164-125663176(-) GGAAGGAAGAGC >mm10_chr1:125663178-125663189(+)::chr1:125663177-125663189(+) GGCAGGAAGTGA >mm10_chr1:125687624-125687635(+)::chr1:125687623-125687635(+) ACCAGGAAGTCC >mm10_chr1:125833599-125833610(+)::chr1:125833598-125833610(+) ACAGGGAAGTTT >mm10_chr1:126195585-126195596(-)::chr1:126195584-126195596(-) agcaggaagctg >mm10_chr1:126305057-126305068(+)::chr1:126305056-126305068(+) ataagggagtga >mm10_chr1:126431632-126431643(-)::chr1:126431631-126431643(-) GGCAGGAAGGAG >mm10_chr1:126589228-126589239(-)::chr1:126589227-126589239(-) GTCAGGAAGTGC >mm10_chr1:126592786-126592797(+)::chr1:126592785-126592797(+) accaggaaatca >mm10_chr1:126830436-126830447(-)::chr1:126830435-126830447(-) TACAGGAAGATC >mm10_chr1:126925580-126925591(-)::chr1:126925579-126925591(-) AGAAGGAAAATA >mm10_chr1:126928370-126928381(-)::chr1:126928369-126928381(-) TGCAGGAAGTGC >mm10_chr1:126928402-126928413(+)::chr1:126928401-126928413(+) AGAAGGAAAACG >mm10_chr1:126953431-126953442(+)::chr1:126953430-126953442(+) ggaagtaagtca >mm10_chr1:126953476-126953487(-)::chr1:126953475-126953487(-) atcaggaagtgg >mm10_chr1:127025322-127025333(-)::chr1:127025321-127025333(-) ATAAGGAACAGG >mm10_chr1:127240092-127240103(+)::chr1:127240091-127240103(+) AACAGGAAGGAA >mm10_chr1:127240096-127240107(+)::chr1:127240095-127240107(+) GGAAGGAAGTGA >mm10_chr1:127349601-127349612(-)::chr1:127349600-127349612(-) TAACGGAAGAGC >mm10_chr1:127349616-127349627(+)::chr1:127349615-127349627(+) TCTAGGAAGTTC >mm10_chr1:127349621-127349632(-)::chr1:127349620-127349632(-) AGAAGGAACTTC >mm10_chr1:127363433-127363444(-)::chr1:127363432-127363444(-) tggaggaagagg >mm10_chr1:127363473-127363484(-)::chr1:127363472-127363484(-) aaaaggaagcca >mm10_chr1:127363939-127363950(+)::chr1:127363938-127363950(+) AAGAGGAAGTAC >mm10_chr1:127378349-127378360(-)::chr1:127378348-127378360(-) AGGAGGAAGCAG >mm10_chr1:127454329-127454340(-)::chr1:127454328-127454340(-) aaaaggaagtca >mm10_chr1:127454762-127454773(+)::chr1:127454761-127454773(+) ATCAGGAAGTCA >mm10_chr1:127454778-127454789(-)::chr1:127454777-127454789(-) AGAAGGAAGCGT >mm10_chr1:127477281-127477292(+)::chr1:127477280-127477292(+) TAGAGGAAGTCA >mm10_chr1:127477327-127477338(-)::chr1:127477326-127477338(-) TGGAGGAAGGAG >mm10_chr1:127479577-127479588(-)::chr1:127479576-127479588(-) AGAAGGAAGGCT >mm10_chr1:127482513-127482524(-)::chr1:127482512-127482524(-) AAGAGGAAGAGA >mm10_chr1:127774215-127774226(+)::chr1:127774214-127774226(+) AGGAGGAAGTGT >mm10_chr1:127855082-127855093(+)::chr1:127855081-127855093(+) TTAAGGAAGAAG >mm10_chr1:127855089-127855100(+)::chr1:127855088-127855100(+) AGAAGGAAAACA >mm10_chr1:127886419-127886430(-)::chr1:127886418-127886430(-) agcaggaagtgg >mm10_chr1:127898774-127898785(-)::chr1:127898773-127898785(-) AGAAGGAAAAGA >mm10_chr1:127899200-127899211(+)::chr1:127899199-127899211(+) GTAAGGAAATGT >mm10_chr1:127899558-127899569(+)::chr1:127899557-127899569(+) ATCAGGAAGGCA >mm10_chr1:127981172-127981183(+)::chr1:127981171-127981183(+) AGGAGGAAGTGG >mm10_chr1:127981471-127981482(+)::chr1:127981470-127981482(+) AGGAGGAAGTTA >mm10_chr1:128008722-128008733(+)::chr1:128008721-128008733(+) ATCAGGAAGAGG >mm10_chr1:128008769-128008780(+)::chr1:128008768-128008780(+) AGCAGGAAATCC >mm10_chr1:128028230-128028241(-)::chr1:128028229-128028241(-) ACAAGGAAAACA >mm10_chr1:128102568-128102579(-)::chr1:128102567-128102579(-) TAAAGGAAGGAG >mm10_chr1:128102610-128102621(-)::chr1:128102609-128102621(-) AGAATGAAGTCG >mm10_chr1:128138770-128138781(-)::chr1:128138769-128138781(-) AACAGGAAATTA >mm10_chr1:128188243-128188254(-)::chr1:128188242-128188254(-) GGAAGGAATTGT >mm10_chr1:128188247-128188258(-)::chr1:128188246-128188258(-) ACAAGGAAGGAA >mm10_chr1:128244128-128244139(-)::chr1:128244127-128244139(-) ATCAGGAAGGAT >mm10_chr1:128244138-128244149(-)::chr1:128244137-128244149(-) GGAAGGAAGTAT >mm10_chr1:128304410-128304421(+)::chr1:128304409-128304421(+) AGGAGGAAATGA >mm10_chr1:128416873-128416884(-)::chr1:128416872-128416884(-) AACCGGAAGTAG >mm10_chr1:128547125-128547136(+)::chr1:128547124-128547136(+) ATCAGGAAGAAT >mm10_chr1:128547151-128547162(+)::chr1:128547150-128547162(+) GAGAGGAAGGGA >mm10_chr1:128547162-128547173(+)::chr1:128547161-128547173(+) AGCAGGAAGAGG >mm10_chr1:128613290-128613301(-)::chr1:128613289-128613301(-) agaaggaaGCTG >mm10_chr1:128632887-128632898(+)::chr1:128632886-128632898(+) TCCAGGAAGTTT >mm10_chr1:128696166-128696177(+)::chr1:128696165-128696177(+) TGAAGGAAATGG >mm10_chr1:128700398-128700409(+)::chr1:128700397-128700409(+) aacaggaagaga >mm10_chr1:128722147-128722158(+)::chr1:128722146-128722158(+) AGGAGGAAGCCA >mm10_chr1:128766668-128766679(+)::chr1:128766667-128766679(+) ACAAGGAAGGGA >mm10_chr1:128766691-128766702(+)::chr1:128766690-128766702(+) AAAAGGAAGGGG >mm10_chr1:128789922-128789933(-)::chr1:128789921-128789933(-) ACAAGGAAAATG >mm10_chr1:128829796-128829807(-)::chr1:128829795-128829807(-) AGCAGGAAGACA >mm10_chr1:128829803-128829814(-)::chr1:128829802-128829814(-) ATCAGGAAGCAG >mm10_chr1:128933381-128933392(+)::chr1:128933380-128933392(+) AAGAGGAAGCCG >mm10_chr1:128986546-128986557(-)::chr1:128986545-128986557(-) gaaaggaaggaa >mm10_chr1:128986559-128986570(-)::chr1:128986558-128986570(-) cagaggaagtta >mm10_chr1:129126834-129126845(+)::chr1:129126833-129126845(+) GTCAGGAAGTTC >mm10_chr1:129658045-129658056(-)::chr1:129658044-129658056(-) AACAGGAAGGAA >mm10_chr1:130300015-130300026(+)::chr1:130300014-130300026(+) TGAAGGAAATAA >mm10_chr1:130300037-130300048(-)::chr1:130300036-130300048(-) GTAAGGAAGCCC >mm10_chr1:130486403-130486414(-)::chr1:130486402-130486414(-) GAGAGGAAGGAA >mm10_chr1:130486438-130486449(-)::chr1:130486437-130486449(-) ATGAGGAAGGAG >mm10_chr1:130487399-130487410(-)::chr1:130487398-130487410(-) ATAAGGAAGCTA >mm10_chr1:130487423-130487434(+)::chr1:130487422-130487434(+) TCAAGGAAGGCT >mm10_chr1:130550999-130551010(-)::chr1:130550998-130551010(-) AAACGGAAGAGG >mm10_chr1:130567024-130567035(+)::chr1:130567023-130567035(+) ATGAGGAAGTGT >mm10_chr1:130700420-130700431(-)::chr1:130700419-130700431(-) GTAGGGAAGTGT >mm10_chr1:130700471-130700482(+)::chr1:130700470-130700482(+) GTGAGGAAGTAA >mm10_chr1:130728832-130728843(+)::chr1:130728831-130728843(+) GCAAGGAAATGT >mm10_chr1:130728846-130728857(+)::chr1:130728845-130728857(+) GGCAGGAAGCAT >mm10_chr1:130741017-130741028(-)::chr1:130741016-130741028(-) GACAGGAAGTCG >mm10_chr1:130743945-130743956(-)::chr1:130743944-130743956(-) GGAAGGAAGCCA >mm10_chr1:130743949-130743960(-)::chr1:130743948-130743960(-) GACAGGAAGGAA >mm10_chr1:130743969-130743980(+)::chr1:130743968-130743980(+) AGAAGGAAGAGG >mm10_chr1:130748700-130748711(-)::chr1:130748699-130748711(-) AGCAGGAAGtct >mm10_chr1:130748728-130748739(-)::chr1:130748727-130748739(-) TCAAGGAAGTTG >mm10_chr1:130748782-130748793(-)::chr1:130748781-130748793(-) AGTAGGAAGATG >mm10_chr1:130762483-130762494(+)::chr1:130762482-130762494(+) AGAAGGAAAGAG >mm10_chr1:130764185-130764196(-)::chr1:130764184-130764196(-) AGAAGGAAGAGT >mm10_chr1:130764206-130764217(-)::chr1:130764205-130764217(-) GAGAGGAAGAAG >mm10_chr1:130775903-130775914(-)::chr1:130775902-130775914(-) ggaaggaagcac >mm10_chr1:130798258-130798269(-)::chr1:130798257-130798269(-) CAAAGGAAGCTG >mm10_chr1:130817473-130817484(+)::chr1:130817472-130817484(+) AGAAGGAAGTAG >mm10_chr1:130824273-130824284(+)::chr1:130824272-130824284(+) aggaggaagtga >mm10_chr1:130826790-130826801(+)::chr1:130826789-130826801(+) AGAAGGAAGTAG >mm10_chr1:130830145-130830156(+)::chr1:130830144-130830156(+) CCAAGGAAGAAA >mm10_chr1:130832683-130832694(+)::chr1:130832682-130832694(+) TAAAGGAAGTCT >mm10_chr1:130853273-130853284(+)::chr1:130853272-130853284(+) CCGAGGAAGTTC >mm10_chr1:130853278-130853289(-)::chr1:130853277-130853289(-) GTAAGGAACTTC >mm10_chr1:130853291-130853302(+)::chr1:130853290-130853302(+) AGAAGGAAGCTG >mm10_chr1:130864414-130864425(-)::chr1:130864413-130864425(-) AAGAGGAAATGT >mm10_chr1:130947213-130947224(+)::chr1:130947212-130947224(+) aggaggaagctg >mm10_chr1:130947507-130947518(-)::chr1:130947506-130947518(-) GGAAGGACGTTT >mm10_chr1:131067145-131067156(-)::chr1:131067144-131067156(-) TCCAGGAAGTTG >mm10_chr1:131080063-131080074(-)::chr1:131080062-131080074(-) AGCAGGAAGACT >mm10_chr1:131112743-131112754(+)::chr1:131112742-131112754(+) TTCCGGAAGTAC >mm10_chr1:131135797-131135808(-)::chr1:131135796-131135808(-) GGAAGGAACTCT >mm10_chr1:131135845-131135856(+)::chr1:131135844-131135856(+) ATAAGGAAAGGG >mm10_chr1:131136215-131136226(-)::chr1:131136214-131136226(-) AAGAGGAAGTGC >mm10_chr1:131144530-131144541(+)::chr1:131144529-131144541(+) acagggaagtag >mm10_chr1:131144573-131144584(+)::chr1:131144572-131144584(+) accaggaagtaa >mm10_chr1:131144615-131144626(+)::chr1:131144614-131144626(+) acaaagaagtag >mm10_chr1:131147641-131147652(-)::chr1:131147640-131147652(-) aggaggaagagg >mm10_chr1:131147656-131147667(-)::chr1:131147655-131147667(-) aaaaggaagagg >mm10_chr1:131147674-131147685(-)::chr1:131147673-131147685(-) aagaggaagaag >mm10_chr1:131161461-131161472(+)::chr1:131161460-131161472(+) TAAAGGAAGGCG >mm10_chr1:131164667-131164678(+)::chr1:131164666-131164678(+) TCCAGGAAGTTA >mm10_chr1:131181301-131181312(+)::chr1:131181300-131181312(+) AGAAGGAAGTCC >mm10_chr1:131181337-131181348(-)::chr1:131181336-131181348(-) TTAAGGAAGTGA >mm10_chr1:131181604-131181615(+)::chr1:131181603-131181615(+) GCCAGGAAGTTT >mm10_chr1:131184416-131184427(-)::chr1:131184415-131184427(-) ACAAGGAAATGG >mm10_chr1:131213037-131213048(-)::chr1:131213036-131213048(-) CTGAGGAAGTCC >mm10_chr1:131213054-131213065(+)::chr1:131213053-131213065(+) GAAAGGAAGGCC >mm10_chr1:131238657-131238668(-)::chr1:131238656-131238668(-) TAGAGGAAGTTC >mm10_chr1:131238991-131239002(-)::chr1:131238990-131239002(-) AGAAGGAAGAGG >mm10_chr1:131239171-131239182(-)::chr1:131239170-131239182(-) GTCAGGAAGTGC >mm10_chr1:131247197-131247208(-)::chr1:131247196-131247208(-) CCAAGGAAGAGT >mm10_chr1:131252694-131252705(-)::chr1:131252693-131252705(-) AGTAGGAAGTTT >mm10_chr1:131289172-131289183(+)::chr1:131289171-131289183(+) TGGAGGAAGGAC >mm10_chr1:131301953-131301964(-)::chr1:131301952-131301964(-) CGAAGGCAGTGA >mm10_chr1:131301994-131302005(+)::chr1:131301993-131302005(+) GAAGGGAAGTCA >mm10_chr1:131366056-131366067(+)::chr1:131366055-131366067(+) ggcaggaagaag >mm10_chr1:131366063-131366074(+)::chr1:131366062-131366074(+) agaaggaagtgg >mm10_chr1:131384625-131384636(+)::chr1:131384624-131384636(+) GGTAGGAAATGA >mm10_chr1:131384654-131384665(+)::chr1:131384653-131384665(+) TACAGGAAATGC >mm10_chr1:131427334-131427345(+)::chr1:131427333-131427345(+) ACAAGGAAGGGG >mm10_chr1:131427385-131427396(+)::chr1:131427384-131427396(+) GGGAGGAAGGCA >mm10_chr1:131427393-131427404(+)::chr1:131427392-131427404(+) GGCAGGAAATCA >mm10_chr1:131427552-131427563(-)::chr1:131427551-131427563(-) AGGAGGAAGTTG >mm10_chr1:131438669-131438680(-)::chr1:131438668-131438680(-) ATGAGGAAGAAG >mm10_chr1:131446127-131446138(+)::chr1:131446126-131446138(+) CTCAGGAAGTGG >mm10_chr1:131446207-131446218(+)::chr1:131446206-131446218(+) AAAAGGAAGAGT >mm10_chr1:131448525-131448536(-)::chr1:131448524-131448536(-) AGCAGGAACTAA >mm10_chr1:131482375-131482386(+)::chr1:131482374-131482386(+) AACAGGAAGGTC >mm10_chr1:131497768-131497779(+)::chr1:131497767-131497779(+) ttaaggaagttc >mm10_chr1:131511239-131511250(-)::chr1:131511238-131511250(-) AACAGGAAGAAT >mm10_chr1:131511271-131511282(+)::chr1:131511270-131511282(+) TAGAGGAAGTAA >mm10_chr1:131531998-131532009(-)::chr1:131531997-131532009(-) tataggaagttc >mm10_chr1:131640126-131640137(+)::chr1:131640125-131640137(+) tcaaggaagaag >mm10_chr1:131653522-131653533(+)::chr1:131653521-131653533(+) AGAAGGAAGCCA >mm10_chr1:131688728-131688739(+)::chr1:131688727-131688739(+) AGAGGGAAGTGG >mm10_chr1:131690515-131690526(-)::chr1:131690514-131690526(-) ACAAGGAAGACT >mm10_chr1:131700573-131700584(+)::chr1:131700572-131700584(+) TCAGGGAAGTGT >mm10_chr1:131712441-131712452(+)::chr1:131712440-131712452(+) tgcaggaagagc >mm10_chr1:131712453-131712464(+)::chr1:131712452-131712464(+) tacaggaagaac >mm10_chr1:131719316-131719327(+)::chr1:131719315-131719327(+) AGCAGGAAGCAC >mm10_chr1:131740462-131740473(-)::chr1:131740461-131740473(-) TGCAGGAAGTCA >mm10_chr1:131740470-131740481(+)::chr1:131740469-131740481(+) TGCAGGAAGTGC >mm10_chr1:131750394-131750405(+)::chr1:131750393-131750405(+) AGAAGGAAGGCC >mm10_chr1:131750408-131750419(+)::chr1:131750407-131750419(+) CGAAAGAAGTGA >mm10_chr1:131752282-131752293(+)::chr1:131752281-131752293(+) GAAAGGAAGCCT >mm10_chr1:131770996-131771007(+)::chr1:131770995-131771007(+) TACAGGAAGCAG >mm10_chr1:131776060-131776071(+)::chr1:131776059-131776071(+) ACCAGGAAGTGG >mm10_chr1:131786959-131786970(-)::chr1:131786958-131786970(-) tacaggaaatAt >mm10_chr1:131802923-131802934(-)::chr1:131802922-131802934(-) GGCAGGAAGCAC >mm10_chr1:131813863-131813874(-)::chr1:131813862-131813874(-) AAGAGGAAATGG >mm10_chr1:131813869-131813880(-)::chr1:131813868-131813880(-) AAAAGGAAGAGG >mm10_chr1:131827616-131827627(+)::chr1:131827615-131827627(+) AGAAGGAACACG >mm10_chr1:131828718-131828729(+)::chr1:131828717-131828729(+) CGAAGGAACTCA >mm10_chr1:131867467-131867478(+)::chr1:131867466-131867478(+) GGGAGGAAGCGC >mm10_chr1:131940908-131940919(-)::chr1:131940907-131940919(-) ACAAGGAAATGC >mm10_chr1:131948621-131948632(+)::chr1:131948620-131948632(+) ACCAGGAAGATG >mm10_chr1:131953075-131953086(+)::chr1:131953074-131953086(+) aggaggaagagg >mm10_chr1:131953081-131953092(+)::chr1:131953080-131953092(+) aagaggaagagg >mm10_chr1:131953087-131953098(+)::chr1:131953086-131953098(+) aagaggaagagg >mm10_chr1:131953108-131953119(+)::chr1:131953107-131953119(+) aggaggaagagg >mm10_chr1:131953135-131953146(+)::chr1:131953134-131953146(+) aggaggaagagg >mm10_chr1:131953147-131953158(+)::chr1:131953146-131953158(+) aggaggaagagC >mm10_chr1:131973135-131973146(-)::chr1:131973134-131973146(-) GTACGGAAGTCC >mm10_chr1:131973167-131973178(-)::chr1:131973166-131973178(-) GGGAGGAAGGAC >mm10_chr1:131973206-131973217(-)::chr1:131973205-131973217(-) GACAGGAAGAGG >mm10_chr1:131979203-131979214(+)::chr1:131979202-131979214(+) tgcaggaagtgc >mm10_chr1:131992645-131992656(+)::chr1:131992644-131992656(+) ACCAGGAAGACT >mm10_chr1:131992652-131992663(-)::chr1:131992651-131992663(-) TCTAGGAAGTCT >mm10_chr1:131992660-131992671(+)::chr1:131992659-131992671(+) TAGAGGAAGTAA >mm10_chr1:132007581-132007592(+)::chr1:132007580-132007592(+) TCGAGGAAGGGC >mm10_chr1:132008452-132008463(-)::chr1:132008451-132008463(-) TACAGGAAGCGA >mm10_chr1:132044233-132044244(-)::chr1:132044232-132044244(-) agaaggaagaag >mm10_chr1:132044246-132044257(-)::chr1:132044245-132044257(-) aagaggaagatg >mm10_chr1:132044252-132044263(-)::chr1:132044251-132044263(-) agaaggaagagg >mm10_chr1:132044262-132044273(-)::chr1:132044261-132044273(-) aggaggaagaag >mm10_chr1:132044271-132044282(-)::chr1:132044270-132044282(-) agaaggaagagg >mm10_chr1:132046063-132046074(+)::chr1:132046062-132046074(+) AGAAGGAAATGG >mm10_chr1:132046075-132046086(+)::chr1:132046074-132046086(+) ATAAGGAAGCCA >mm10_chr1:132046268-132046279(+)::chr1:132046267-132046279(+) GGCAGGAAGCAT >mm10_chr1:132055309-132055320(-)::chr1:132055308-132055320(-) agcaggaaggac >mm10_chr1:132061957-132061968(-)::chr1:132061956-132061968(-) ACCAGGAAGAAG >mm10_chr1:132085529-132085540(-)::chr1:132085528-132085540(-) TGAAGGAAATGA >mm10_chr1:132085598-132085609(+)::chr1:132085597-132085609(+) TAGAGGAAGTTG >mm10_chr1:132090037-132090048(-)::chr1:132090036-132090048(-) ATGAGGAAGTCT >mm10_chr1:132090054-132090065(-)::chr1:132090053-132090065(-) AATAGGAAGGGC >mm10_chr1:132112583-132112594(+)::chr1:132112582-132112594(+) TCGAGGAAGCAG >mm10_chr1:132125563-132125574(+)::chr1:132125562-132125574(+) aagaggaaatgg >mm10_chr1:132125616-132125627(-)::chr1:132125615-132125627(-) atcaggaagtct >mm10_chr1:132126170-132126181(-)::chr1:132126169-132126181(-) ATAAGGAGGTTG >mm10_chr1:132126206-132126217(-)::chr1:132126205-132126217(-) AACAGGAAGTGC >mm10_chr1:132137729-132137740(+)::chr1:132137728-132137740(+) aagaggaagagg >mm10_chr1:132137735-132137746(+)::chr1:132137734-132137746(+) aagaggaagagg >mm10_chr1:132140117-132140128(+)::chr1:132140116-132140128(+) TGGAGGAAGAGT >mm10_chr1:132140124-132140135(-)::chr1:132140123-132140135(-) GGAAGGAACTCT >mm10_chr1:132146387-132146398(-)::chr1:132146386-132146398(-) AGGAGGAAGCCA >mm10_chr1:132151423-132151434(-)::chr1:132151422-132151434(-) GCCAGGAAGAGA >mm10_chr1:132151437-132151448(+)::chr1:132151436-132151448(+) AGCAGGAAGGAC >mm10_chr1:132155882-132155893(+)::chr1:132155881-132155893(+) AATAGGAAGTAA >mm10_chr1:132291366-132291377(+)::chr1:132291365-132291377(+) TCGAGGAAGCCC >mm10_chr1:132317591-132317602(-)::chr1:132317590-132317602(-) GCCCGGAAGTAC >mm10_chr1:132319605-132319616(-)::chr1:132319604-132319616(-) ATCAGGAAGAAG >mm10_chr1:132323165-132323176(+)::chr1:132323164-132323176(+) AAGAGGAAGTCC >mm10_chr1:132333815-132333826(+)::chr1:132333814-132333826(+) GGGAGGAAGTCA >mm10_chr1:132370837-132370848(-)::chr1:132370836-132370848(-) ACTAGGAAGTAT >mm10_chr1:132371118-132371129(-)::chr1:132371117-132371129(-) ATGAGGAAGCAG >mm10_chr1:132371544-132371555(+)::chr1:132371543-132371555(+) TCAGGGAAGTCA >mm10_chr1:132398552-132398563(-)::chr1:132398551-132398563(-) agcaggaagaat >mm10_chr1:132398565-132398576(+)::chr1:132398564-132398576(+) tacaggaagaag >mm10_chr1:132415437-132415448(-)::chr1:132415436-132415448(-) atgaggaagact >mm10_chr1:132415469-132415480(-)::chr1:132415468-132415480(-) aagaggaagaCA >mm10_chr1:132415481-132415492(-)::chr1:132415480-132415492(-) atgaggaagaag >mm10_chr1:132426708-132426719(+)::chr1:132426707-132426719(+) AAGAGGAAGCAG >mm10_chr1:132426725-132426736(+)::chr1:132426724-132426736(+) CTAAGGAAGATC >mm10_chr1:132426756-132426767(+)::chr1:132426755-132426767(+) ACAAGGAAGGAA >mm10_chr1:132426760-132426771(+)::chr1:132426759-132426771(+) GGAAGGAACttg >mm10_chr1:132437629-132437640(-)::chr1:132437628-132437640(-) aagaggaagaAC >mm10_chr1:132437659-132437670(-)::chr1:132437658-132437670(-) gagaggaagaga >mm10_chr1:132440311-132440322(+)::chr1:132440310-132440322(+) TGTAGGAAGGGA >mm10_chr1:132440322-132440333(-)::chr1:132440321-132440333(-) TAGAGGAAGATT >mm10_chr1:132477336-132477347(+)::chr1:132477335-132477347(+) ACAAGGAAGGCG >mm10_chr1:132511683-132511694(-)::chr1:132511682-132511694(-) TCGAGGAAGCTG >mm10_chr1:132545017-132545028(-)::chr1:132545016-132545028(-) aggaggaagaga >mm10_chr1:132545053-132545064(-)::chr1:132545052-132545064(-) aggaggaagaag >mm10_chr1:132545060-132545071(-)::chr1:132545059-132545071(-) gaaaggaaggag >mm10_chr1:132557589-132557600(-)::chr1:132557588-132557600(-) TAGAGGAAGTTA >mm10_chr1:132557643-132557654(+)::chr1:132557642-132557654(+) ACCAGGAAGGGC >mm10_chr1:132609220-132609231(+)::chr1:132609219-132609231(+) ACAAGGAAGATG >mm10_chr1:132641242-132641253(+)::chr1:132641241-132641253(+) GTGAGGAAGTCA >mm10_chr1:132656391-132656402(+)::chr1:132656390-132656402(+) GGAAGGAAGTGA >mm10_chr1:132667688-132667699(+)::chr1:132667687-132667699(+) gaaaggaagaga >mm10_chr1:132706348-132706359(-)::chr1:132706347-132706359(-) TCCAGGAAGTCT >mm10_chr1:132737085-132737096(-)::chr1:132737084-132737096(-) ATCAGGAAATGG >mm10_chr1:132787145-132787156(+)::chr1:132787144-132787156(+) AAGAGGAAGTTC >mm10_chr1:132882707-132882718(-)::chr1:132882706-132882718(-) ACAAGGAAATTC >mm10_chr1:132893588-132893599(-)::chr1:132893587-132893599(-) AAGAGGAAGTCG >mm10_chr1:132893647-132893658(-)::chr1:132893646-132893658(-) TGAAGGAAGGTT >mm10_chr1:132988784-132988795(+)::chr1:132988783-132988795(+) ACCAGGAAGTCC >mm10_chr1:133012471-133012482(+)::chr1:133012470-133012482(+) CAAAGGAAGTGT >mm10_chr1:133012489-133012500(+)::chr1:133012488-133012500(+) AACAGGAAATTC >mm10_chr1:133033841-133033852(+)::chr1:133033840-133033852(+) AGCAGGAAGCAG >mm10_chr1:133043015-133043026(-)::chr1:133043014-133043026(-) aagaggaagaag >mm10_chr1:133043034-133043045(-)::chr1:133043033-133043045(-) aggaggaagaaa >mm10_chr1:133043049-133043060(-)::chr1:133043048-133043060(-) aagaggaagagg >mm10_chr1:133043055-133043066(-)::chr1:133043054-133043066(-) aggaggaagagg >mm10_chr1:133050262-133050273(-)::chr1:133050261-133050273(-) ACAAGGAAGCCA >mm10_chr1:133054480-133054491(+)::chr1:133054479-133054491(+) ACCAGGAAATAA >mm10_chr1:133070382-133070393(+)::chr1:133070381-133070393(+) ATGAGGAAGTTG >mm10_chr1:133070382-133070393(+)::chr1:133070381-133070393(+) ATGAGGAAGTTG >mm10_chr1:133076268-133076279(+)::chr1:133076267-133076279(+) ACATGGAAGTGT >mm10_chr1:133078307-133078318(-)::chr1:133078306-133078318(-) AGAAGGAAGAAG >mm10_chr1:133086866-133086877(+)::chr1:133086865-133086877(+) CCCAGGAAGTAC >mm10_chr1:133086893-133086904(-)::chr1:133086892-133086904(-) AGGAGGAAGGAG >mm10_chr1:133088387-133088398(-)::chr1:133088386-133088398(-) ACAAGGAAGAGC >mm10_chr1:133089674-133089685(+)::chr1:133089673-133089685(+) TTAAGGAAGAGC >mm10_chr1:133101436-133101447(-)::chr1:133101435-133101447(-) GGAAGGAAGAAG >mm10_chr1:133101440-133101451(-)::chr1:133101439-133101451(-) GGCAGGAAGGAA >mm10_chr1:133103441-133103452(-)::chr1:133103440-133103452(-) ACCAGGAAGGTG >mm10_chr1:133103460-133103471(-)::chr1:133103459-133103471(-) ACCAGGAAGGTG >mm10_chr1:133103721-133103732(+)::chr1:133103720-133103732(+) GGAAGGAAGTAG >mm10_chr1:133124507-133124518(+)::chr1:133124506-133124518(+) TAAAGGAAGAGT >mm10_chr1:133129412-133129423(+)::chr1:133129411-133129423(+) TACAGGAAGGGC >mm10_chr1:133146229-133146240(-)::chr1:133146228-133146240(-) ATAAGGAAGGAG >mm10_chr1:133146776-133146787(-)::chr1:133146775-133146787(-) TGCAGGAAGTCG >mm10_chr1:133179311-133179322(-)::chr1:133179310-133179322(-) GCAGGGAAGTTG >mm10_chr1:133183403-133183414(+)::chr1:133183402-133183414(+) AGGAGGAAGGTG >mm10_chr1:133188885-133188896(-)::chr1:133188884-133188896(-) AGCAGGAAGCTG >mm10_chr1:133201658-133201669(-)::chr1:133201657-133201669(-) AGCAGGAAGGGT >mm10_chr1:133204768-133204779(-)::chr1:133204767-133204779(-) ACCAGGAAATGT >mm10_chr1:133229315-133229326(-)::chr1:133229314-133229326(-) AAGAGGAAGTAA >mm10_chr1:133229321-133229332(-)::chr1:133229320-133229332(-) ACACGGAAGAGG >mm10_chr1:133239694-133239705(+)::chr1:133239693-133239705(+) acaaggatgttt >mm10_chr1:133245481-133245492(+)::chr1:133245480-133245492(+) gggaggaagagg >mm10_chr1:133247292-133247303(-)::chr1:133247291-133247303(-) AGAAGGAAGCGT >mm10_chr1:133247841-133247852(-)::chr1:133247840-133247852(-) TCAAGGAAGGAG >mm10_chr1:133247924-133247935(+)::chr1:133247923-133247935(+) TCAAGGAAGATC >mm10_chr1:133254507-133254518(-)::chr1:133254506-133254518(-) GTCAGGAAGTAA >mm10_chr1:133263305-133263316(+)::chr1:133263304-133263316(+) ACAGGGAAGTAA >mm10_chr1:133300880-133300891(-)::chr1:133300879-133300891(-) AGAAGGAAGGAC >mm10_chr1:133310669-133310680(+)::chr1:133310668-133310680(+) tacaggaagtga >mm10_chr1:133310717-133310728(+)::chr1:133310716-133310728(+) tccaggaagagg >mm10_chr1:133328361-133328372(-)::chr1:133328360-133328372(-) ACTAGGAAGTCC >mm10_chr1:133329120-133329131(+)::chr1:133329119-133329131(+) CAAAGGAAGTAG >mm10_chr1:133334734-133334745(+)::chr1:133334733-133334745(+) acaaagaagtaa >mm10_chr1:133334738-133334749(+)::chr1:133334737-133334749(+) agaagtaagttc >mm10_chr1:133334776-133334787(+)::chr1:133334775-133334787(+) acgaggaagtaa >mm10_chr1:133340473-133340484(+)::chr1:133340472-133340484(+) acaaggaagtaa >mm10_chr1:133342024-133342035(+)::chr1:133342023-133342035(+) ACAAGGAAAGAC >mm10_chr1:133385731-133385742(+)::chr1:133385730-133385742(+) GGCAGGAAGTAG >mm10_chr1:133385750-133385761(+)::chr1:133385749-133385761(+) GGAGGGAAGCGA >mm10_chr1:133397839-133397850(+)::chr1:133397838-133397850(+) AAAAGGCAGTGG >mm10_chr1:133407505-133407516(-)::chr1:133407504-133407516(-) tagaggaagagc >mm10_chr1:133413083-133413094(-)::chr1:133413082-133413094(-) AGGAGGAAGGGA >mm10_chr1:133413090-133413101(-)::chr1:133413089-133413101(-) GACAGGAAGGAG >mm10_chr1:133415111-133415122(-)::chr1:133415110-133415122(-) AGGAGGAAGGGA >mm10_chr1:133434917-133434928(-)::chr1:133434916-133434928(-) AGGAGGAAGTCG >mm10_chr1:133434924-133434935(-)::chr1:133434923-133434935(-) AGAAGGAAGGAG >mm10_chr1:133437949-133437960(-)::chr1:133437948-133437960(-) ACCAGGAAGCTG >mm10_chr1:133437986-133437997(-)::chr1:133437985-133437997(-) acCAGGAAGTAT >mm10_chr1:133463278-133463289(-)::chr1:133463277-133463289(-) atgaggaagtca >mm10_chr1:133482860-133482871(+)::chr1:133482859-133482871(+) ggcaggaaggaa >mm10_chr1:133482864-133482875(+)::chr1:133482863-133482875(+) ggaaggaagTAT >mm10_chr1:133492430-133492441(+)::chr1:133492429-133492441(+) GGAAGGAAGGAG >mm10_chr1:133493646-133493657(+)::chr1:133493645-133493657(+) GGGAGGAAGGGG >mm10_chr1:133544931-133544942(+)::chr1:133544930-133544942(+) AGGAGGAAGAGG >mm10_chr1:133545252-133545263(+)::chr1:133545251-133545263(+) AGCAGGAAGTGA >mm10_chr1:133671112-133671123(-)::chr1:133671111-133671123(-) aagaggaagagg >mm10_chr1:133671118-133671129(-)::chr1:133671117-133671129(-) aagaggaagagg >mm10_chr1:133671124-133671135(-)::chr1:133671123-133671135(-) aagaggaagagg >mm10_chr1:133677609-133677620(-)::chr1:133677608-133677620(-) AGGAGGAAGGGT >mm10_chr1:133686074-133686085(+)::chr1:133686073-133686085(+) AGCAGGAAGTAG >mm10_chr1:133713092-133713103(+)::chr1:133713091-133713103(+) GGGAGGAAATGC >mm10_chr1:133727483-133727494(-)::chr1:133727482-133727494(-) ggaaggaagagg >mm10_chr1:133727487-133727498(-)::chr1:133727486-133727498(-) aagaggaaggaa >mm10_chr1:133727493-133727504(-)::chr1:133727492-133727504(-) gggaggaagagg >mm10_chr1:133727503-133727514(-)::chr1:133727502-133727514(-) agaaggaagggg >mm10_chr1:133727522-133727533(-)::chr1:133727521-133727533(-) aagaggaagagg >mm10_chr1:133727528-133727539(-)::chr1:133727527-133727539(-) agaaggaagagg >mm10_chr1:133727555-133727566(-)::chr1:133727554-133727566(-) AAgaggaagaag >mm10_chr1:133734808-133734819(-)::chr1:133734807-133734819(-) AACAGGAAGGAG >mm10_chr1:133779123-133779134(+)::chr1:133779122-133779134(+) AGGAGGAAGACA >mm10_chr1:133822381-133822392(+)::chr1:133822380-133822392(+) aggaggaagagg >mm10_chr1:133822408-133822419(+)::chr1:133822407-133822419(+) aggaggaagaag >mm10_chr1:133893012-133893023(+)::chr1:133893011-133893023(+) CTCAGGAAGTTA >mm10_chr1:133918036-133918047(-)::chr1:133918035-133918047(-) GGCAGGAAGAGG >mm10_chr1:133921102-133921113(+)::chr1:133921101-133921113(+) ATCAGGAAATGA >mm10_chr1:133921151-133921162(+)::chr1:133921150-133921162(+) AGAAGGAAGAAG >mm10_chr1:133975335-133975346(-)::chr1:133975334-133975346(-) aggaggaagagg >mm10_chr1:133975344-133975355(-)::chr1:133975343-133975355(-) aagaggaagagg >mm10_chr1:133975350-133975361(-)::chr1:133975349-133975361(-) aggaggaagagg >mm10_chr1:133975374-133975385(-)::chr1:133975373-133975385(-) aggaggaagagg >mm10_chr1:133975381-133975392(-)::chr1:133975380-133975392(-) gagaggaaggag >mm10_chr1:133975397-133975408(-)::chr1:133975396-133975408(-) aaaaggaagaga >mm10_chr1:134022146-134022157(+)::chr1:134022145-134022157(+) AGGAGGAAGGAG >mm10_chr1:134022153-134022164(+)::chr1:134022152-134022164(+) AGGAGGAAGAGG >mm10_chr1:134043653-134043664(+)::chr1:134043652-134043664(+) ACCAGGAAGGAG >mm10_chr1:134055294-134055305(+)::chr1:134055293-134055305(+) ACGCGGAAGACA >mm10_chr1:134058939-134058950(-)::chr1:134058938-134058950(-) CCCAGGAAGTCC >mm10_chr1:134058982-134058993(-)::chr1:134058981-134058993(-) GACAGGAAGGAA >mm10_chr1:134065256-134065267(+)::chr1:134065255-134065267(+) ACAGGGAAGTGA >mm10_chr1:134065558-134065569(-)::chr1:134065557-134065569(-) AACAGGAAGTTG >mm10_chr1:134065629-134065640(-)::chr1:134065628-134065640(-) GAACGGAAGGGT >mm10_chr1:134069753-134069764(-)::chr1:134069752-134069764(-) AGAAGGAAATAA >mm10_chr1:134094059-134094070(-)::chr1:134094058-134094070(-) CAGAGGAAGTGG >mm10_chr1:134094072-134094083(-)::chr1:134094071-134094083(-) TCAAGGAAGTGG >mm10_chr1:134103979-134103990(+)::chr1:134103978-134103990(+) TCCAGGAAATAC >mm10_chr1:134105909-134105920(+)::chr1:134105908-134105920(+) CTCAGGAAGTTG >mm10_chr1:134105949-134105960(+)::chr1:134105948-134105960(+) TTAAGGAACTAG >mm10_chr1:134115633-134115644(+)::chr1:134115632-134115644(+) ATAAGGAAATAA >mm10_chr1:134173000-134173011(-)::chr1:134172999-134173011(-) ATAAGGAAAAAG >mm10_chr1:134173066-134173077(+)::chr1:134173065-134173077(+) CCAAGGAAGAAC >mm10_chr1:134178883-134178894(+)::chr1:134178882-134178894(+) gggaggaagtct >mm10_chr1:134178890-134178901(-)::chr1:134178889-134178901(-) atgaggaagact >mm10_chr1:134186479-134186490(-)::chr1:134186478-134186490(-) AGCAGGAAGCAA >mm10_chr1:134211466-134211477(+)::chr1:134211465-134211477(+) CCAGGGAAGTAA >mm10_chr1:134211497-134211508(-)::chr1:134211496-134211508(-) TGCAGGAAGTAT >mm10_chr1:134217274-134217285(+)::chr1:134217273-134217285(+) GGAAGGAGGTGA >mm10_chr1:134222979-134222990(-)::chr1:134222978-134222990(-) AGCAGGAAGCTC >mm10_chr1:134233055-134233066(+)::chr1:134233054-134233066(+) AGGAGGAAGCTG >mm10_chr1:134233373-134233384(+)::chr1:134233372-134233384(+) AACAGGAAGGGC >mm10_chr1:134241163-134241174(+)::chr1:134241162-134241174(+) AGGAGGAAATCA >mm10_chr1:134302980-134302991(+)::chr1:134302979-134302991(+) atcaggaagtac >mm10_chr1:134381767-134381778(-)::chr1:134381766-134381778(-) ACAAGGAAGGGG >mm10_chr1:134382282-134382293(-)::chr1:134382281-134382293(-) AACAGGAAATGC >mm10_chr1:134400025-134400036(+)::chr1:134400024-134400036(+) ACTAGGAAATGA >mm10_chr1:134406269-134406280(-)::chr1:134406268-134406280(-) CTGAGGAAGTGC >mm10_chr1:134406291-134406302(-)::chr1:134406290-134406302(-) AGGAGGAAGCGT >mm10_chr1:134420182-134420193(-)::chr1:134420181-134420193(-) AAAGGGAAGTCT >mm10_chr1:134420196-134420207(+)::chr1:134420195-134420207(+) GGAAGGATGTTT >mm10_chr1:134455127-134455138(-)::chr1:134455126-134455138(-) AAAAGGAACTTG >mm10_chr1:134455147-134455158(+)::chr1:134455146-134455158(+) TGAAGGAAGCAA >mm10_chr1:134513267-134513278(+)::chr1:134513266-134513278(+) AGAAGGAAGGTG >mm10_chr1:134560184-134560195(+)::chr1:134560183-134560195(+) CGGAGGAAGGCT >mm10_chr1:134587978-134587989(+)::chr1:134587977-134587989(+) ACAAGGAAGTAC >mm10_chr1:134714621-134714632(-)::chr1:134714620-134714632(-) ATCGGGAAGTCT >mm10_chr1:134714650-134714661(-)::chr1:134714649-134714661(-) GAAAGGAAGTGT >mm10_chr1:134715642-134715653(-)::chr1:134715641-134715653(-) AGAAGGAAGGAC >mm10_chr1:134725381-134725392(-)::chr1:134725380-134725392(-) AAACGGAAGCAG >mm10_chr1:134736658-134736669(-)::chr1:134736657-134736669(-) GAAAGGAACTGG >mm10_chr1:134766621-134766632(+)::chr1:134766620-134766632(+) TACAGGAAGTGT >mm10_chr1:134772214-134772225(-)::chr1:134772213-134772225(-) ACGAGGAAGTAG >mm10_chr1:134772251-134772262(+)::chr1:134772250-134772262(+) ATAAGGAAATTG >mm10_chr1:134774983-134774994(+)::chr1:134774982-134774994(+) ACCAGGAAGGTC >mm10_chr1:134777311-134777322(-)::chr1:134777310-134777322(-) ATAAGAAAGTAG >mm10_chr1:134782934-134782945(+)::chr1:134782933-134782945(+) ACAAGGAAGGAC >mm10_chr1:134782970-134782981(+)::chr1:134782969-134782981(+) GGAAGGAAGGGA >mm10_chr1:134784647-134784658(-)::chr1:134784646-134784658(-) ATGAGGAAGAGC >mm10_chr1:134784672-134784683(+)::chr1:134784671-134784683(+) ATAAGGACGTGC >mm10_chr1:134792291-134792302(+)::chr1:134792290-134792302(+) CAAAGGAAGGAG >mm10_chr1:134792363-134792374(+)::chr1:134792362-134792374(+) AGTAGGAAGTAG >mm10_chr1:134796261-134796272(+)::chr1:134796260-134796272(+) AGAAGGAAAGAC >mm10_chr1:134796295-134796306(-)::chr1:134796294-134796306(-) AATAGGAAATGG >mm10_chr1:134803779-134803790(+)::chr1:134803778-134803790(+) GGAAGGAAGCAG >mm10_chr1:134803792-134803803(+)::chr1:134803791-134803803(+) CTGAGGAAGTGA >mm10_chr1:134812979-134812990(+)::chr1:134812978-134812990(+) GGGAGGAAGAAA >mm10_chr1:134893474-134893485(-)::chr1:134893473-134893485(-) AGGAGGAAGAAC >mm10_chr1:134893481-134893492(-)::chr1:134893480-134893492(-) TCAAGGAAGGAG >mm10_chr1:134925525-134925536(-)::chr1:134925524-134925536(-) aggaggaagact >mm10_chr1:134925539-134925550(-)::chr1:134925538-134925550(-) tccaggaagaac >mm10_chr1:134961827-134961838(-)::chr1:134961826-134961838(-) gtgaggaagtta >mm10_chr1:135042725-135042736(+)::chr1:135042724-135042736(+) ACCAGGAAGGCA >mm10_chr1:135042745-135042756(-)::chr1:135042744-135042756(-) TTGAGGAAGTGT >mm10_chr1:135097012-135097023(+)::chr1:135097011-135097023(+) acaAGGAAGGAG >mm10_chr1:135097024-135097035(-)::chr1:135097023-135097035(-) AGAAGGAAAGAA >mm10_chr1:135099241-135099252(-)::chr1:135099240-135099252(-) TGGAGGAAGTGA >mm10_chr1:135126619-135126630(-)::chr1:135126618-135126630(-) TACAGGAAGATG >mm10_chr1:135131200-135131211(-)::chr1:135131199-135131211(-) AGAAGGAAGTAG >mm10_chr1:135131231-135131242(-)::chr1:135131230-135131242(-) AAAAGGAAGTGA >mm10_chr1:135147540-135147551(+)::chr1:135147539-135147551(+) ACGCGGAAGCCG >mm10_chr1:135227545-135227556(+)::chr1:135227544-135227556(+) GGAAGGAAGGAT >mm10_chr1:135227549-135227560(+)::chr1:135227548-135227560(+) GGAAGGATGTAA >mm10_chr1:135261204-135261215(+)::chr1:135261203-135261215(+) AAGAGGAAGAAG >mm10_chr1:135272219-135272230(-)::chr1:135272218-135272230(-) AAGAGGAAGGGA >mm10_chr1:135272230-135272241(-)::chr1:135272229-135272241(-) AGGAGGAAGCAA >mm10_chr1:135282744-135282755(+)::chr1:135282743-135282755(+) AGGAGGAAGTCA >mm10_chr1:135283305-135283316(+)::chr1:135283304-135283316(+) ACCAGGAAGGCA >mm10_chr1:135283313-135283324(+)::chr1:135283312-135283324(+) GGCAGGAAGGAA >mm10_chr1:135283317-135283328(+)::chr1:135283316-135283328(+) GGAAGGAAGGAC >mm10_chr1:135313775-135313786(+)::chr1:135313774-135313786(+) CGACGGAAGTGA >mm10_chr1:135313826-135313837(-)::chr1:135313825-135313837(-) GGCAGGAAGAGA >mm10_chr1:135338507-135338518(-)::chr1:135338506-135338518(-) aaaaggaaggag >mm10_chr1:135338525-135338536(-)::chr1:135338524-135338536(-) aagaggaagaag >mm10_chr1:135338539-135338550(-)::chr1:135338538-135338550(-) agtaggaaggag >mm10_chr1:135338546-135338557(-)::chr1:135338545-135338557(-) aggaggaagtag >mm10_chr1:135363910-135363921(+)::chr1:135363909-135363921(+) GACAGGAAGGAG >mm10_chr1:135363958-135363969(+)::chr1:135363957-135363969(+) GCAAGGAAGGAG >mm10_chr1:135363965-135363976(+)::chr1:135363964-135363976(+) AGGAGGAAGAAA >mm10_chr1:135396395-135396406(-)::chr1:135396394-135396406(-) ACCAGGAAGTGC >mm10_chr1:135396419-135396430(-)::chr1:135396418-135396430(-) ATAAGGAAAGAG >mm10_chr1:135426434-135426445(+)::chr1:135426433-135426445(+) AACAGGAAGAGC >mm10_chr1:135467130-135467141(-)::chr1:135467129-135467141(-) AGAAGGAAGTGG >mm10_chr1:135517098-135517109(-)::chr1:135517097-135517109(-) AACAGGAAGAAC >mm10_chr1:135549009-135549020(-)::chr1:135549008-135549020(-) TCTAGGAAGTTC >mm10_chr1:135553521-135553532(+)::chr1:135553520-135553532(+) AGCAGGAAGCTG >mm10_chr1:135553558-135553569(-)::chr1:135553557-135553569(-) AGCAGGAAGGGG >mm10_chr1:135553572-135553583(-)::chr1:135553571-135553583(-) AGCAGGAAGAGC >mm10_chr1:135558310-135558321(+)::chr1:135558309-135558321(+) TCCAGGAAGAAA >mm10_chr1:135631656-135631667(+)::chr1:135631655-135631667(+) AACAGGAAGTAG >mm10_chr1:135631698-135631709(+)::chr1:135631697-135631709(+) AGTAGGAAGGAG >mm10_chr1:135669976-135669987(-)::chr1:135669975-135669987(-) TGGAGGAAGTAC >mm10_chr1:135673641-135673652(-)::chr1:135673640-135673652(-) TAAAGGAAGGAA >mm10_chr1:135673660-135673671(-)::chr1:135673659-135673671(-) TGCAGGAAGCAC >mm10_chr1:135726062-135726073(+)::chr1:135726061-135726073(+) AGAAGGAAATGC >mm10_chr1:135731604-135731615(-)::chr1:135731603-135731615(-) ATGCGGAAGTGT >mm10_chr1:135731626-135731637(+)::chr1:135731625-135731637(+) CTAAGGAAATGG >mm10_chr1:135747316-135747327(-)::chr1:135747315-135747327(-) agaaggaagaag >mm10_chr1:135747323-135747334(-)::chr1:135747322-135747334(-) agaaggaagaag >mm10_chr1:135747330-135747341(-)::chr1:135747329-135747341(-) agaaggaagaag >mm10_chr1:135747337-135747348(-)::chr1:135747336-135747348(-) agaaggaagaag >mm10_chr1:135747344-135747355(-)::chr1:135747343-135747355(-) agaaggaagaag >mm10_chr1:135747351-135747362(-)::chr1:135747350-135747362(-) agaaggaagaag >mm10_chr1:135747358-135747369(-)::chr1:135747357-135747369(-) agaaggaagaag >mm10_chr1:135784095-135784106(-)::chr1:135784094-135784106(-) GGAAGGAAGTTA >mm10_chr1:135784142-135784153(-)::chr1:135784141-135784153(-) ACCAGGAAATGA >mm10_chr1:135787965-135787976(-)::chr1:135787964-135787976(-) AGCAGGAAGAAG >mm10_chr1:135818660-135818671(+)::chr1:135818659-135818671(+) AGCAGGAAGTCT >mm10_chr1:135846374-135846385(+)::chr1:135846373-135846385(+) AACAGGAAGTCC >mm10_chr1:135846816-135846827(+)::chr1:135846815-135846827(+) ACCAGGAAGCGA >mm10_chr1:135847239-135847250(-)::chr1:135847238-135847250(-) AGAAGGAAATGC >mm10_chr1:135852647-135852658(+)::chr1:135852646-135852658(+) GGGAGGAAGGAA >mm10_chr1:135852651-135852662(+)::chr1:135852650-135852662(+) GGAAGGAAGGCT >mm10_chr1:135945697-135945708(+)::chr1:135945696-135945708(+) TTAAGGAAAGCG >mm10_chr1:135945854-135945865(-)::chr1:135945853-135945865(-) AGAAGGAACTGT >mm10_chr1:135945933-135945944(-)::chr1:135945932-135945944(-) CACAGGAAGTAA >mm10_chr1:136135197-136135208(+)::chr1:136135196-136135208(+) ATAAGGAAGTGG >mm10_chr1:136166460-136166471(-)::chr1:136166459-136166471(-) ggaaggaaggac >mm10_chr1:136166464-136166475(-)::chr1:136166463-136166475(-) acaaggaaggaa >mm10_chr1:136210626-136210637(+)::chr1:136210625-136210637(+) aggaggaagagg >mm10_chr1:136210632-136210643(+)::chr1:136210631-136210643(+) aagaggaagagg >mm10_chr1:136210648-136210659(+)::chr1:136210647-136210659(+) aggaggaagaga >mm10_chr1:136210660-136210671(+)::chr1:136210659-136210671(+) aggaggaagagg >mm10_chr1:136210666-136210677(+)::chr1:136210665-136210677(+) aagaggaagagg >mm10_chr1:136210672-136210683(+)::chr1:136210671-136210683(+) aagaggaaatga >mm10_chr1:136220619-136220630(-)::chr1:136220618-136220630(-) ATAAGGAAATAG >mm10_chr1:136223633-136223644(-)::chr1:136223632-136223644(-) AGGAGGAAGTAG >mm10_chr1:136224589-136224600(-)::chr1:136224588-136224600(-) TTAAGGAAGTGG >mm10_chr1:136345267-136345278(+)::chr1:136345266-136345278(+) GGGAGGAAGAGG >mm10_chr1:136346320-136346331(+)::chr1:136346319-136346331(+) CGAGGGAAGGAA >mm10_chr1:136354491-136354502(-)::chr1:136354490-136354502(-) AGACGGAAGGCT >mm10_chr1:136354505-136354516(-)::chr1:136354504-136354516(-) AAACGGAAGTCA >mm10_chr1:136354560-136354571(-)::chr1:136354559-136354571(-) AACAGGAAGTTA >mm10_chr1:136372690-136372701(-)::chr1:136372689-136372701(-) AGGAGGAAGGGT >mm10_chr1:136372747-136372758(+)::chr1:136372746-136372758(+) aggaggaagagg >mm10_chr1:136404001-136404012(-)::chr1:136404000-136404012(-) gggaggaagAGC >mm10_chr1:136404044-136404055(-)::chr1:136404043-136404055(-) ATgaggaaggga >mm10_chr1:136415151-136415162(-)::chr1:136415150-136415162(-) GCCAGGAAGGGC >mm10_chr1:136455529-136455540(+)::chr1:136455528-136455540(+) agaaggaagacg >mm10_chr1:136455553-136455564(+)::chr1:136455552-136455564(+) aggaggaagaga >mm10_chr1:136455565-136455576(+)::chr1:136455564-136455576(+) aggaggaagagg >mm10_chr1:136466340-136466351(+)::chr1:136466339-136466351(+) ATCAGGAAGCGA >mm10_chr1:136576588-136576599(+)::chr1:136576587-136576599(+) AGCAGGAAGAAG >mm10_chr1:136578014-136578025(-)::chr1:136578013-136578025(-) ACCAGGATGTAC >mm10_chr1:136578451-136578462(-)::chr1:136578450-136578462(-) AAGAGGAAGAGA >mm10_chr1:136578488-136578499(+)::chr1:136578487-136578499(+) AAAAGGAAGTAC >mm10_chr1:136579611-136579622(+)::chr1:136579610-136579622(+) AGAGGGAAATAG >mm10_chr1:136644828-136644839(+)::chr1:136644827-136644839(+) TGTAGGAAGTGA >mm10_chr1:136644853-136644864(+)::chr1:136644852-136644864(+) CAGAGGAAGCGT >mm10_chr1:136662835-136662846(-)::chr1:136662834-136662846(-) aaaaggaagagg >mm10_chr1:136662844-136662855(-)::chr1:136662843-136662855(-) aggaggaagaaa >mm10_chr1:136662856-136662867(-)::chr1:136662855-136662867(-) aggaggaagaga >mm10_chr1:136662868-136662879(-)::chr1:136662867-136662879(-) agaaggaaggga >mm10_chr1:136662877-136662888(-)::chr1:136662876-136662888(-) agaaggaagaga >mm10_chr1:136662891-136662902(-)::chr1:136662890-136662902(-) aagaggaagaaa >mm10_chr1:136662903-136662914(-)::chr1:136662902-136662914(-) aagaggaagaag >mm10_chr1:136662912-136662923(-)::chr1:136662911-136662923(-) aggaggaagaag >mm10_chr1:136673473-136673484(+)::chr1:136673472-136673484(+) tgaaggaagttg >mm10_chr1:136677469-136677480(+)::chr1:136677468-136677480(+) GCCAGGAAGTCT >mm10_chr1:136680380-136680391(+)::chr1:136680379-136680391(+) TGAAGGAAGCAA >mm10_chr1:136680416-136680427(-)::chr1:136680415-136680427(-) CAAAGGAAGTCT >mm10_chr1:136690303-136690314(+)::chr1:136690302-136690314(+) ggaaggaagact >mm10_chr1:136699063-136699074(+)::chr1:136699062-136699074(+) AGAAGGAAGAAA >mm10_chr1:136754987-136754998(-)::chr1:136754986-136754998(-) AGAAGGAAGATG >mm10_chr1:136754994-136755005(-)::chr1:136754993-136755005(-) ACCAGGAAGAAG >mm10_chr1:136755042-136755053(-)::chr1:136755041-136755053(-) ACGAGGAAGTGG >mm10_chr1:136769753-136769764(-)::chr1:136769752-136769764(-) aggaggaagagg >mm10_chr1:136945746-136945757(+)::chr1:136945745-136945757(+) ACAAGGAAGAGG >mm10_chr1:136945765-136945776(+)::chr1:136945764-136945776(+) ACCCGGAAGCGG >mm10_chr1:137185642-137185653(+)::chr1:137185641-137185653(+) GATAGGAAGTTT >mm10_chr1:137282619-137282630(-)::chr1:137282618-137282630(-) acaaggaactgc >mm10_chr1:137390491-137390502(-)::chr1:137390490-137390502(-) tgcaggaagtct >mm10_chr1:137404981-137404992(+)::chr1:137404980-137404992(+) acagggaagtga >mm10_chr1:137620847-137620858(+)::chr1:137620846-137620858(+) ctgaggaagtgg >mm10_chr1:137620860-137620871(+)::chr1:137620859-137620871(+) aaaaggaaggaa >mm10_chr1:137620864-137620875(+)::chr1:137620863-137620875(+) ggaaggaactgc >mm10_chr1:137650210-137650221(-)::chr1:137650209-137650221(-) AAAAGGAAGCTG >mm10_chr1:137791901-137791912(-)::chr1:137791900-137791912(-) atcaggaagcaa >mm10_chr1:137792441-137792452(-)::chr1:137792440-137792452(-) aggaggaagaag >mm10_chr1:137792495-137792506(-)::chr1:137792494-137792506(-) aggaggaagagg >mm10_chr1:137799012-137799023(-)::chr1:137799011-137799023(-) TGGAGGAAGTGA >mm10_chr1:137852447-137852458(-)::chr1:137852446-137852458(-) atgaggaagtac >mm10_chr1:137852484-137852495(-)::chr1:137852483-137852495(-) aaaaggaagtgc >mm10_chr1:137927099-137927110(-)::chr1:137927098-137927110(-) TTAAGGAAGTCC >mm10_chr1:137927144-137927155(-)::chr1:137927143-137927155(-) TGCCGGAAGTGT >mm10_chr1:137947193-137947204(-)::chr1:137947192-137947204(-) ATGAGGAAGGAG >mm10_chr1:138015358-138015369(+)::chr1:138015357-138015369(+) AGAAGGAAGCAC >mm10_chr1:138015370-138015381(+)::chr1:138015369-138015381(+) AGAAGGAAGCCA >mm10_chr1:138034517-138034528(-)::chr1:138034516-138034528(-) AAAAGGAAGGAC >mm10_chr1:138244603-138244614(-)::chr1:138244602-138244614(-) AGCAGGATGTAT >mm10_chr1:138244620-138244631(+)::chr1:138244619-138244631(+) GAGAGGAAGAGA >mm10_chr1:138244664-138244675(+)::chr1:138244663-138244675(+) TAAAGGAAGGAA >mm10_chr1:138278132-138278143(-)::chr1:138278131-138278143(-) AGAGGGAAGTTT >mm10_chr1:138291642-138291653(+)::chr1:138291641-138291653(+) AACAGGAAGTCC >mm10_chr1:138343560-138343571(+)::chr1:138343559-138343571(+) ccaaggaaggaa >mm10_chr1:138343564-138343575(+)::chr1:138343563-138343575(+) ggaaggaaggaa >mm10_chr1:138343568-138343579(+)::chr1:138343567-138343579(+) ggaaggaagggc >mm10_chr1:138445460-138445471(-)::chr1:138445459-138445471(-) aagaggaagaaA >mm10_chr1:138445466-138445477(-)::chr1:138445465-138445477(-) aagaggaagagg >mm10_chr1:138445472-138445483(-)::chr1:138445471-138445483(-) aaaaggaagagg >mm10_chr1:138445487-138445498(-)::chr1:138445486-138445498(-) aggaggaagaag >mm10_chr1:138493663-138493674(+)::chr1:138493662-138493674(+) Agaaggaaggaa >mm10_chr1:138493667-138493678(+)::chr1:138493666-138493678(+) ggaaggaaggaa >mm10_chr1:138493671-138493682(+)::chr1:138493670-138493682(+) ggaaggaaggaa >mm10_chr1:138493675-138493686(+)::chr1:138493674-138493686(+) ggaaggaaggaa >mm10_chr1:138506784-138506795(-)::chr1:138506783-138506795(-) AAAAGGAAATGA >mm10_chr1:138506797-138506808(-)::chr1:138506796-138506808(-) AGGAGGAAATTA >mm10_chr1:138541996-138542007(+)::chr1:138541995-138542007(+) ACGAGGAAGGCC >mm10_chr1:138542055-138542066(-)::chr1:138542054-138542066(-) ACCAGGAAGAGG >mm10_chr1:138571559-138571570(+)::chr1:138571558-138571570(+) taaaggaattgg >mm10_chr1:138600339-138600350(-)::chr1:138600338-138600350(-) ATAAGGAACAGA >mm10_chr1:138622645-138622656(+)::chr1:138622644-138622656(+) AGGAGGAAGTCA >mm10_chr1:138636076-138636087(+)::chr1:138636075-138636087(+) ggaaggaaggat >mm10_chr1:138645179-138645190(-)::chr1:138645178-138645190(-) tggaggaagtga >mm10_chr1:138686888-138686899(-)::chr1:138686887-138686899(-) gaaaggaactca >mm10_chr1:138703553-138703564(+)::chr1:138703552-138703564(+) acaaGGAAGGCT >mm10_chr1:138743489-138743500(-)::chr1:138743488-138743500(-) ATAAGGAAGGAG >mm10_chr1:138825623-138825634(-)::chr1:138825622-138825634(-) TCAAGGAAATCC >mm10_chr1:138832602-138832613(+)::chr1:138832601-138832613(+) AGTAGGAAATAG >mm10_chr1:138899631-138899642(-)::chr1:138899630-138899642(-) ACAAGGAAACAC >mm10_chr1:138920958-138920969(-)::chr1:138920957-138920969(-) AACAGGAAGTAT >mm10_chr1:138921359-138921370(+)::chr1:138921358-138921370(+) AGTAGGAAGTGT >mm10_chr1:138963057-138963068(-)::chr1:138963056-138963068(-) ATAAGGAAGCAG >mm10_chr1:138963648-138963659(-)::chr1:138963647-138963659(-) ACCAGGAAGTCG >mm10_chr1:138976112-138976123(-)::chr1:138976111-138976123(-) AAGAGGAAGGGC >mm10_chr1:138977888-138977899(+)::chr1:138977887-138977899(+) ACAAGGAAGACA >mm10_chr1:138977914-138977925(-)::chr1:138977913-138977925(-) gggaggaagggc >mm10_chr1:138982344-138982355(-)::chr1:138982343-138982355(-) TTAAGGAAATAA >mm10_chr1:138982363-138982374(+)::chr1:138982362-138982374(+) TCAAGGAAGCAC >mm10_chr1:138983487-138983498(-)::chr1:138983486-138983498(-) GAAAGGAAGAGA >mm10_chr1:138992674-138992685(-)::chr1:138992673-138992685(-) TAAAGGAAGTAA >mm10_chr1:139015341-139015352(-)::chr1:139015340-139015352(-) ACGAGGATGTAG >mm10_chr1:139049962-139049973(-)::chr1:139049961-139049973(-) TAGAGGAAGCGC >mm10_chr1:139156150-139156161(-)::chr1:139156149-139156161(-) agaaggaaggaa >mm10_chr1:139196754-139196765(+)::chr1:139196753-139196765(+) AGGAGGAAGAAA >mm10_chr1:139196770-139196781(+)::chr1:139196769-139196781(+) GACAGGAAGCAG >mm10_chr1:139413661-139413672(+)::chr1:139413660-139413672(+) GTGAGGAAGTGC >mm10_chr1:140658358-140658369(+)::chr1:140658357-140658369(+) tcaaggaagtct >mm10_chr1:141210715-141210726(+)::chr1:141210714-141210726(+) aagaggaagtgg >mm10_chr1:141256704-141256715(+)::chr1:141256703-141256715(+) GCAAGGAAATCT >mm10_chr1:141633160-141633171(+)::chr1:141633159-141633171(+) ggaaggaagagg >mm10_chr1:142985764-142985775(+)::chr1:142985763-142985775(+) GAGAGGAAGAGG >mm10_chr1:143041940-143041951(+)::chr1:143041939-143041951(+) AGAAGGAAAAGA >mm10_chr1:143150784-143150795(+)::chr1:143150783-143150795(+) accaggaagatt >mm10_chr1:143151109-143151120(-)::chr1:143151108-143151120(-) GGAAGGAAGCAG >mm10_chr1:143151113-143151124(-)::chr1:143151112-143151124(-) AGGAGGAAGGAA >mm10_chr1:143151145-143151156(-)::chr1:143151144-143151156(-) AGGAGGAAGGGT >mm10_chr1:143542343-143542354(+)::chr1:143542342-143542354(+) ATAAGGAAATGT >mm10_chr1:143624207-143624218(-)::chr1:143624206-143624218(-) ataaggaaatga >mm10_chr1:143633310-143633321(+)::chr1:143633309-143633321(+) aggaggaagcag >mm10_chr1:143640823-143640834(-)::chr1:143640822-143640834(-) TGCAGGAAGGAT >mm10_chr1:143688116-143688127(-)::chr1:143688115-143688127(-) TGCAGGAAGGAG >mm10_chr1:143694997-143695008(+)::chr1:143694996-143695008(+) TCAAGGAAGGTA >mm10_chr1:143695032-143695043(-)::chr1:143695031-143695043(-) AAAAGGAAGAGG >mm10_chr1:143697314-143697325(+)::chr1:143697313-143697325(+) TCCAGGAAGTCA >mm10_chr1:143725209-143725220(+)::chr1:143725208-143725220(+) ACAAGGAACTGT >mm10_chr1:143725241-143725252(-)::chr1:143725240-143725252(-) ATGAGGAAGGAC >mm10_chr1:143778080-143778091(-)::chr1:143778079-143778091(-) CACAGGAAGTCA >mm10_chr1:143888677-143888688(+)::chr1:143888676-143888688(+) AGCAGGAAATAA >mm10_chr1:143888712-143888723(+)::chr1:143888711-143888723(+) AGGAGGAAGGGA >mm10_chr1:143889951-143889962(+)::chr1:143889950-143889962(+) CCAAGGAAATAA >mm10_chr1:143890012-143890023(-)::chr1:143890011-143890023(-) TTGAGGAAGTGC >mm10_chr1:143900268-143900279(-)::chr1:143900267-143900279(-) tcaaggaaatgt >mm10_chr1:143916722-143916733(-)::chr1:143916721-143916733(-) CAGAGGAAGTGC >mm10_chr1:143947188-143947199(-)::chr1:143947187-143947199(-) ATTAGGAAGAGA >mm10_chr1:144018816-144018827(-)::chr1:144018815-144018827(-) GGGAGGAAGTAC >mm10_chr1:144077784-144077795(-)::chr1:144077783-144077795(-) tcaaggaaataa >mm10_chr1:144179380-144179391(-)::chr1:144179379-144179391(-) CAAAGGAAGTCT >mm10_chr1:144268035-144268046(-)::chr1:144268034-144268046(-) ACAAGgaagcct >mm10_chr1:144978339-144978350(+)::chr1:144978338-144978350(+) agaaggaagctt >mm10_chr1:145614527-145614538(-)::chr1:145614526-145614538(-) ctaaggaagtag >mm10_chr1:149228713-149228724(+)::chr1:149228712-149228724(+) agagggaaataa >mm10_chr1:149660725-149660736(-)::chr1:149660724-149660736(-) GTAAGGAAATTA >mm10_chr1:149766301-149766312(+)::chr1:149766300-149766312(+) AGAAGGAAGCAC >mm10_chr1:149766322-149766333(+)::chr1:149766321-149766333(+) TCCAGGAAGAGG >mm10_chr1:149766328-149766339(+)::chr1:149766327-149766339(+) AAGAGGAAGAAG >mm10_chr1:149845174-149845185(+)::chr1:149845173-149845185(+) AAAAGGAATTAC >mm10_chr1:149849036-149849047(-)::chr1:149849035-149849047(-) TCAAGGAAGCTT >mm10_chr1:149849076-149849087(-)::chr1:149849075-149849087(-) AAAAGGAAGGAG >mm10_chr1:149849082-149849093(-)::chr1:149849081-149849093(-) AGAAGGAAAAGG >mm10_chr1:149850558-149850569(-)::chr1:149850557-149850569(-) ACAGGGAAGTGA >mm10_chr1:149856974-149856985(+)::chr1:149856973-149856985(+) AGGAGGAAATGA >mm10_chr1:149891221-149891232(-)::chr1:149891220-149891232(-) ACAAGGAAGTTA >mm10_chr1:149891259-149891270(+)::chr1:149891258-149891270(+) AGAAGGAAGTTT >mm10_chr1:149922444-149922455(+)::chr1:149922443-149922455(+) ACTAGGAAGTGT >mm10_chr1:149927564-149927575(+)::chr1:149927563-149927575(+) aacaggaagaaa >mm10_chr1:149927576-149927587(+)::chr1:149927575-149927587(+) aagaggaagaag >mm10_chr1:149927583-149927594(+)::chr1:149927582-149927594(+) agaaggaagaag >mm10_chr1:149927614-149927625(+)::chr1:149927613-149927625(+) aaaaggaaagta >mm10_chr1:149927641-149927652(+)::chr1:149927640-149927652(+) aaaaggaagaag >mm10_chr1:149927648-149927659(+)::chr1:149927647-149927659(+) agaaggaaaaat >mm10_chr1:149931111-149931122(-)::chr1:149931110-149931122(-) agcaggaaggag >mm10_chr1:149940454-149940465(-)::chr1:149940453-149940465(-) AAGAGGAAATAA >mm10_chr1:149948469-149948480(+)::chr1:149948468-149948480(+) AGTAGGAAGATA >mm10_chr1:149948493-149948504(+)::chr1:149948492-149948504(+) GCAAGGAAATGT >mm10_chr1:149952139-149952150(+)::chr1:149952138-149952150(+) aggaggaagagg >mm10_chr1:150099911-150099922(-)::chr1:150099910-150099922(-) CGAAGGAAGCCG >mm10_chr1:150250506-150250517(-)::chr1:150250505-150250517(-) AGGAGGAAGAAA >mm10_chr1:150250513-150250524(-)::chr1:150250512-150250524(-) AGAAGGAAGGAG >mm10_chr1:150250527-150250538(-)::chr1:150250526-150250538(-) GGGAGGAAGGGG >mm10_chr1:150250560-150250571(-)::chr1:150250559-150250571(-) GAAAGGAAAATA >mm10_chr1:150362091-150362102(+)::chr1:150362090-150362102(+) ATCAGGAAGAAA >mm10_chr1:150392761-150392772(-)::chr1:150392760-150392772(-) AGTAGGAAGTGT >mm10_chr1:150393126-150393137(+)::chr1:150393125-150393137(+) AGAAGGAAGTGG >mm10_chr1:150405666-150405677(-)::chr1:150405665-150405677(-) AGAAGGAAGTAA >mm10_chr1:150405676-150405687(+)::chr1:150405675-150405687(+) CTGAGGAAGTAA >mm10_chr1:150405680-150405691(+)::chr1:150405679-150405691(+) GGAAGTAAGTGA >mm10_chr1:150436760-150436771(+)::chr1:150436759-150436771(+) AGGAGGAAGAGG >mm10_chr1:150473054-150473065(-)::chr1:150473053-150473065(-) CAAAGGAAGTCT >mm10_chr1:150528894-150528905(-)::chr1:150528893-150528905(-) TGAAGGAAATTC >mm10_chr1:150535931-150535942(+)::chr1:150535930-150535942(+) GCAAGGAAAGCG >mm10_chr1:150546092-150546103(-)::chr1:150546091-150546103(-) AGAAGGAAAGAA >mm10_chr1:150551917-150551928(+)::chr1:150551916-150551928(+) acgaggaagtcc >mm10_chr1:150748758-150748769(-)::chr1:150748757-150748769(-) GCAGGGAAGCGA >mm10_chr1:150759674-150759685(-)::chr1:150759673-150759685(-) GAAAGGAAGTCT >mm10_chr1:150782086-150782097(-)::chr1:150782085-150782097(-) TCAAGGAAGAGT >mm10_chr1:150782148-150782159(-)::chr1:150782147-150782159(-) AAAAGTAAGTCA >mm10_chr1:150887785-150887796(-)::chr1:150887784-150887796(-) AAAAGGAAGCGA >mm10_chr1:150890229-150890240(+)::chr1:150890228-150890240(+) aacaggaagtaa >mm10_chr1:150922874-150922885(+)::chr1:150922873-150922885(+) TCAAGGAAATGC >mm10_chr1:150934138-150934149(+)::chr1:150934137-150934149(+) aggaggaagaag >mm10_chr1:150990707-150990718(-)::chr1:150990706-150990718(-) GACAGGAAGGGC >mm10_chr1:150990720-150990731(-)::chr1:150990719-150990731(-) ATCAGGATGTAA >mm10_chr1:151036684-151036695(+)::chr1:151036683-151036695(+) ACGAGGAAGTTC >mm10_chr1:151075748-151075759(+)::chr1:151075747-151075759(+) TAGAGGAAGTGT >mm10_chr1:151096186-151096197(+)::chr1:151096185-151096197(+) ATaaggaagtgg >mm10_chr1:151105353-151105364(+)::chr1:151105352-151105364(+) AAAAGGAAGTCT >mm10_chr1:151110590-151110601(+)::chr1:151110589-151110601(+) accaggaaggaa >mm10_chr1:151117708-151117719(-)::chr1:151117707-151117719(-) aggaggaagagg >mm10_chr1:151117723-151117734(-)::chr1:151117722-151117734(-) aggaggaagaag >mm10_chr1:151125211-151125222(-)::chr1:151125210-151125222(-) GGAAGGAACATA >mm10_chr1:151144903-151144914(+)::chr1:151144902-151144914(+) accaggaaattg >mm10_chr1:151156274-151156285(+)::chr1:151156273-151156285(+) aggaggaagtct >mm10_chr1:151218071-151218082(-)::chr1:151218070-151218082(-) TCCAGGAAGTCT >mm10_chr1:151255521-151255532(+)::chr1:151255520-151255532(+) AACAGGAAGAAC >mm10_chr1:151270828-151270839(+)::chr1:151270827-151270839(+) aagaggaagttg >mm10_chr1:151271902-151271913(+)::chr1:151271901-151271913(+) TGAAGGAAGTTG >mm10_chr1:151287657-151287668(+)::chr1:151287656-151287668(+) AACAGGAAGAGA >mm10_chr1:151287681-151287692(+)::chr1:151287680-151287692(+) GGAAGGAAGCTG >mm10_chr1:151307271-151307282(+)::chr1:151307270-151307282(+) AACAGGAAGAGG >mm10_chr1:151320600-151320611(+)::chr1:151320599-151320611(+) GAGAGGAAGAGA >mm10_chr1:151339014-151339025(-)::chr1:151339013-151339025(-) TAAAGGAAGCAA >mm10_chr1:151344181-151344192(+)::chr1:151344180-151344192(+) AAGAGGAAGGAG >mm10_chr1:151344337-151344348(+)::chr1:151344336-151344348(+) AGAAGGAAGGAG >mm10_chr1:151490027-151490038(+)::chr1:151490026-151490038(+) ACAGGGAAGGCG >mm10_chr1:151490038-151490049(-)::chr1:151490037-151490049(-) AAAAGGAAGAGC >mm10_chr1:151501205-151501216(-)::chr1:151501204-151501216(-) GGAGGGAAGGCG >mm10_chr1:151549056-151549067(+)::chr1:151549055-151549067(+) GGAATGAAGTTG >mm10_chr1:151571416-151571427(+)::chr1:151571415-151571427(+) TCAAGGAAGTTG >mm10_chr1:151585885-151585896(-)::chr1:151585884-151585896(-) ATTAGGAAGAGA >mm10_chr1:151585897-151585908(-)::chr1:151585896-151585908(-) GCCAGGAAGGGC >mm10_chr1:151618943-151618954(+)::chr1:151618942-151618954(+) AAAAGGAAGAGA >mm10_chr1:151620731-151620742(+)::chr1:151620730-151620742(+) AGAAGGAAGAAA >mm10_chr1:151620735-151620746(+)::chr1:151620734-151620746(+) GGAAGAAAGTAG >mm10_chr1:151620798-151620809(-)::chr1:151620797-151620809(-) TTAAGGAAATCA >mm10_chr1:151664593-151664604(+)::chr1:151664592-151664604(+) AGCAGGAAGCTC >mm10_chr1:151664958-151664969(-)::chr1:151664957-151664969(-) AGAAGGAAATGC >mm10_chr1:151685996-151686007(+)::chr1:151685995-151686007(+) AGCAGGAAGTTC >mm10_chr1:151730840-151730851(-)::chr1:151730839-151730851(-) gcaaggaagtgc >mm10_chr1:151754141-151754152(+)::chr1:151754140-151754152(+) AGAAGGAAGAAA >mm10_chr1:151832892-151832903(+)::chr1:151832891-151832903(+) ACGAGGAACTTT >mm10_chr1:151832917-151832928(+)::chr1:151832916-151832928(+) TTGAGGAAGTAA >mm10_chr1:151840302-151840313(+)::chr1:151840301-151840313(+) TCTAGGAAGCGT >mm10_chr1:151840316-151840327(+)::chr1:151840315-151840327(+) CCCAGGAAGTCA >mm10_chr1:151851343-151851354(+)::chr1:151851342-151851354(+) ACACGGAAATGG >mm10_chr1:151851356-151851367(+)::chr1:151851355-151851367(+) ACGAGGATGTGG >mm10_chr1:151864010-151864021(-)::chr1:151864009-151864021(-) ACAAGGAAGCAG >mm10_chr1:151903128-151903139(+)::chr1:151903127-151903139(+) AACAGGAAGCAC >mm10_chr1:151903188-151903199(+)::chr1:151903187-151903199(+) AGTAGGAAGTAG >mm10_chr1:151921304-151921315(+)::chr1:151921303-151921315(+) AACAGGAAGTAC >mm10_chr1:151996115-151996126(+)::chr1:151996114-151996126(+) ATAGGGAAGACA >mm10_chr1:151996383-151996394(-)::chr1:151996382-151996394(-) acagggaagtat >mm10_chr1:151997789-151997800(-)::chr1:151997788-151997800(-) atgaggaaggac >mm10_chr1:151997808-151997819(-)::chr1:151997807-151997819(-) gcaaggaagcaa >mm10_chr1:152035048-152035059(-)::chr1:152035047-152035059(-) TACAGGAAGTCT >mm10_chr1:152035061-152035072(+)::chr1:152035060-152035072(+) AACAGGAAGGAT >mm10_chr1:152051206-152051217(+)::chr1:152051205-152051217(+) agaaggaagcag >mm10_chr1:152051941-152051952(+)::chr1:152051940-152051952(+) TGGAGGAAGCAC >mm10_chr1:152065302-152065313(-)::chr1:152065301-152065313(-) taagggaagtca >mm10_chr1:152084834-152084845(+)::chr1:152084833-152084845(+) AGCAGGAAGTCC >mm10_chr1:152116033-152116044(+)::chr1:152116032-152116044(+) AGGAGGAAGTGT >mm10_chr1:152152689-152152700(+)::chr1:152152688-152152700(+) AGCAGGAAGCAT >mm10_chr1:152152718-152152729(-)::chr1:152152717-152152729(-) GAAAGGAAGTGA >mm10_chr1:152165311-152165322(-)::chr1:152165310-152165322(-) AGAAGGAAATTT >mm10_chr1:152165336-152165347(+)::chr1:152165335-152165347(+) ATAGGGAAGTGG >mm10_chr1:152173066-152173077(+)::chr1:152173065-152173077(+) ATAGGGAAGAAC >mm10_chr1:152173085-152173096(+)::chr1:152173084-152173096(+) ACCAGGAAGACA >mm10_chr1:152194440-152194451(+)::chr1:152194439-152194451(+) TACAGGAAGTGT >mm10_chr1:152217545-152217556(+)::chr1:152217544-152217556(+) AGCAGGAAGTCT >mm10_chr1:152239238-152239249(+)::chr1:152239237-152239249(+) gacaggaagttc >mm10_chr1:152239552-152239563(+)::chr1:152239551-152239563(+) TCTAGGAAGTCT >mm10_chr1:152287736-152287747(+)::chr1:152287735-152287747(+) AACAGGAAGCAA >mm10_chr1:152339499-152339510(+)::chr1:152339498-152339510(+) agaaggaaacta >mm10_chr1:152339553-152339564(-)::chr1:152339552-152339564(-) agcaggaagacg >mm10_chr1:152386660-152386671(-)::chr1:152386659-152386671(-) GGCGGGAAGCGG >mm10_chr1:152423149-152423160(-)::chr1:152423148-152423160(-) GGAAGGAAGCTG >mm10_chr1:152423153-152423164(-)::chr1:152423152-152423164(-) GTCAGGAAGGAA >mm10_chr1:152433538-152433549(-)::chr1:152433537-152433549(-) ATAAGGAAGCCA >mm10_chr1:152497538-152497549(-)::chr1:152497537-152497549(-) AAAAGGAAGACA >mm10_chr1:152501214-152501225(-)::chr1:152501213-152501225(-) aagaggaaataa >mm10_chr1:152501244-152501255(-)::chr1:152501243-152501255(-) acagggaaataa >mm10_chr1:152511427-152511438(+)::chr1:152511426-152511438(+) TAGAGGAAGTTG >mm10_chr1:152517367-152517378(-)::chr1:152517366-152517378(-) GCCAGGAAGTCA >mm10_chr1:152541944-152541955(-)::chr1:152541943-152541955(-) AGGAGGAAGGAG >mm10_chr1:152541984-152541995(-)::chr1:152541983-152541995(-) AAGAGGAAGCAG >mm10_chr1:152541990-152542001(-)::chr1:152541989-152542001(-) AGCAGGAAGAGG >mm10_chr1:152556613-152556624(-)::chr1:152556612-152556624(-) GAAAGGAAATGG >mm10_chr1:152560804-152560815(-)::chr1:152560803-152560815(-) GCCAGGAAGATG >mm10_chr1:152585561-152585572(-)::chr1:152585560-152585572(-) GGAAGGAAGTGG >mm10_chr1:152585565-152585576(-)::chr1:152585564-152585576(-) CTAAGGAAGGAA >mm10_chr1:152599525-152599536(-)::chr1:152599524-152599536(-) AGCAGGAAGAGA >mm10_chr1:152599568-152599579(-)::chr1:152599567-152599579(-) CACAGGAAGTCG >mm10_chr1:152669561-152669572(-)::chr1:152669560-152669572(-) TGTAGGAAGAAC >mm10_chr1:152746599-152746610(+)::chr1:152746598-152746610(+) ACAAGGAAGAAT >mm10_chr1:152766641-152766652(+)::chr1:152766640-152766652(+) AGAGGGAAGTGA >mm10_chr1:152788198-152788209(-)::chr1:152788197-152788209(-) ACCAGGAAATGC >mm10_chr1:152793106-152793117(+)::chr1:152793105-152793117(+) gcaaggaagctg >mm10_chr1:152798262-152798273(-)::chr1:152798261-152798273(-) TTCAGGAAGTAT >mm10_chr1:152798298-152798309(+)::chr1:152798297-152798309(+) AGAAGCAAGTCA >mm10_chr1:152807789-152807800(-)::chr1:152807788-152807800(-) AAGAGGAAGTAC >mm10_chr1:152810767-152810778(+)::chr1:152810766-152810778(+) aagaggaaataa >mm10_chr1:152900565-152900576(-)::chr1:152900564-152900576(-) ATAAGGAAATGG >mm10_chr1:152903104-152903115(-)::chr1:152903103-152903115(-) GGAAGGAAGTGA >mm10_chr1:152903108-152903119(-)::chr1:152903107-152903119(-) AGAAGGAAGGAA >mm10_chr1:152922083-152922094(+)::chr1:152922082-152922094(+) AATAGGAAGTGA >mm10_chr1:152922103-152922114(+)::chr1:152922102-152922114(+) GGTAGGAAGGGA >mm10_chr1:152922126-152922137(+)::chr1:152922125-152922137(+) ATGAGGAAATGA >mm10_chr1:152994397-152994408(+)::chr1:152994396-152994408(+) GCCAGGAAATGA >mm10_chr1:152994453-152994464(+)::chr1:152994452-152994464(+) AGCAGGAAGGAG >mm10_chr1:153014947-153014958(+)::chr1:153014946-153014958(+) AACAGGAAGGAG >mm10_chr1:153019961-153019972(+)::chr1:153019960-153019972(+) CTGAGGAAGTAG >mm10_chr1:153026754-153026765(-)::chr1:153026753-153026765(-) GAGAGGAAGAAT >mm10_chr1:153041150-153041161(+)::chr1:153041149-153041161(+) GTAAGGAAGCTG >mm10_chr1:153054687-153054698(+)::chr1:153054686-153054698(+) GTGAGGAAGTCG >mm10_chr1:153071839-153071850(-)::chr1:153071838-153071850(-) GACAGGAAGCGG >mm10_chr1:153096531-153096542(+)::chr1:153096530-153096542(+) AGCAGGAAATCC >mm10_chr1:153096587-153096598(+)::chr1:153096586-153096598(+) AGCCGGAAGTAG >mm10_chr1:153166872-153166883(+)::chr1:153166871-153166883(+) ACATGGAAGTAG >mm10_chr1:153186047-153186058(-)::chr1:153186046-153186058(-) AAAAGGAAGGCA >mm10_chr1:153186104-153186115(+)::chr1:153186103-153186115(+) GACAGGAAGAGA >mm10_chr1:153197324-153197335(-)::chr1:153197323-153197335(-) ATAAGGAAGTAT >mm10_chr1:153207225-153207236(+)::chr1:153207224-153207236(+) GAAGGGAAGTAC >mm10_chr1:153291179-153291190(-)::chr1:153291178-153291190(-) TTGAGGAAGCGG >mm10_chr1:153308257-153308268(+)::chr1:153308256-153308268(+) AACAGGAAGACA >mm10_chr1:153309134-153309145(+)::chr1:153309133-153309145(+) TGCAGGAAGAGG >mm10_chr1:153317457-153317468(-)::chr1:153317456-153317468(-) TCAAGGAAGCAC >mm10_chr1:153332789-153332800(+)::chr1:153332788-153332800(+) AGGAGGAAGGAG >mm10_chr1:153385240-153385251(-)::chr1:153385239-153385251(-) TGGAGGAAGTGA >mm10_chr1:153385262-153385273(-)::chr1:153385261-153385273(-) AAAAGGAAGAGG >mm10_chr1:153486866-153486877(-)::chr1:153486865-153486877(-) TGGAGGAAGGGA >mm10_chr1:153492082-153492093(-)::chr1:153492081-153492093(-) AGGAGGAAGTAT >mm10_chr1:153494097-153494108(-)::chr1:153494096-153494108(-) cggaggaaattg >mm10_chr1:153499059-153499070(+)::chr1:153499058-153499070(+) TAGAGGAAGAAC >mm10_chr1:153501653-153501664(+)::chr1:153501652-153501664(+) AGAAGGAAGTAG >mm10_chr1:153502243-153502254(+)::chr1:153502242-153502254(+) AGAGGGAAGTTC >mm10_chr1:153502257-153502268(+)::chr1:153502256-153502268(+) AAAAGGAAGACA >mm10_chr1:153557151-153557162(-)::chr1:153557150-153557162(-) gggaggaaggga >mm10_chr1:153560110-153560121(-)::chr1:153560109-153560121(-) TCCAGGAAGCGT >mm10_chr1:153560969-153560980(+)::chr1:153560968-153560980(+) GACAGGAAGGGC >mm10_chr1:153565866-153565877(-)::chr1:153565865-153565877(-) CAAAGGAAGTTT >mm10_chr1:153570652-153570663(+)::chr1:153570651-153570663(+) GCCAGGAAGCAT >mm10_chr1:153572496-153572507(-)::chr1:153572495-153572507(-) GGGAGGAAATAG >mm10_chr1:153614514-153614525(+)::chr1:153614513-153614525(+) GAGAGGAAGTTA >mm10_chr1:153623247-153623258(+)::chr1:153623246-153623258(+) TTCAGGAAGTGA >mm10_chr1:153632767-153632778(+)::chr1:153632766-153632778(+) agaaggaagtga >mm10_chr1:153634325-153634336(+)::chr1:153634324-153634336(+) TGGAGGAAGTGG >mm10_chr1:153635242-153635253(+)::chr1:153635241-153635253(+) aacaggaagcag >mm10_chr1:153665512-153665523(+)::chr1:153665511-153665523(+) AGGAGGAAGGCG >mm10_chr1:153668312-153668323(-)::chr1:153668311-153668323(-) agaaggaaagaa >mm10_chr1:153668335-153668346(-)::chr1:153668334-153668346(-) agaaggaaggaa >mm10_chr1:153668342-153668353(-)::chr1:153668341-153668353(-) agaaggaagaag >mm10_chr1:153668361-153668372(-)::chr1:153668360-153668372(-) ggaaggaaggga >mm10_chr1:153668365-153668376(-)::chr1:153668364-153668376(-) agaaggaaggaa >mm10_chr1:153679493-153679504(-)::chr1:153679492-153679504(-) AGCAGGAAGGGA >mm10_chr1:153684842-153684853(-)::chr1:153684841-153684853(-) AGGAGGAAGTGG >mm10_chr1:153687931-153687942(-)::chr1:153687930-153687942(-) GGAAGGAAGAAG >mm10_chr1:153748419-153748430(+)::chr1:153748418-153748430(+) CAAAGGAAGGAT >mm10_chr1:153748458-153748469(+)::chr1:153748457-153748469(+) AGGAGGAAGAGG >mm10_chr1:153751993-153752004(+)::chr1:153751992-153752004(+) ACAAGGAAGCAT >mm10_chr1:153752743-153752754(+)::chr1:153752742-153752754(+) ATGAGGAAGTGA >mm10_chr1:153836176-153836187(+)::chr1:153836175-153836187(+) AGAAGGAAGTGG >mm10_chr1:153836199-153836210(-)::chr1:153836198-153836210(-) GTAAGGAAGCAG >mm10_chr1:153847025-153847036(-)::chr1:153847024-153847036(-) AGGAGGAAGCCA >mm10_chr1:153914074-153914085(-)::chr1:153914073-153914085(-) AAGAGGAAGAGA >mm10_chr1:153914088-153914099(-)::chr1:153914087-153914099(-) AAGGGGAAGTAA >mm10_chr1:153914094-153914105(-)::chr1:153914093-153914105(-) AAGAGGAAGGGG >mm10_chr1:153918791-153918802(+)::chr1:153918790-153918802(+) TCAAGGAAGTGG >mm10_chr1:153920076-153920087(+)::chr1:153920075-153920087(+) AGCAGGAAGTGG >mm10_chr1:153920093-153920104(+)::chr1:153920092-153920104(+) CTAAGGAAGCAG >mm10_chr1:153920100-153920111(+)::chr1:153920099-153920111(+) AGCAGGAAGTGG >mm10_chr1:153964102-153964113(+)::chr1:153964101-153964113(+) ACAAGGAAGTGC >mm10_chr1:154006121-154006132(-)::chr1:154006120-154006132(-) gtaaggaagcct >mm10_chr1:154032893-154032904(+)::chr1:154032892-154032904(+) AGAAGGAAGTGG >mm10_chr1:154070053-154070064(-)::chr1:154070052-154070064(-) tgcaggaagagg >mm10_chr1:154081125-154081136(+)::chr1:154081124-154081136(+) ACCAGGAAGTGG >mm10_chr1:154081149-154081160(+)::chr1:154081148-154081160(+) AGCAGGAAGTCA >mm10_chr1:154090904-154090915(+)::chr1:154090903-154090915(+) ggcaggaaataa >mm10_chr1:154090933-154090944(+)::chr1:154090932-154090944(+) tagaggaagcgg >mm10_chr1:154090948-154090959(+)::chr1:154090947-154090959(+) aagaggaaggga >mm10_chr1:154096267-154096278(+)::chr1:154096266-154096278(+) AAGAGGAAGCAG >mm10_chr1:154096274-154096285(+)::chr1:154096273-154096285(+) AGCAGGAAGGTC >mm10_chr1:154116188-154116199(-)::chr1:154116187-154116199(-) TGAAGGAAGGAA >mm10_chr1:154205473-154205484(-)::chr1:154205472-154205484(-) CAGAGGAAGTCC >mm10_chr1:154232783-154232794(+)::chr1:154232782-154232794(+) TTAAGGAAGCAC >mm10_chr1:154232820-154232831(-)::chr1:154232819-154232831(-) AGTAGGAAATGA >mm10_chr1:154232827-154232838(-)::chr1:154232826-154232838(-) TCAAGGAAGTAG >mm10_chr1:154233225-154233236(-)::chr1:154233224-154233236(-) ATAAGGAAGTAG >mm10_chr1:154385704-154385715(-)::chr1:154385703-154385715(-) ATAAGGAACATG >mm10_chr1:154578867-154578878(+)::chr1:154578866-154578878(+) ATAAGGAAGTTT >mm10_chr1:154606996-154607007(+)::chr1:154606995-154607007(+) GATAGGAAGGAA >mm10_chr1:154607000-154607011(+)::chr1:154606999-154607011(+) GGAAGGAAGAAG >mm10_chr1:154607007-154607018(+)::chr1:154607006-154607018(+) AGAAGGAAGGTG >mm10_chr1:154625567-154625578(-)::chr1:154625566-154625578(-) agaaggaagatg >mm10_chr1:154625574-154625585(-)::chr1:154625573-154625585(-) aggaggaagaag >mm10_chr1:154625606-154625617(-)::chr1:154625605-154625617(-) agtaggaaatga >mm10_chr1:154625632-154625643(+)::chr1:154625631-154625643(+) agaaggaattgg >mm10_chr1:154791928-154791939(-)::chr1:154791927-154791939(-) TGCAGGAAGTCA >mm10_chr1:155013981-155013992(-)::chr1:155013980-155013992(-) TTGAGGAAGTGG >mm10_chr1:155028387-155028398(+)::chr1:155028386-155028398(+) AGGAGGAAGTAG >mm10_chr1:155028859-155028870(-)::chr1:155028858-155028870(-) AAGAGGAAGTAG >mm10_chr1:155039282-155039293(-)::chr1:155039281-155039293(-) TATAGGAAGTTG >mm10_chr1:155050407-155050418(-)::chr1:155050406-155050418(-) TGGAGGAAGTGA >mm10_chr1:155052341-155052352(-)::chr1:155052340-155052352(-) AGTAGGAAGTTG >mm10_chr1:155052348-155052359(-)::chr1:155052347-155052359(-) CACAGGAAGTAG >mm10_chr1:155062266-155062277(-)::chr1:155062265-155062277(-) AAAAGGAAGTGA >mm10_chr1:155064369-155064380(-)::chr1:155064368-155064380(-) CGTAGGAAGAAA >mm10_chr1:155066054-155066065(+)::chr1:155066053-155066065(+) agaaggaaaggg >mm10_chr1:155066101-155066112(+)::chr1:155066100-155066112(+) aggaggaagagg >mm10_chr1:155066107-155066118(+)::chr1:155066106-155066118(+) aagaggaagagg >mm10_chr1:155066113-155066124(+)::chr1:155066112-155066124(+) aagaggaagaag >mm10_chr1:155070088-155070099(-)::chr1:155070087-155070099(-) AGAAGGAAACGA >mm10_chr1:155071708-155071719(+)::chr1:155071707-155071719(+) ACAAGGAAGAGC >mm10_chr1:155074233-155074244(+)::chr1:155074232-155074244(+) AGGAGGAAGAGC >mm10_chr1:155082297-155082308(-)::chr1:155082296-155082308(-) TGCaggaaggga >mm10_chr1:155082324-155082335(-)::chr1:155082323-155082335(-) GGAAGGAAGGTG >mm10_chr1:155082328-155082339(-)::chr1:155082327-155082339(-) TCCAGGAAGGAA >mm10_chr1:155083657-155083668(+)::chr1:155083656-155083668(+) cgcAGGAAGCCT >mm10_chr1:155083704-155083715(+)::chr1:155083703-155083715(+) AGGAGGAAGTCC >mm10_chr1:155096833-155096844(+)::chr1:155096832-155096844(+) ATCAGGAAGTTC >mm10_chr1:155098098-155098109(-)::chr1:155098097-155098109(-) TCGAGGAAGGGG >mm10_chr1:155099586-155099597(-)::chr1:155099585-155099597(-) GAAGGGAAGCGG >mm10_chr1:155123029-155123040(+)::chr1:155123028-155123040(+) GGGAGGAAGATC >mm10_chr1:155123042-155123053(+)::chr1:155123041-155123053(+) ATAAGGAAATAC >mm10_chr1:155133658-155133669(-)::chr1:155133657-155133669(-) ATGAGGAAGTCT >mm10_chr1:155147421-155147432(+)::chr1:155147420-155147432(+) AAGAGGAAGTAC >mm10_chr1:155153428-155153439(-)::chr1:155153427-155153439(-) AAAGGGAAGTTA >mm10_chr1:155158631-155158642(+)::chr1:155158630-155158642(+) GTGCGGAAGTGA >mm10_chr1:155232923-155232934(+)::chr1:155232922-155232934(+) AGAAGGAAAGAG >mm10_chr1:155275178-155275189(+)::chr1:155275177-155275189(+) ACCAGGAACTCG >mm10_chr1:155275189-155275200(+)::chr1:155275188-155275200(+) GGCAGGAAGAGC >mm10_chr1:155472866-155472877(-)::chr1:155472865-155472877(-) GAGAGGAAGAGA >mm10_chr1:155472874-155472885(-)::chr1:155472873-155472885(-) GGAAGGAAGAGA >mm10_chr1:155472878-155472889(-)::chr1:155472877-155472889(-) TCAAGGAAGGAA >mm10_chr1:155501973-155501984(-)::chr1:155501972-155501984(-) GCAAGGAAGCTG >mm10_chr1:155505143-155505154(+)::chr1:155505142-155505154(+) TCCAGGAAGTAC >mm10_chr1:155505203-155505214(-)::chr1:155505202-155505214(-) ACAGGGAAGTGG >mm10_chr1:155519665-155519676(-)::chr1:155519664-155519676(-) taaaggaagcct >mm10_chr1:155528975-155528986(-)::chr1:155528974-155528986(-) agcaggaaatca >mm10_chr1:155532903-155532914(+)::chr1:155532902-155532914(+) AGAAGGAATTCC >mm10_chr1:155532962-155532973(+)::chr1:155532961-155532973(+) AACAGGAAGTCA >mm10_chr1:155564983-155564994(-)::chr1:155564982-155564994(-) ACAAGGAAACAA >mm10_chr1:155624621-155624632(-)::chr1:155624620-155624632(-) ATAAGGAAAAAT >mm10_chr1:155624638-155624649(+)::chr1:155624637-155624649(+) taagggaagtga >mm10_chr1:155624703-155624714(-)::chr1:155624702-155624714(-) agcaggaagccc >mm10_chr1:155639285-155639296(+)::chr1:155639284-155639296(+) GGAAGGAAGGTG >mm10_chr1:155700843-155700854(-)::chr1:155700842-155700854(-) ATCAGGAAGTGC >mm10_chr1:155805903-155805914(+)::chr1:155805902-155805914(+) CGGAGGAAGTGG >mm10_chr1:155810083-155810094(-)::chr1:155810082-155810094(-) TCCAGGAAGTCG >mm10_chr1:155812251-155812262(+)::chr1:155812250-155812262(+) ATGAGGAAGGAG >mm10_chr1:156028533-156028544(-)::chr1:156028532-156028544(-) AGCAGGAAGTCT >mm10_chr1:156028551-156028562(-)::chr1:156028550-156028562(-) ATAGGGAAGTGA >mm10_chr1:156066494-156066505(+)::chr1:156066493-156066505(+) AACAGGAAGTTC >mm10_chr1:156073637-156073648(-)::chr1:156073636-156073648(-) AGAAGGAAGTGA >mm10_chr1:156379501-156379512(+)::chr1:156379500-156379512(+) GGCAGGAaggag >mm10_chr1:156379508-156379519(+)::chr1:156379507-156379519(+) aggaggaagagg >mm10_chr1:156379514-156379525(+)::chr1:156379513-156379525(+) aagaggaagaag >mm10_chr1:156379524-156379535(+)::chr1:156379523-156379535(+) aggaggaagaag >mm10_chr1:156421077-156421088(+)::chr1:156421076-156421088(+) ATAAGGAAGAAT >mm10_chr1:156437471-156437482(+)::chr1:156437470-156437482(+) AAGAGGAAGACA >mm10_chr1:156437524-156437535(+)::chr1:156437523-156437535(+) AGCAGGAAGTGA >mm10_chr1:156439861-156439872(+)::chr1:156439860-156439872(+) ACAGGGAAGTGG >mm10_chr1:156459846-156459857(+)::chr1:156459845-156459857(+) TCCAGGAAATAA >mm10_chr1:156459858-156459869(+)::chr1:156459857-156459869(+) ACCAGGAAGTCT >mm10_chr1:156467813-156467824(+)::chr1:156467812-156467824(+) AAAAGGAACTTC >mm10_chr1:156467818-156467829(-)::chr1:156467817-156467829(-) GGAAGGAAGTTC >mm10_chr1:156486707-156486718(-)::chr1:156486706-156486718(-) gggaggaagaCA >mm10_chr1:156540272-156540283(+)::chr1:156540271-156540283(+) AAGAGGAAGGGG >mm10_chr1:156568276-156568287(+)::chr1:156568275-156568287(+) ACCAGGAAGTGG >mm10_chr1:156617421-156617432(+)::chr1:156617420-156617432(+) aggaggaaggaa >mm10_chr1:156617425-156617436(+)::chr1:156617424-156617436(+) ggaaggaaggag >mm10_chr1:156617441-156617452(+)::chr1:156617440-156617452(+) agaaggaagCTC >mm10_chr1:156650247-156650258(-)::chr1:156650246-156650258(-) aagaggaagagg >mm10_chr1:156652424-156652435(-)::chr1:156652423-156652435(-) ggaaggaactat >mm10_chr1:156652428-156652439(-)::chr1:156652427-156652439(-) aaaaggaaggaa >mm10_chr1:156663468-156663479(-)::chr1:156663467-156663479(-) tacaggaagagg >mm10_chr1:156668881-156668892(-)::chr1:156668880-156668892(-) agcaggaaattg >mm10_chr1:156678232-156678243(+)::chr1:156678231-156678243(+) ACAAGGAAGAAG >mm10_chr1:156678239-156678250(+)::chr1:156678238-156678250(+) AGAAGGAAACTA >mm10_chr1:156679064-156679075(+)::chr1:156679063-156679075(+) TCCAGGAAGGAA >mm10_chr1:156741601-156741612(-)::chr1:156741600-156741612(-) AGAAGGAAGTAG >mm10_chr1:156754868-156754879(+)::chr1:156754867-156754879(+) AACAGGAAGCCC >mm10_chr1:156917675-156917686(+)::chr1:156917674-156917686(+) AAAAGGAACATA >mm10_chr1:156961662-156961673(-)::chr1:156961661-156961673(-) ACCAGGAAGGCA >mm10_chr1:156987706-156987717(+)::chr1:156987705-156987717(+) TGCAGGAAGTTC >mm10_chr1:157013155-157013166(+)::chr1:157013154-157013166(+) AAAAAGAAGTAA >mm10_chr1:157018849-157018860(+)::chr1:157018848-157018860(+) accaggaagtgg >mm10_chr1:157081743-157081754(-)::chr1:157081742-157081754(-) AACAGGAAGGCT >mm10_chr1:157256798-157256809(-)::chr1:157256797-157256809(-) GCAAGGAAGAGT >mm10_chr1:157314004-157314015(-)::chr1:157314003-157314015(-) ATAAGGAAACAA >mm10_chr1:157418017-157418028(+)::chr1:157418016-157418028(+) ggaaggaaggaa >mm10_chr1:157418021-157418032(+)::chr1:157418020-157418032(+) ggaaggaaggga >mm10_chr1:157418043-157418054(+)::chr1:157418042-157418054(+) aggaggaaggaa >mm10_chr1:157418047-157418058(+)::chr1:157418046-157418058(+) ggaaggaaggaa >mm10_chr1:157418051-157418062(+)::chr1:157418050-157418062(+) ggaaggaagACC >mm10_chr1:157452399-157452410(+)::chr1:157452398-157452410(+) atgaggaagcag >mm10_chr1:157458365-157458376(-)::chr1:157458364-157458376(-) AAAAGGAAGCAT >mm10_chr1:157481518-157481529(-)::chr1:157481517-157481529(-) acaaggaaacac >mm10_chr1:157491533-157491544(+)::chr1:157491532-157491544(+) AGAAGGAAGGTG >mm10_chr1:157519440-157519451(+)::chr1:157519439-157519451(+) TGCAGGAAGAAC >mm10_chr1:157519564-157519575(+)::chr1:157519563-157519575(+) TTCAGGAAGTCC >mm10_chr1:157525865-157525876(-)::chr1:157525864-157525876(-) ggacggaagagg >mm10_chr1:157525876-157525887(-)::chr1:157525875-157525887(-) Agacggaagagg >mm10_chr1:157526656-157526667(+)::chr1:157526655-157526667(+) TCAAGGAAGTAA >mm10_chr1:157534474-157534485(-)::chr1:157534473-157534485(-) AGAAGGAAGCAT >mm10_chr1:157534513-157534524(+)::chr1:157534512-157534524(+) AGTAGGAAGTCT >mm10_chr1:157554365-157554376(-)::chr1:157554364-157554376(-) AAGAGGAAGCCG >mm10_chr1:157596422-157596433(+)::chr1:157596421-157596433(+) GTGAGGAAGAAG >mm10_chr1:157598484-157598495(+)::chr1:157598483-157598495(+) aggaggaaggag >mm10_chr1:157598491-157598502(+)::chr1:157598490-157598502(+) aggaggaagaag >mm10_chr1:157598498-157598509(+)::chr1:157598497-157598509(+) agaaggaaggag >mm10_chr1:157600892-157600903(-)::chr1:157600891-157600903(-) CCAAGGAAGCAC >mm10_chr1:158636997-158637008(-)::chr1:158636996-158637008(-) GTCAGGAAGGTA >mm10_chr1:158871414-158871425(-)::chr1:158871413-158871425(-) ACAAGGAAGCTC >mm10_chr1:158871485-158871496(+)::chr1:158871484-158871496(+) TTAAGGAAGTGA >mm10_chr1:159015015-159015026(-)::chr1:159015014-159015026(-) ACAAGGAAGTCA >mm10_chr1:159065206-159065217(-)::chr1:159065205-159065217(-) AGGAGGAAGTAG >mm10_chr1:159065219-159065230(-)::chr1:159065218-159065230(-) AGCAGGAAGTCT >mm10_chr1:159156401-159156412(-)::chr1:159156400-159156412(-) ttaaggaagtgt >mm10_chr1:159218887-159218898(-)::chr1:159218886-159218898(-) gtgaggaaataa >mm10_chr1:159233777-159233788(+)::chr1:159233776-159233788(+) AGAAGGAACTTG >mm10_chr1:159233805-159233816(+)::chr1:159233804-159233816(+) TGTAGGAAGTTG >mm10_chr1:159242514-159242525(-)::chr1:159242513-159242525(-) acaaggaagaag >mm10_chr1:159242538-159242549(+)::chr1:159242537-159242549(+) gagaggaaggga >mm10_chr1:159255446-159255457(-)::chr1:159255445-159255457(-) ACAAGGAAGAGT >mm10_chr1:159305156-159305167(+)::chr1:159305155-159305167(+) aaggggaagtta >mm10_chr1:159597664-159597675(+)::chr1:159597663-159597675(+) atGAGGAAGTAG >mm10_chr1:159597671-159597682(+)::chr1:159597670-159597682(+) AGTAGGAACTAA >mm10_chr1:159929199-159929210(+)::chr1:159929198-159929210(+) AACAGGAAATCC >mm10_chr1:159933084-159933095(-)::chr1:159933083-159933095(-) TTAAGGAAATGG >mm10_chr1:159933131-159933142(+)::chr1:159933130-159933142(+) TCAAGGAAGATC >mm10_chr1:160037076-160037087(-)::chr1:160037075-160037087(-) AAGAGGAAGAGG >mm10_chr1:160037082-160037093(-)::chr1:160037081-160037093(-) GGCAGGAAGAGG >mm10_chr1:160040050-160040061(+)::chr1:160040049-160040061(+) GACAGGAAGTTT >mm10_chr1:160040100-160040111(+)::chr1:160040099-160040111(+) TCAAGGAAGCAA >mm10_chr1:160053869-160053880(-)::chr1:160053868-160053880(-) TCAAGGAAGTAG >mm10_chr1:160054093-160054104(+)::chr1:160054092-160054104(+) GCCAGGAAGGGG >mm10_chr1:160054113-160054124(+)::chr1:160054112-160054124(+) AGGAGGAAGCAG >mm10_chr1:160079305-160079316(+)::chr1:160079304-160079316(+) AGAAGGAAGACC >mm10_chr1:160079331-160079342(-)::chr1:160079330-160079342(-) GACAGGAAGTGT >mm10_chr1:160106650-160106661(+)::chr1:160106649-160106661(+) tggaggaagagc >mm10_chr1:160106662-160106673(+)::chr1:160106661-160106673(+) aggaggaagtgc >mm10_chr1:160106671-160106682(+)::chr1:160106670-160106682(+) tgcaggaagagc >mm10_chr1:160106683-160106694(+)::chr1:160106682-160106694(+) aggaggaagTAG >mm10_chr1:160242135-160242146(+)::chr1:160242134-160242146(+) GTCAGGAAGAAC >mm10_chr1:160260552-160260563(-)::chr1:160260551-160260563(-) GACAGGAAGTTC >mm10_chr1:160298387-160298398(+)::chr1:160298386-160298398(+) CTAAGGAAATCA >mm10_chr1:160300066-160300077(+)::chr1:160300065-160300077(+) AAGAGGAAGCTA >mm10_chr1:160309204-160309215(-)::chr1:160309203-160309215(-) GACGGGAAGTAG >mm10_chr1:160311932-160311943(-)::chr1:160311931-160311943(-) ATAGGGAAGATA >mm10_chr1:160318225-160318236(+)::chr1:160318224-160318236(+) TGTAGGAAATAG >mm10_chr1:160471821-160471832(+)::chr1:160471820-160471832(+) AACAGGAAGCAG >mm10_chr1:160471828-160471839(+)::chr1:160471827-160471839(+) AGCAGGAAGGCC >mm10_chr1:160544356-160544367(+)::chr1:160544355-160544367(+) CAAGGGAAGTAA >mm10_chr1:160544360-160544371(+)::chr1:160544359-160544371(+) GGAAGTAAGTTG >mm10_chr1:160548387-160548398(+)::chr1:160548386-160548398(+) ATAAGGAACAGG >mm10_chr1:160548419-160548430(+)::chr1:160548418-160548430(+) GCCAGGAAGAGC >mm10_chr1:160577135-160577146(+)::chr1:160577134-160577146(+) TAAAGCAAGTAA >mm10_chr1:160619042-160619053(-)::chr1:160619041-160619053(-) aggaggaagttt >mm10_chr1:160623315-160623326(+)::chr1:160623314-160623326(+) AGAAGGAAGCTA >mm10_chr1:160690435-160690446(+)::chr1:160690434-160690446(+) ttaaggaaatgg >mm10_chr1:160690476-160690487(-)::chr1:160690475-160690487(-) agaaggaagctt >mm10_chr1:160777954-160777965(+)::chr1:160777953-160777965(+) aggaggaagaag >mm10_chr1:160777978-160777989(+)::chr1:160777977-160777989(+) aggaggaagaga >mm10_chr1:160809694-160809705(+)::chr1:160809693-160809705(+) ACAAGGAAGTCT >mm10_chr1:160857140-160857151(+)::chr1:160857139-160857151(+) agggggaagtgg >mm10_chr1:160906422-160906433(+)::chr1:160906421-160906433(+) aagaggaagggg >mm10_chr1:160906470-160906481(+)::chr1:160906469-160906481(+) aggaggaagggg >mm10_chr1:160907137-160907148(-)::chr1:160907136-160907148(-) GGGAGGAAGGGG >mm10_chr1:160931641-160931652(+)::chr1:160931640-160931652(+) aggaggaagaag >mm10_chr1:160931665-160931676(+)::chr1:160931664-160931676(+) agaaggaagaag >mm10_chr1:160931677-160931688(+)::chr1:160931676-160931688(+) aggaggaagagg >mm10_chr1:161034818-161034829(+)::chr1:161034817-161034829(+) TCCCGGAAGTGA >mm10_chr1:161042957-161042968(+)::chr1:161042956-161042968(+) AAaaggaaggaa >mm10_chr1:161042961-161042972(+)::chr1:161042960-161042972(+) ggaaggaaggaa >mm10_chr1:161042965-161042976(+)::chr1:161042964-161042976(+) ggaaggaaggag >mm10_chr1:161042981-161042992(+)::chr1:161042980-161042992(+) aggaggaaggaa >mm10_chr1:161042985-161042996(+)::chr1:161042984-161042996(+) ggaaggaaggag >mm10_chr1:161043001-161043012(+)::chr1:161043000-161043012(+) gggaggaaggga >mm10_chr1:161070759-161070770(+)::chr1:161070758-161070770(+) AAACGGAAGAAC >mm10_chr1:161076475-161076486(-)::chr1:161076474-161076486(-) ACAAGGAAGTAT >mm10_chr1:161131499-161131510(+)::chr1:161131498-161131510(+) AACAGGAAGCGG >mm10_chr1:161139675-161139686(-)::chr1:161139674-161139686(-) aacaggaagtgg >mm10_chr1:161139708-161139719(-)::chr1:161139707-161139719(-) TGAAGGAAGTAG >mm10_chr1:161139728-161139739(-)::chr1:161139727-161139739(-) AAGAGGAAATGG >mm10_chr1:161160864-161160875(+)::chr1:161160863-161160875(+) GAAAGGAAATGA >mm10_chr1:161190510-161190521(-)::chr1:161190509-161190521(-) ggagggaagtca >mm10_chr1:161248940-161248951(-)::chr1:161248939-161248951(-) GGAAGGAAATGG >mm10_chr1:161248944-161248955(-)::chr1:161248943-161248955(-) ATGAGGAAGGAA >mm10_chr1:161251590-161251601(+)::chr1:161251589-161251601(+) CAGAGGAAGTGG >mm10_chr1:161259579-161259590(-)::chr1:161259578-161259590(-) ATAAGGAAGCAC >mm10_chr1:161321851-161321862(-)::chr1:161321850-161321862(-) TAAAGGAAGTTT >mm10_chr1:161344649-161344660(-)::chr1:161344648-161344660(-) ttcgggaagtgc >mm10_chr1:161494426-161494437(-)::chr1:161494425-161494437(-) AAGAGGAAGTAC >mm10_chr1:161494452-161494463(-)::chr1:161494451-161494463(-) AGAAGGAAAAAA >mm10_chr1:161507793-161507804(+)::chr1:161507792-161507804(+) AAAGGGAAGTGG >mm10_chr1:161527780-161527791(+)::chr1:161527779-161527791(+) ACTAGGAAGTTG >mm10_chr1:161607562-161607573(+)::chr1:161607561-161607573(+) AGCAGGAAATCC >mm10_chr1:161707287-161707298(-)::chr1:161707286-161707298(-) acaagggagtgg >mm10_chr1:161713106-161713117(-)::chr1:161713105-161713117(-) GTAACGAAGTTC >mm10_chr1:161728761-161728772(+)::chr1:161728760-161728772(+) GAAAGGAAGAAG >mm10_chr1:161728770-161728781(+)::chr1:161728769-161728781(+) AAGAGGAAGCAA >mm10_chr1:161742747-161742758(+)::chr1:161742746-161742758(+) AACAGGAAGGGC >mm10_chr1:161742784-161742795(-)::chr1:161742783-161742795(-) AGTAGGAAGGTA >mm10_chr1:161748374-161748385(+)::chr1:161748373-161748385(+) AAAAGGAAACCG >mm10_chr1:161767451-161767462(-)::chr1:161767450-161767462(-) AGAAGGAAGTGT >mm10_chr1:161810261-161810272(+)::chr1:161810260-161810272(+) gaaaggaaggcc >mm10_chr1:161810285-161810296(-)::chr1:161810284-161810296(-) acgaggaagcac >mm10_chr1:161863936-161863947(-)::chr1:161863935-161863947(-) AATAGGAAGTGA >mm10_chr1:161876938-161876949(+)::chr1:161876937-161876949(+) AACAGGAAGTCC >mm10_chr1:161897372-161897383(-)::chr1:161897371-161897383(-) AAAAGGAAGTCA >mm10_chr1:161897423-161897434(-)::chr1:161897422-161897434(-) AGGAGGAAGAAA >mm10_chr1:161903263-161903274(+)::chr1:161903262-161903274(+) GCAAGGAAGTGC >mm10_chr1:161906921-161906932(-)::chr1:161906920-161906932(-) TGGAGGAAGAGA >mm10_chr1:161913254-161913265(+)::chr1:161913253-161913265(+) gcaaggaaggaa >mm10_chr1:161913258-161913269(+)::chr1:161913257-161913269(+) ggaaggaaggaa >mm10_chr1:161913262-161913273(+)::chr1:161913261-161913273(+) ggaaggaagagg >mm10_chr1:161913274-161913285(+)::chr1:161913273-161913285(+) gggaggaaggaa >mm10_chr1:161913278-161913289(+)::chr1:161913277-161913289(+) ggaaggaagaaa >mm10_chr1:161913286-161913297(+)::chr1:161913285-161913297(+) gaaaggaaggac >mm10_chr1:161913478-161913489(+)::chr1:161913477-161913489(+) AAGAGGAAATGG >mm10_chr1:161922816-161922827(-)::chr1:161922815-161922827(-) AGAAGGAAGTAG >mm10_chr1:161922885-161922896(-)::chr1:161922884-161922896(-) TCAAGGAACTGG >mm10_chr1:161925642-161925653(-)::chr1:161925641-161925653(-) ACCAGGAAGGAA >mm10_chr1:161932557-161932568(+)::chr1:161932556-161932568(+) gttaggaagttc >mm10_chr1:161975695-161975706(+)::chr1:161975694-161975706(+) aggaggaagggg >mm10_chr1:161975710-161975721(+)::chr1:161975709-161975721(+) aggaggaagaag >mm10_chr1:161986915-161986926(-)::chr1:161986914-161986926(-) GGAAGGAAGGAG >mm10_chr1:161986919-161986930(-)::chr1:161986918-161986930(-) AGGAGGAAGGAA >mm10_chr1:161999509-161999520(+)::chr1:161999508-161999520(+) CAAAGGAAGGAA >mm10_chr1:161999513-161999524(+)::chr1:161999512-161999524(+) GGAAGGAAGTGA >mm10_chr1:161999530-161999541(+)::chr1:161999529-161999541(+) TGAAGGAGGTAC >mm10_chr1:162038039-162038050(+)::chr1:162038038-162038050(+) AGGAGGAAGCAA >mm10_chr1:162053262-162053273(-)::chr1:162053261-162053273(-) AACAGGAAGCAG >mm10_chr1:162165180-162165191(-)::chr1:162165179-162165191(-) AACAGGAAGAGC >mm10_chr1:162165254-162165265(-)::chr1:162165253-162165265(-) TTCAGGAAGTCT >mm10_chr1:162183141-162183152(+)::chr1:162183140-162183152(+) ATCAGGAAGTTA >mm10_chr1:162213839-162213850(+)::chr1:162213838-162213850(+) TCAAGGAACTGA >mm10_chr1:162240364-162240375(+)::chr1:162240363-162240375(+) AAACGGAAGACA >mm10_chr1:162241046-162241057(-)::chr1:162241045-162241057(-) taaaggaagtat >mm10_chr1:162254647-162254658(+)::chr1:162254646-162254658(+) AAGAGGAAGTCT >mm10_chr1:162293974-162293985(+)::chr1:162293973-162293985(+) caaaggaaatga >mm10_chr1:162294545-162294556(-)::chr1:162294544-162294556(-) TAGAGGAAGAAG >mm10_chr1:162403991-162404002(-)::chr1:162403990-162404002(-) AGAAGGAACTGG >mm10_chr1:162405431-162405442(+)::chr1:162405430-162405442(+) aggaggaagagg >mm10_chr1:162405455-162405466(+)::chr1:162405454-162405466(+) aggaggaagagg >mm10_chr1:162405461-162405472(+)::chr1:162405460-162405472(+) aagaggaagaga >mm10_chr1:162405473-162405484(+)::chr1:162405472-162405484(+) aaaaggaAGaag >mm10_chr1:162547734-162547745(-)::chr1:162547733-162547745(-) AGCAGGAAGCCA >mm10_chr1:162571280-162571291(+)::chr1:162571279-162571291(+) ATGAGGAAGAAC >mm10_chr1:162574757-162574768(+)::chr1:162574756-162574768(+) AGGAGGAAGAGA >mm10_chr1:162574799-162574810(-)::chr1:162574798-162574810(-) GGAAGGAAGGAG >mm10_chr1:162574803-162574814(-)::chr1:162574802-162574814(-) AGCAGGAAGGAA >mm10_chr1:162644723-162644734(+)::chr1:162644722-162644734(+) acaaggaaacac >mm10_chr1:162713062-162713073(+)::chr1:162713061-162713073(+) ACAAGGAAGGAA >mm10_chr1:162713066-162713077(+)::chr1:162713065-162713077(+) GGAAGGAAGACT >mm10_chr1:162713108-162713119(-)::chr1:162713107-162713119(-) GGAAGGAAGCAC >mm10_chr1:162713112-162713123(-)::chr1:162713111-162713123(-) CTAAGGAAGGAA >mm10_chr1:162766589-162766600(+)::chr1:162766588-162766600(+) TCCAGGAAGTAC >mm10_chr1:162768320-162768331(-)::chr1:162768319-162768331(-) ATAAGCAAGTAG >mm10_chr1:162768379-162768390(+)::chr1:162768378-162768390(+) aaaaggaagagg >mm10_chr1:162768394-162768405(+)::chr1:162768393-162768405(+) aggaggaagagg >mm10_chr1:162898037-162898048(-)::chr1:162898036-162898048(-) ACCAGGAAATGA >mm10_chr1:162898631-162898642(-)::chr1:162898630-162898642(-) AGGAGGAAGTTC >mm10_chr1:162914589-162914600(-)::chr1:162914588-162914600(-) ATGAGGAAATAG >mm10_chr1:162924122-162924133(+)::chr1:162924121-162924133(+) GCAAGGAAGACG >mm10_chr1:162924135-162924146(-)::chr1:162924134-162924146(-) AGAAGGAAGACA >mm10_chr1:162947010-162947021(+)::chr1:162947009-162947021(+) TGGAGGAAGTAG >mm10_chr1:162953152-162953163(-)::chr1:162953151-162953163(-) atcaggaaatga >mm10_chr1:162964246-162964257(+)::chr1:162964245-162964257(+) AGGAGGAAGTAG >mm10_chr1:162964300-162964311(+)::chr1:162964299-162964311(+) AAAAGGAAGCTA >mm10_chr1:163095963-163095974(-)::chr1:163095962-163095974(-) agaaggaaaagg >mm10_chr1:163095970-163095981(-)::chr1:163095969-163095981(-) atcaggaagaag >mm10_chr1:163182628-163182639(+)::chr1:163182627-163182639(+) AGAAGGAAGAGA >mm10_chr1:163284403-163284414(+)::chr1:163284402-163284414(+) AGAAGGAACTGA >mm10_chr1:163301357-163301368(+)::chr1:163301356-163301368(+) TCAAGGAAGCAG >mm10_chr1:163301380-163301391(+)::chr1:163301379-163301391(+) TAAAGGAAGCAG >mm10_chr1:163444063-163444074(+)::chr1:163444062-163444074(+) GGCAGGAAATTG >mm10_chr1:163444076-163444087(-)::chr1:163444075-163444087(-) CTCAGGAAGTAG >mm10_chr1:163492277-163492288(+)::chr1:163492276-163492288(+) GACAGGAAGATA >mm10_chr1:163492333-163492344(+)::chr1:163492332-163492344(+) AGAATGAAGTTG >mm10_chr1:163727877-163727888(+)::chr1:163727876-163727888(+) TAAATGAAGTGA >mm10_chr1:163779503-163779514(-)::chr1:163779502-163779514(-) CGCAGGAAGGGT >mm10_chr1:163864073-163864084(-)::chr1:163864072-163864084(-) ATGAGGAAGTCT >mm10_chr1:163864326-163864337(+)::chr1:163864325-163864337(+) TGCAGGAAGTTA >mm10_chr1:163940870-163940881(+)::chr1:163940869-163940881(+) ATCAGGAAATGG >mm10_chr1:163940890-163940901(+)::chr1:163940889-163940901(+) AGAAGGAAGGCC >mm10_chr1:163950678-163950689(+)::chr1:163950677-163950689(+) AGCAGGAAGCGC >mm10_chr1:163950691-163950702(+)::chr1:163950690-163950702(+) TACAGGAAGAAT >mm10_chr1:164071353-164071364(+)::chr1:164071352-164071364(+) AGCAGGAAGGTG >mm10_chr1:164071410-164071421(-)::chr1:164071409-164071421(-) GAAAGGAAATGT >mm10_chr1:164087590-164087601(-)::chr1:164087589-164087601(-) AGTAGGAAGGGG >mm10_chr1:164151983-164151994(-)::chr1:164151982-164151994(-) AGGAGGAAGAAG >mm10_chr1:164250377-164250388(-)::chr1:164250376-164250388(-) TATAGGAAGAAG >mm10_chr1:164250391-164250402(-)::chr1:164250390-164250402(-) GGAAGGAAACCG >mm10_chr1:164250395-164250406(-)::chr1:164250394-164250406(-) GTAAGGAAGGAA >mm10_chr1:164260839-164260850(-)::chr1:164260838-164260850(-) ATCAGGAAGTCA >mm10_chr1:164307283-164307294(-)::chr1:164307282-164307294(-) AATAGGAAGTGA >mm10_chr1:164307472-164307483(-)::chr1:164307471-164307483(-) ACCCGGAAGTTT >mm10_chr1:164307495-164307506(+)::chr1:164307494-164307506(+) AGACGGAAGTGA >mm10_chr1:164320845-164320856(+)::chr1:164320844-164320856(+) ACAAGGAAACAA >mm10_chr1:164344344-164344355(-)::chr1:164344343-164344355(-) AAAAGGAAGTAT >mm10_chr1:164375041-164375052(+)::chr1:164375040-164375052(+) agcaggaagtca >mm10_chr1:164421662-164421673(+)::chr1:164421661-164421673(+) TCAAGGAAATGA >mm10_chr1:164453802-164453813(+)::chr1:164453801-164453813(+) AACAGGAAGTCA >mm10_chr1:164453811-164453822(-)::chr1:164453810-164453822(-) AAAAGGAAGTGA >mm10_chr1:164503588-164503599(+)::chr1:164503587-164503599(+) GGAAGGCAGTGT >mm10_chr1:164521644-164521655(+)::chr1:164521643-164521655(+) GCAAGGAAGTTG >mm10_chr1:164538827-164538838(-)::chr1:164538826-164538838(-) AACAGGAAGAGG >mm10_chr1:164546639-164546650(+)::chr1:164546638-164546650(+) ATGAGGAAGATT >mm10_chr1:164546697-164546708(+)::chr1:164546696-164546708(+) ACAAGGAAGTGG >mm10_chr1:164547211-164547222(+)::chr1:164547210-164547222(+) ATGAGGAAGTGG >mm10_chr1:164547703-164547714(+)::chr1:164547702-164547714(+) acgaggaaggga >mm10_chr1:164547756-164547767(-)::chr1:164547755-164547767(-) agcaggaagttt >mm10_chr1:164547768-164547779(+)::chr1:164547767-164547779(+) tagaggaaggag >mm10_chr1:164561235-164561246(-)::chr1:164561234-164561246(-) aggaggaagaaa >mm10_chr1:164561242-164561253(-)::chr1:164561241-164561253(-) agaaggaaggag >mm10_chr1:164561252-164561263(-)::chr1:164561251-164561263(-) aagaggaaggag >mm10_chr1:164561266-164561277(-)::chr1:164561265-164561277(-) aggaggaaggag >mm10_chr1:164561279-164561290(-)::chr1:164561278-164561290(-) aagaggaagaag >mm10_chr1:164561297-164561308(-)::chr1:164561296-164561308(-) aagaggaagaga >mm10_chr1:164649241-164649252(+)::chr1:164649240-164649252(+) ATGAGGAACTAA >mm10_chr1:164691900-164691911(+)::chr1:164691899-164691911(+) AGAAGGAAGATA >mm10_chr1:164710819-164710830(-)::chr1:164710818-164710830(-) aagaggaagagg >mm10_chr1:164710825-164710836(-)::chr1:164710824-164710836(-) aagaggaagagg >mm10_chr1:164710831-164710842(-)::chr1:164710830-164710842(-) aggaggaagagg >mm10_chr1:164881865-164881876(+)::chr1:164881864-164881876(+) AACAGGAAGGTG >mm10_chr1:164899026-164899037(+)::chr1:164899025-164899037(+) ATAAGGCAGTCG >mm10_chr1:164908945-164908956(-)::chr1:164908944-164908956(-) GCAAGCAAGTAA >mm10_chr1:164908954-164908965(+)::chr1:164908953-164908965(+) TGCAGGAAGGGA >mm10_chr1:164909013-164909024(-)::chr1:164909012-164909024(-) AGCAGGAAGTTG >mm10_chr1:164957246-164957257(-)::chr1:164957245-164957257(-) ataaggaaatag >mm10_chr1:164957262-164957273(-)::chr1:164957261-164957273(-) aagaggaagtgg >mm10_chr1:165134043-165134054(+)::chr1:165134042-165134054(+) tagaggaagtac >mm10_chr1:165154800-165154811(-)::chr1:165154799-165154811(-) AAAGGGAAGTCA >mm10_chr1:165154818-165154829(+)::chr1:165154817-165154829(+) ACCAGGAAGGCT >mm10_chr1:165161238-165161249(+)::chr1:165161237-165161249(+) TAAAGGAAGTCT >mm10_chr1:165188979-165188990(-)::chr1:165188978-165188990(-) AGCAGGAAGTCT >mm10_chr1:165237353-165237364(-)::chr1:165237352-165237364(-) GTAAGAAAGTGG >mm10_chr1:165283199-165283210(+)::chr1:165283198-165283210(+) TCCAGGAAGAAT >mm10_chr1:165373937-165373948(+)::chr1:165373936-165373948(+) GCCAGGAAGGGA >mm10_chr1:165393185-165393196(+)::chr1:165393184-165393196(+) aagaggaagagg >mm10_chr1:165393191-165393202(+)::chr1:165393190-165393202(+) aagaggaagagg >mm10_chr1:165393197-165393208(+)::chr1:165393196-165393208(+) aagaggaagagg >mm10_chr1:165393203-165393214(+)::chr1:165393202-165393214(+) aagaggaagGGA >mm10_chr1:165451618-165451629(+)::chr1:165451617-165451629(+) ggaaggaaatga >mm10_chr1:165460864-165460875(+)::chr1:165460863-165460875(+) AAGAGGAAGTCC >mm10_chr1:165502631-165502642(+)::chr1:165502630-165502642(+) AAAAGGAAATGG >mm10_chr1:165510242-165510253(-)::chr1:165510241-165510253(-) TGAAGGAAGCAA >mm10_chr1:165510299-165510310(+)::chr1:165510298-165510310(+) ACACGGAACTAC >mm10_chr1:165541690-165541701(+)::chr1:165541689-165541701(+) GGGAGGAAGCTA >mm10_chr1:165557079-165557090(-)::chr1:165557078-165557090(-) AACAGGAAGTAA >mm10_chr1:165557106-165557117(-)::chr1:165557105-165557117(-) CTAAGGAAGGGG >mm10_chr1:165564338-165564349(-)::chr1:165564337-165564349(-) GGAAGGAAAGTA >mm10_chr1:165564342-165564353(-)::chr1:165564341-165564353(-) AACAGGAAGGAA >mm10_chr1:165564363-165564374(-)::chr1:165564362-165564374(-) aacaggaaggaa >mm10_chr1:165564384-165564395(-)::chr1:165564383-165564395(-) aacaggaaggaa >mm10_chr1:165587963-165587974(+)::chr1:165587962-165587974(+) AAGAGGAAGTGC >mm10_chr1:165616511-165616522(+)::chr1:165616510-165616522(+) aggaggaagaga >mm10_chr1:165616520-165616531(+)::chr1:165616519-165616531(+) agaaggaagagt >mm10_chr1:165616547-165616558(+)::chr1:165616546-165616558(+) aggaggaagagg >mm10_chr1:165616561-165616572(+)::chr1:165616560-165616572(+) AGAAGGAAAGAA >mm10_chr1:165617314-165617325(-)::chr1:165617313-165617325(-) GTCAGGAAATGA >mm10_chr1:165617359-165617370(+)::chr1:165617358-165617370(+) AACAGGAAGTGA >mm10_chr1:165630144-165630155(+)::chr1:165630143-165630155(+) ACAAGGAAGGGC >mm10_chr1:165630182-165630193(+)::chr1:165630181-165630193(+) GCCAGGAAATGA >mm10_chr1:165631466-165631477(+)::chr1:165631465-165631477(+) tgaaggaagtca >mm10_chr1:165633902-165633913(+)::chr1:165633901-165633913(+) ACAAGGAAATAA >mm10_chr1:165653657-165653668(+)::chr1:165653656-165653668(+) gtgaggaaggaa >mm10_chr1:165653679-165653690(+)::chr1:165653678-165653690(+) tgcaggaagcag >mm10_chr1:165972809-165972820(-)::chr1:165972808-165972820(-) AGAAGGAAGAGC >mm10_chr1:166002898-166002909(-)::chr1:166002897-166002909(-) ACAAGGAAGAGA >mm10_chr1:166012294-166012305(+)::chr1:166012293-166012305(+) tagaggaaggag >mm10_chr1:166041722-166041733(+)::chr1:166041721-166041733(+) CAAAGGAAGGCT >mm10_chr1:166047897-166047908(-)::chr1:166047896-166047908(-) TCACGGAAGTAG >mm10_chr1:166048901-166048912(+)::chr1:166048900-166048912(+) AGAAGGAAGGGA >mm10_chr1:166117589-166117600(+)::chr1:166117588-166117600(+) ATCAGGAAGATC >mm10_chr1:166130285-166130296(+)::chr1:166130284-166130296(+) GGCAGGAAGATG >mm10_chr1:166130303-166130314(+)::chr1:166130302-166130314(+) TCCAGGAAGGAA >mm10_chr1:166130307-166130318(+)::chr1:166130306-166130318(+) GGAAGGAAGAAG >mm10_chr1:166131565-166131576(+)::chr1:166131564-166131576(+) GGGAGGAAGTGA >mm10_chr1:166131583-166131594(+)::chr1:166131582-166131594(+) AACAGGAAATTC >mm10_chr1:166155601-166155612(-)::chr1:166155600-166155612(-) tggaggaagttg >mm10_chr1:166155623-166155634(-)::chr1:166155622-166155634(-) gcgaggaaggga >mm10_chr1:166155664-166155675(-)::chr1:166155663-166155675(-) agcaggaagaat >mm10_chr1:166256886-166256897(-)::chr1:166256885-166256897(-) ACAAGGAAGGAG >mm10_chr1:166279091-166279102(+)::chr1:166279090-166279102(+) GGCAGGAAGAAG >mm10_chr1:166279175-166279186(-)::chr1:166279174-166279186(-) AGAAAGAAGTAA >mm10_chr1:166413848-166413859(+)::chr1:166413847-166413859(+) aaaaggaaataa >mm10_chr1:166506506-166506517(-)::chr1:166506505-166506517(-) AGAAGGAAAGAC >mm10_chr1:166506578-166506589(-)::chr1:166506577-166506589(-) AGACGGAAATGC >mm10_chr1:166811488-166811499(-)::chr1:166811487-166811499(-) CACAGGAAGCGA >mm10_chr1:166819702-166819713(+)::chr1:166819701-166819713(+) CGAAGGAAAAAG >mm10_chr1:166819740-166819751(-)::chr1:166819739-166819751(-) AACAGGAAGCAT >mm10_chr1:167204550-167204561(+)::chr1:167204549-167204561(+) aggaggaagagg >mm10_chr1:167220755-167220766(-)::chr1:167220754-167220766(-) GACAGGAAGTCT >mm10_chr1:167223220-167223231(-)::chr1:167223219-167223231(-) ACAAGGAAGAGG >mm10_chr1:167244192-167244203(-)::chr1:167244191-167244203(-) GTAAGGAAGGGA >mm10_chr1:167246433-167246444(+)::chr1:167246432-167246444(+) acaaggaaatag >mm10_chr1:167264249-167264260(+)::chr1:167264248-167264260(+) CCAAGGAAGGGC >mm10_chr1:167264296-167264307(-)::chr1:167264295-167264307(-) GGAAGGAAGTGG >mm10_chr1:167269499-167269510(-)::chr1:167269498-167269510(-) TTCAGGAAGTTG >mm10_chr1:167271880-167271891(+)::chr1:167271879-167271891(+) ACCAGGAAGGTC >mm10_chr1:167271901-167271912(-)::chr1:167271900-167271912(-) TGGAGGAAGAGC >mm10_chr1:167273947-167273958(+)::chr1:167273946-167273958(+) TCAAGGAAGTGA >mm10_chr1:167283799-167283810(-)::chr1:167283798-167283810(-) AGCAGGAAGGCT >mm10_chr1:167315492-167315503(+)::chr1:167315491-167315503(+) tccaggaagttg >mm10_chr1:167337374-167337385(-)::chr1:167337373-167337385(-) AGGAGGAAGGAA >mm10_chr1:167337392-167337403(-)::chr1:167337391-167337403(-) CAAAGGAAATAA >mm10_chr1:167374112-167374123(+)::chr1:167374111-167374123(+) AGAAGGAACTTC >mm10_chr1:167374117-167374128(-)::chr1:167374116-167374128(-) AGAAGGAAGTTC >mm10_chr1:167392895-167392906(-)::chr1:167392894-167392906(-) AAAAGGAAGTAG >mm10_chr1:167415069-167415080(-)::chr1:167415068-167415080(-) TAGAGGAAATAA >mm10_chr1:167415078-167415089(-)::chr1:167415077-167415089(-) CCCAGGAAGTAG >mm10_chr1:167551839-167551850(+)::chr1:167551838-167551850(+) TGAATGAAGTAA >mm10_chr1:167551879-167551890(-)::chr1:167551878-167551890(-) TGGAGGAAGTGT >mm10_chr1:167551895-167551906(-)::chr1:167551894-167551906(-) AGAAGGAAGAAG >mm10_chr1:167667795-167667806(+)::chr1:167667794-167667806(+) aggaggaagatg >mm10_chr1:167667807-167667818(+)::chr1:167667806-167667818(+) agaaggaagaac >mm10_chr1:167667846-167667857(+)::chr1:167667845-167667857(+) aggaggaaggag >mm10_chr1:167667853-167667864(+)::chr1:167667852-167667864(+) aggaggaaggag >mm10_chr1:167667860-167667871(+)::chr1:167667859-167667871(+) aggaggaagagg >mm10_chr1:167908291-167908302(+)::chr1:167908290-167908302(+) aaacggaaatgc >mm10_chr1:167983591-167983602(+)::chr1:167983590-167983602(+) AAGAGGAAGAGG >mm10_chr1:168197096-168197107(-)::chr1:168197095-168197107(-) AAAAGGAAGGCT >mm10_chr1:168211304-168211315(-)::chr1:168211303-168211315(-) ACCAGGAACTAG >mm10_chr1:168231101-168231112(+)::chr1:168231100-168231112(+) GGCAGGAAGTAT >mm10_chr1:168238556-168238567(+)::chr1:168238555-168238567(+) ACAAGGAAGTAC >mm10_chr1:168238590-168238601(+)::chr1:168238589-168238601(+) GAGAGGAAGGAA >mm10_chr1:168292393-168292404(-)::chr1:168292392-168292404(-) AAAGGGAAGGCG >mm10_chr1:168300926-168300937(+)::chr1:168300925-168300937(+) GCAAGGAAGGAA >mm10_chr1:168300930-168300941(+)::chr1:168300929-168300941(+) GGAAGGAAGGAA >mm10_chr1:168300988-168300999(+)::chr1:168300987-168300999(+) ACTAGGAAATAA >mm10_chr1:168332477-168332488(+)::chr1:168332476-168332488(+) ACAAGGAAGCTG >mm10_chr1:168333741-168333752(-)::chr1:168333740-168333752(-) GGAAGGAACTCC >mm10_chr1:168334746-168334757(+)::chr1:168334745-168334757(+) ACAAGGAAGACA >mm10_chr1:168334761-168334772(-)::chr1:168334760-168334772(-) AGGAGGAAGTGG >mm10_chr1:168335390-168335401(+)::chr1:168335389-168335401(+) aacaggaaggcc >mm10_chr1:168359920-168359931(+)::chr1:168359919-168359931(+) AGAGGGAAGAAA >mm10_chr1:168359995-168360006(+)::chr1:168359994-168360006(+) GGCAGGAAGGTC >mm10_chr1:168362455-168362466(+)::chr1:168362454-168362466(+) ATTAGGAAGGGT >mm10_chr1:168362487-168362498(-)::chr1:168362486-168362498(-) AGACGGAAGAGA >mm10_chr1:168388713-168388724(-)::chr1:168388712-168388724(-) agaaggaactct >mm10_chr1:168388740-168388751(-)::chr1:168388739-168388751(-) gaaaggaagtat >mm10_chr1:168392317-168392328(+)::chr1:168392316-168392328(+) CGCAGGAACTCG >mm10_chr1:168393324-168393335(+)::chr1:168393323-168393335(+) ACAAGGAAGGAC >mm10_chr1:168393328-168393339(+)::chr1:168393327-168393339(+) GGAAGGACGTGG >mm10_chr1:168393352-168393363(+)::chr1:168393351-168393363(+) AGGAGGAAGAAG >mm10_chr1:168478659-168478670(-)::chr1:168478658-168478670(-) GCCAGGAAGAGA >mm10_chr1:168546224-168546235(-)::chr1:168546223-168546235(-) AAGAGGAAGCAA >mm10_chr1:168546243-168546254(+)::chr1:168546242-168546254(+) TGTAGGAAGTTA >mm10_chr1:168834613-168834624(+)::chr1:168834612-168834624(+) ACAAGGAAGCCT >mm10_chr1:168863761-168863772(+)::chr1:168863760-168863772(+) aagaggaagagc >mm10_chr1:168863778-168863789(+)::chr1:168863777-168863789(+) aggaggaaatca >mm10_chr1:169273088-169273099(-)::chr1:169273087-169273099(-) AAAAGGAAGATG >mm10_chr1:169273094-169273105(-)::chr1:169273093-169273105(-) AGAAGGAAAAGG >mm10_chr1:169313421-169313432(-)::chr1:169313420-169313432(-) AGAACGAAGTGT >mm10_chr1:169336569-169336580(+)::chr1:169336568-169336580(+) aggaggaagatg >mm10_chr1:169336587-169336598(+)::chr1:169336586-169336598(+) aagaggaagaag >mm10_chr1:169336594-169336605(+)::chr1:169336593-169336605(+) agaaggaaagaa >mm10_chr1:169336632-169336643(+)::chr1:169336631-169336643(+) TAAAGGAAGGGA >mm10_chr1:169350396-169350407(+)::chr1:169350395-169350407(+) ATAAGGAAGGTG >mm10_chr1:169442852-169442863(+)::chr1:169442851-169442863(+) aggaggaagtgg >mm10_chr1:169459622-169459633(+)::chr1:169459621-169459633(+) CGGCGGAAGTGA >mm10_chr1:169459674-169459685(-)::chr1:169459673-169459685(-) AAGAGGAAATGC >mm10_chr1:169531589-169531600(+)::chr1:169531588-169531600(+) ACCAGGAAGCGC >mm10_chr1:169575146-169575157(+)::chr1:169575145-169575157(+) acaaggcagtgg >mm10_chr1:169578685-169578696(+)::chr1:169578684-169578696(+) ATGAGGAAGATG >mm10_chr1:170124545-170124556(+)::chr1:170124544-170124556(+) acaaggaagaag >mm10_chr1:170124552-170124563(+)::chr1:170124551-170124563(+) agaaggaagagt >mm10_chr1:170162007-170162018(-)::chr1:170162006-170162018(-) ACCAGGAAGTTA >mm10_chr1:170162023-170162034(-)::chr1:170162022-170162034(-) GGGAGGAAGCAG >mm10_chr1:170164405-170164416(+)::chr1:170164404-170164416(+) AGAAGGAAGTAG >mm10_chr1:170170473-170170484(+)::chr1:170170472-170170484(+) aaaaggaagctc >mm10_chr1:170175962-170175973(+)::chr1:170175961-170175973(+) TCAAGGAAGGAC >mm10_chr1:170243461-170243472(+)::chr1:170243460-170243472(+) atgaggaagtgt >mm10_chr1:170305153-170305164(+)::chr1:170305152-170305164(+) ACCAGGAAGTGC >mm10_chr1:170305173-170305184(+)::chr1:170305172-170305184(+) GAAAGGAAGTAT >mm10_chr1:170305213-170305224(+)::chr1:170305212-170305224(+) AGGAGGAAGTTC >mm10_chr1:170332981-170332992(-)::chr1:170332980-170332992(-) GTGAGGAAGGGA >mm10_chr1:170349610-170349621(+)::chr1:170349609-170349621(+) CAAAGGAAGTCC >mm10_chr1:170392051-170392062(-)::chr1:170392050-170392062(-) TCAAGGAACTAC >mm10_chr1:170395193-170395204(+)::chr1:170395192-170395204(+) TGGAGGAAGCAC >mm10_chr1:170409175-170409186(-)::chr1:170409174-170409186(-) CAGAGGAAGTCC >mm10_chr1:170416876-170416887(+)::chr1:170416875-170416887(+) ttaaggaagttc >mm10_chr1:170465934-170465945(+)::chr1:170465933-170465945(+) ttcaggaagtgg >mm10_chr1:170471550-170471561(+)::chr1:170471549-170471561(+) AGAAGGAAGGGG >mm10_chr1:170481230-170481241(-)::chr1:170481229-170481241(-) TTGAGGAAGTAG >mm10_chr1:170482728-170482739(-)::chr1:170482727-170482739(-) AAGAGGAAATGG >mm10_chr1:170485459-170485470(+)::chr1:170485458-170485470(+) ACAAGGAAGAAA >mm10_chr1:170520333-170520344(+)::chr1:170520332-170520344(+) GAGAGGAAGTAC >mm10_chr1:170528755-170528766(+)::chr1:170528754-170528766(+) aagaggaagagg >mm10_chr1:170528761-170528772(+)::chr1:170528760-170528772(+) aagaggaagagg >mm10_chr1:170528767-170528778(+)::chr1:170528766-170528778(+) aagaggaagagg >mm10_chr1:170528781-170528792(+)::chr1:170528780-170528792(+) agaaggaaggag >mm10_chr1:170531097-170531108(+)::chr1:170531096-170531108(+) GAAAGGAAGCAG >mm10_chr1:170535402-170535413(+)::chr1:170535401-170535413(+) TACAGGAAGTTC >mm10_chr1:170535428-170535439(+)::chr1:170535427-170535439(+) ATGAGGAAGGGA >mm10_chr1:170550100-170550111(-)::chr1:170550099-170550111(-) ggaaggaagtca >mm10_chr1:170565077-170565088(+)::chr1:170565076-170565088(+) Aagaggaagagg >mm10_chr1:170565083-170565094(+)::chr1:170565082-170565094(+) aagaggaagaag >mm10_chr1:170565114-170565125(+)::chr1:170565113-170565125(+) agaaggaaagaa >mm10_chr1:170565125-170565136(+)::chr1:170565124-170565136(+) aagaggaagagg >mm10_chr1:170586335-170586346(+)::chr1:170586334-170586346(+) ACACGGAAGTTC >mm10_chr1:170632473-170632484(+)::chr1:170632472-170632484(+) TGGAGGAAATGA >mm10_chr1:170644423-170644434(-)::chr1:170644422-170644434(-) ACCGGGAAGCGC >mm10_chr1:170665767-170665778(+)::chr1:170665766-170665778(+) CCCAGGAAGTAA >mm10_chr1:170665828-170665839(-)::chr1:170665827-170665839(-) ccagggaagtag >mm10_chr1:170672399-170672410(-)::chr1:170672398-170672410(-) ACCAGGAAGCTG >mm10_chr1:170672412-170672423(-)::chr1:170672411-170672423(-) TCAAGGAAGGGT >mm10_chr1:170672433-170672444(-)::chr1:170672432-170672444(-) TAAAGGAACTTC >mm10_chr1:170703466-170703477(+)::chr1:170703465-170703477(+) CAAAGGAAGAGG >mm10_chr1:170703480-170703491(-)::chr1:170703479-170703491(-) ATGAGGAACTCG >mm10_chr1:170709554-170709565(+)::chr1:170709553-170709565(+) ACCAGGAAGTGC >mm10_chr1:170709575-170709586(+)::chr1:170709574-170709586(+) AAGAGGAAGTGG >mm10_chr1:170716368-170716379(+)::chr1:170716367-170716379(+) AAGAGGAAGAGG >mm10_chr1:170716374-170716385(+)::chr1:170716373-170716385(+) AAGAGGAAGAAC >mm10_chr1:170721732-170721743(+)::chr1:170721731-170721743(+) AACAGGAAGTAT >mm10_chr1:170723991-170724002(-)::chr1:170723990-170724002(-) GAAAGGAAGGAC >mm10_chr1:170728641-170728652(-)::chr1:170728640-170728652(-) GAAAGGAAGTAT >mm10_chr1:170773726-170773737(-)::chr1:170773725-170773737(-) AAAAGGATGTCT >mm10_chr1:170796255-170796266(+)::chr1:170796254-170796266(+) GAAAGGAAGAAG >mm10_chr1:170796262-170796273(+)::chr1:170796261-170796273(+) AGAAGGAAGACT >mm10_chr1:170806348-170806359(-)::chr1:170806347-170806359(-) AAACGGAAGACA >mm10_chr1:170806411-170806422(+)::chr1:170806410-170806422(+) ACAAGGAAGTAA >mm10_chr1:170846171-170846182(-)::chr1:170846170-170846182(-) accgggaagtcc >mm10_chr1:170937269-170937280(-)::chr1:170937268-170937280(-) atgaggaaggat >mm10_chr1:171006500-171006511(+)::chr1:171006499-171006511(+) ATGAGGAAGCAG >mm10_chr1:171006519-171006530(+)::chr1:171006518-171006530(+) GAAGGGAAGTGT >mm10_chr1:171011765-171011776(-)::chr1:171011764-171011776(-) GTCAGGAAGGAA >mm10_chr1:171011786-171011797(+)::chr1:171011785-171011797(+) TAAAGGAAGTAG >mm10_chr1:171033700-171033711(+)::chr1:171033699-171033711(+) AGAAGAAAGTAA >mm10_chr1:171044630-171044641(+)::chr1:171044629-171044641(+) ACACGGAAGGGA >mm10_chr1:171051021-171051032(+)::chr1:171051020-171051032(+) acaaggaaggaa >mm10_chr1:171051037-171051048(+)::chr1:171051036-171051048(+) agaaggaaatga >mm10_chr1:171057435-171057446(-)::chr1:171057434-171057446(-) AGAAGGAAGGAC >mm10_chr1:171063367-171063378(-)::chr1:171063366-171063378(-) CAAAGTAAGTAT >mm10_chr1:171103365-171103376(+)::chr1:171103364-171103376(+) AGCCGGAAGTAC >mm10_chr1:171138785-171138796(+)::chr1:171138784-171138796(+) acAAGGAAAGGA >mm10_chr1:171138803-171138814(-)::chr1:171138802-171138814(-) ACCAGGAAATCC >mm10_chr1:171171329-171171340(-)::chr1:171171328-171171340(-) AGGAGGAAGTTT >mm10_chr1:171171362-171171373(-)::chr1:171171361-171171373(-) TAAAGGAAGTAC >mm10_chr1:171216264-171216275(-)::chr1:171216263-171216275(-) GGAAGGAAGAAA >mm10_chr1:171216268-171216279(-)::chr1:171216267-171216279(-) AGGAGGAAGGAA >mm10_chr1:171216275-171216286(-)::chr1:171216274-171216286(-) ATAAGGAAGGAG >mm10_chr1:171247123-171247134(+)::chr1:171247122-171247134(+) GGGCGGAAGTCG >mm10_chr1:171249867-171249878(-)::chr1:171249866-171249878(-) AAAAGGAAGTGG >mm10_chr1:171249935-171249946(-)::chr1:171249934-171249946(-) TTGAGGAAGTCA >mm10_chr1:171249943-171249954(+)::chr1:171249942-171249954(+) TCAAGGAAGTGA >mm10_chr1:171250618-171250629(+)::chr1:171250617-171250629(+) AGGAGGAAGTTT >mm10_chr1:171319998-171320009(+)::chr1:171319997-171320009(+) caaaggaagaga >mm10_chr1:171327492-171327503(-)::chr1:171327491-171327503(-) AGAAGGAAAGAG >mm10_chr1:171328731-171328742(-)::chr1:171328730-171328742(-) TAAAGGAAGAGG >mm10_chr1:171344508-171344519(+)::chr1:171344507-171344519(+) CCCAGGAAGTTG >mm10_chr1:171345272-171345283(-)::chr1:171345271-171345283(-) ACGAGGAAGGTT >mm10_chr1:171361780-171361791(+)::chr1:171361779-171361791(+) AGGAGGAAATGC >mm10_chr1:171375791-171375802(+)::chr1:171375790-171375802(+) GCAAGGTAGTGG >mm10_chr1:171379749-171379760(-)::chr1:171379748-171379760(-) tcaaggaaatag >mm10_chr1:171427557-171427568(-)::chr1:171427556-171427568(-) aagaggaaggaa >mm10_chr1:171427602-171427613(-)::chr1:171427601-171427613(-) acagggaagtgg >mm10_chr1:171439342-171439353(-)::chr1:171439341-171439353(-) GGAAGGAAGTCG >mm10_chr1:171439364-171439375(-)::chr1:171439363-171439375(-) AAAAGGAAGGAG >mm10_chr1:171439385-171439396(-)::chr1:171439384-171439396(-) CGTAGGAAATTA >mm10_chr1:171440910-171440921(-)::chr1:171440909-171440921(-) agaaggaagaag >mm10_chr1:171440928-171440939(-)::chr1:171440927-171440939(-) aagaggaagagg >mm10_chr1:171440934-171440945(-)::chr1:171440933-171440945(-) aggaggaagagg >mm10_chr1:171441665-171441676(+)::chr1:171441664-171441676(+) GGCAGGAAGTTT >mm10_chr1:171442183-171442194(+)::chr1:171442182-171442194(+) AGAAGGAAGTAG >mm10_chr1:171478167-171478178(+)::chr1:171478166-171478178(+) GAAGGGAAGTTT >mm10_chr1:171503807-171503818(-)::chr1:171503806-171503818(-) GTCCGGAAGTGG >mm10_chr1:171637293-171637304(-)::chr1:171637292-171637304(-) ACACGGAAGTGA >mm10_chr1:171637356-171637367(-)::chr1:171637355-171637367(-) ACCAGGAAATGC >mm10_chr1:172048507-172048518(+)::chr1:172048506-172048518(+) AGCAGGAAGATA >mm10_chr1:172048531-172048542(+)::chr1:172048530-172048542(+) TCCAGGAAGAGG >mm10_chr1:172048537-172048548(+)::chr1:172048536-172048548(+) AAGAGGAAGAGG >mm10_chr1:172061559-172061570(-)::chr1:172061558-172061570(-) AGGAGGAAGGTG >mm10_chr1:172061589-172061600(-)::chr1:172061588-172061600(-) GGCAGGAAGTAG >mm10_chr1:172078119-172078130(+)::chr1:172078118-172078130(+) AGAAGGAAACAA >mm10_chr1:172078138-172078149(+)::chr1:172078137-172078149(+) ATGAGGAAATGG >mm10_chr1:172082420-172082431(+)::chr1:172082419-172082431(+) CTAAGGAAATGC >mm10_chr1:172082639-172082650(-)::chr1:172082638-172082650(-) CCCAGGAAGTCG >mm10_chr1:172099822-172099833(+)::chr1:172099821-172099833(+) AAGGGGAAGTAG >mm10_chr1:172179370-172179381(-)::chr1:172179369-172179381(-) GAAGGGAAGTAC >mm10_chr1:172199643-172199654(+)::chr1:172199642-172199654(+) AACAGGAAGGTG >mm10_chr1:172230094-172230105(+)::chr1:172230093-172230105(+) gcaaggaagcca >mm10_chr1:172230120-172230131(+)::chr1:172230119-172230131(+) agaaggaaaagg >mm10_chr1:172237765-172237776(+)::chr1:172237764-172237776(+) CGGAGGAAGTGT >mm10_chr1:172237805-172237816(+)::chr1:172237804-172237816(+) AAgaggaaggga >mm10_chr1:172243174-172243185(-)::chr1:172243173-172243185(-) aggaggaagagg >mm10_chr1:172243189-172243200(-)::chr1:172243188-172243200(-) aggaggaagatg >mm10_chr1:172243214-172243225(-)::chr1:172243213-172243225(-) aagaggaaggag >mm10_chr1:172243223-172243234(-)::chr1:172243222-172243234(-) ggaaggaagaag >mm10_chr1:172243227-172243238(-)::chr1:172243226-172243238(-) aggaggaaggaa >mm10_chr1:172274292-172274303(+)::chr1:172274291-172274303(+) GGTAGGAAGGGA >mm10_chr1:172277438-172277449(-)::chr1:172277437-172277449(-) CACAGGAAGTAG >mm10_chr1:172277458-172277469(-)::chr1:172277457-172277469(-) ATAAGGAAGTAT >mm10_chr1:172277957-172277968(+)::chr1:172277956-172277968(+) AAGAGGAAGGAA >mm10_chr1:172277961-172277972(+)::chr1:172277960-172277972(+) GGAAGGAAGGAG >mm10_chr1:172277971-172277982(+)::chr1:172277970-172277982(+) AGGAGGAAGAAA >mm10_chr1:172277983-172277994(+)::chr1:172277982-172277994(+) ACAAGGAAGATG >mm10_chr1:172311333-172311344(+)::chr1:172311332-172311344(+) AAGAGGAAGTCA >mm10_chr1:172311389-172311400(+)::chr1:172311388-172311400(+) AATAGGAAGCAG >mm10_chr1:172324938-172324949(-)::chr1:172324937-172324949(-) ATAAGGAAGACG >mm10_chr1:172357472-172357483(-)::chr1:172357471-172357483(-) ACCGGGAAGTTC >mm10_chr1:172364844-172364855(+)::chr1:172364843-172364855(+) AAAAGGATGTGT >mm10_chr1:172372343-172372354(-)::chr1:172372342-172372354(-) GGCAGGAAATGC >mm10_chr1:172372367-172372378(-)::chr1:172372366-172372378(-) TAGAGGAAGTTC >mm10_chr1:172376457-172376468(+)::chr1:172376456-172376468(+) CCGAGGAAGGCA >mm10_chr1:172376510-172376521(+)::chr1:172376509-172376521(+) GGCCGGAAGTAC >mm10_chr1:172377850-172377861(-)::chr1:172377849-172377861(-) AACAGGAAGGTG >mm10_chr1:172377880-172377891(-)::chr1:172377879-172377891(-) AGAAGGAAGAAC >mm10_chr1:172397180-172397191(-)::chr1:172397179-172397191(-) CGAAGGGAGTAA >mm10_chr1:172397212-172397223(+)::chr1:172397211-172397223(+) TTAAGGAAGTCC >mm10_chr1:172415185-172415196(-)::chr1:172415184-172415196(-) ggcaggaagaga >mm10_chr1:172426959-172426970(-)::chr1:172426958-172426970(-) aagaggaagaaa >mm10_chr1:172434601-172434612(-)::chr1:172434600-172434612(-) aaccggaagtac >mm10_chr1:172456044-172456055(-)::chr1:172456043-172456055(-) AGACGGAAGGAG >mm10_chr1:172474123-172474134(-)::chr1:172474122-172474134(-) ATAAGGAAGACA >mm10_chr1:172492727-172492738(+)::chr1:172492726-172492738(+) TAGAGGAAGAAC >mm10_chr1:172525447-172525458(-)::chr1:172525446-172525458(-) CACAGGAAATAA >mm10_chr1:172525460-172525471(-)::chr1:172525459-172525471(-) TGGAGGAAGTCA >mm10_chr1:172528835-172528846(+)::chr1:172528834-172528846(+) TCCAGGAAGTTA >mm10_chr1:172537541-172537552(+)::chr1:172537540-172537552(+) TCCAGGAAGTAG >mm10_chr1:172547415-172547426(+)::chr1:172547414-172547426(+) GGCAGGAAGTTC >mm10_chr1:172550705-172550716(+)::chr1:172550704-172550716(+) CGGGGGAAGTAT >mm10_chr1:172559853-172559864(+)::chr1:172559852-172559864(+) AGAAGGAAGGCA >mm10_chr1:172559877-172559888(-)::chr1:172559876-172559888(-) TGGAGGAAGTTC >mm10_chr1:172582253-172582264(+)::chr1:172582252-172582264(+) TGGAGGAAGGAG >mm10_chr1:172582260-172582271(+)::chr1:172582259-172582271(+) AGGAGGAAGTTT >mm10_chr1:172659913-172659924(-)::chr1:172659912-172659924(-) ACAAGGAAATCG >mm10_chr1:172711574-172711585(-)::chr1:172711573-172711585(-) aacaggaagtat >mm10_chr1:172711612-172711623(-)::chr1:172711611-172711623(-) tgcaggaagagg >mm10_chr1:172829204-172829215(+)::chr1:172829203-172829215(+) AACAGGAAGTTC >mm10_chr1:173249729-173249740(-)::chr1:173249728-173249740(-) TCAGGGAAGTTA >mm10_chr1:173409278-173409289(-)::chr1:173409277-173409289(-) TAGAGGAAGCAT >mm10_chr1:173409305-173409316(+)::chr1:173409304-173409316(+) ACAAGGGAGTGA >mm10_chr1:174109996-174110007(-)::chr1:174109995-174110007(-) GCAAGGAAGAGA >mm10_chr1:174250582-174250593(+)::chr1:174250581-174250593(+) AGGAGGAAGCAG >mm10_chr1:175143061-175143072(+)::chr1:175143060-175143072(+) tgcaggaagagt >mm10_chr1:175243965-175243976(-)::chr1:175243964-175243976(-) ATAAGGAAACAG >mm10_chr1:175692301-175692312(+)::chr1:175692300-175692312(+) ACCAGGAAGAGG >mm10_chr1:175851129-175851140(+)::chr1:175851128-175851140(+) GATAGGAAGGGA >mm10_chr1:175869348-175869359(-)::chr1:175869347-175869359(-) acaaggaaagga >mm10_chr1:175869365-175869376(-)::chr1:175869364-175869376(-) ttaaggaagggc >mm10_chr1:175880661-175880672(-)::chr1:175880660-175880672(-) GGTAGGAAGTCC >mm10_chr1:175897297-175897308(+)::chr1:175897296-175897308(+) aagaggaagttc >mm10_chr1:175936532-175936543(-)::chr1:175936531-175936543(-) TGAAGCAAGTAC >mm10_chr1:176068037-176068048(+)::chr1:176068036-176068048(+) AGGAGGAAGGCA >mm10_chr1:176115323-176115334(+)::chr1:176115322-176115334(+) agaaggaagaag >mm10_chr1:176115330-176115341(+)::chr1:176115329-176115341(+) agaaggaagaag >mm10_chr1:176115337-176115348(+)::chr1:176115336-176115348(+) agaaggaagaag >mm10_chr1:176115344-176115355(+)::chr1:176115343-176115355(+) agaaggaagagg >mm10_chr1:176154845-176154856(-)::chr1:176154844-176154856(-) TCAAGGAAGGAT >mm10_chr1:176175997-176176008(-)::chr1:176175996-176176008(-) CAAAGGAAGAAA >mm10_chr1:176184128-176184139(-)::chr1:176184127-176184139(-) AACAGGAAGGCT >mm10_chr1:176197124-176197135(+)::chr1:176197123-176197135(+) AGAAGGAAGATT >mm10_chr1:176428204-176428215(-)::chr1:176428203-176428215(-) AGAAGGAAAGAT >mm10_chr1:176496091-176496102(-)::chr1:176496090-176496102(-) ACCAGGAAGCAA >mm10_chr1:176682333-176682344(+)::chr1:176682332-176682344(+) AAGAGGAAGTTA >mm10_chr1:176828126-176828137(+)::chr1:176828125-176828137(+) GTGAGGAAGAAA >mm10_chr1:176828202-176828213(-)::chr1:176828201-176828213(-) TTAAGGAAGATG >mm10_chr1:176832764-176832775(+)::chr1:176832763-176832775(+) GGAAGGAAGCGA >mm10_chr1:176834930-176834941(+)::chr1:176834929-176834941(+) agcaggaaggac >mm10_chr1:176933359-176933370(-)::chr1:176933358-176933370(-) AAGAGGAAGTTC >mm10_chr1:177109974-177109985(-)::chr1:177109973-177109985(-) TACAGGAAGGAA >mm10_chr1:177131635-177131646(+)::chr1:177131634-177131646(+) TATAGGACGTAT >mm10_chr1:177131643-177131654(-)::chr1:177131642-177131654(-) ACAAGGAAATAC >mm10_chr1:177131667-177131678(-)::chr1:177131666-177131678(-) AAGAGGAAGTTA >mm10_chr1:177340393-177340404(-)::chr1:177340392-177340404(-) AATAGGAAGTTA >mm10_chr1:177340400-177340411(-)::chr1:177340399-177340411(-) GCCAGGAAATAG >mm10_chr1:177346732-177346743(+)::chr1:177346731-177346743(+) GGACGGAAGAAA >mm10_chr1:177346745-177346756(+)::chr1:177346744-177346756(+) ACGAGGAAGCTC >mm10_chr1:177386242-177386253(-)::chr1:177386241-177386253(-) ACAAGTAAGTCT >mm10_chr1:177441282-177441293(-)::chr1:177441281-177441293(-) AAGAGGAAATGT >mm10_chr1:177441317-177441328(+)::chr1:177441316-177441328(+) GTAAGGAAGAAG >mm10_chr1:177443084-177443095(-)::chr1:177443083-177443095(-) AAGAGGAAGGGT >mm10_chr1:177470286-177470297(+)::chr1:177470285-177470297(+) TGAAGGAACGGG >mm10_chr1:177470321-177470332(+)::chr1:177470320-177470332(+) AGAAGGAAGTCC >mm10_chr1:177557033-177557044(-)::chr1:177557032-177557044(-) TCAAGGAAGTGC >mm10_chr1:177622797-177622808(+)::chr1:177622796-177622808(+) ctaaggaagcag >mm10_chr1:177622804-177622815(+)::chr1:177622803-177622815(+) agcaggaagcag >mm10_chr1:177622811-177622822(+)::chr1:177622810-177622822(+) agcaggaagcag >mm10_chr1:177622818-177622829(+)::chr1:177622817-177622829(+) agcaggaagcag >mm10_chr1:177623293-177623304(-)::chr1:177623292-177623304(-) TGAAGGAAGAGT >mm10_chr1:177623607-177623618(+)::chr1:177623606-177623618(+) TTAAGGAAGGAA >mm10_chr1:177623611-177623622(+)::chr1:177623610-177623622(+) GGAAGGAAGCCG >mm10_chr1:177729231-177729242(-)::chr1:177729230-177729242(-) AGTAGGAAGAGA >mm10_chr1:178231788-178231799(+)::chr1:178231787-178231799(+) agaaggaattca >mm10_chr1:178231797-178231808(+)::chr1:178231796-178231808(+) tcaaggaagaga >mm10_chr1:178231815-178231826(+)::chr1:178231814-178231826(+) agcaggaactga >mm10_chr1:178291892-178291903(+)::chr1:178291891-178291903(+) CAAAGGAAATGA >mm10_chr1:178303629-178303640(-)::chr1:178303628-178303640(-) ATATGGAAGTCA >mm10_chr1:178306540-178306551(+)::chr1:178306539-178306551(+) aggaggaagggt >mm10_chr1:178306602-178306613(+)::chr1:178306601-178306613(+) gggaggaagcag >mm10_chr1:178306609-178306620(+)::chr1:178306608-178306620(+) agcaggaagcag >mm10_chr1:178309639-178309650(-)::chr1:178309638-178309650(-) AGGAGGAAGAGA >mm10_chr1:178492621-178492632(+)::chr1:178492620-178492632(+) TCCAGGAAGTTG >mm10_chr1:178492653-178492664(+)::chr1:178492652-178492664(+) AACAGGAAGCAG >mm10_chr1:178534187-178534198(-)::chr1:178534186-178534198(-) TGCAGGAAGTGT >mm10_chr1:178619757-178619768(+)::chr1:178619756-178619768(+) ATAAGGCAGTTA >mm10_chr1:178619780-178619791(-)::chr1:178619779-178619791(-) GAAAGGAAGAAC >mm10_chr1:178641164-178641175(-)::chr1:178641163-178641175(-) GGAAGTAAGTGG >mm10_chr1:178664779-178664790(+)::chr1:178664778-178664790(+) AAGAGGAAGTGG >mm10_chr1:178664790-178664801(+)::chr1:178664789-178664801(+) GCAAGGAAGTGA >mm10_chr1:178685976-178685987(-)::chr1:178685975-178685987(-) ATCAGGAAGTTA >mm10_chr1:178773536-178773547(-)::chr1:178773535-178773547(-) aggaggaagagg >mm10_chr1:178773548-178773559(-)::chr1:178773547-178773559(-) aggaggaaatgg >mm10_chr1:178773581-178773592(-)::chr1:178773580-178773592(-) aataggaagagg >mm10_chr1:178773605-178773616(+)::chr1:178773604-178773616(+) ggagggaagttc >mm10_chr1:178964397-178964408(+)::chr1:178964396-178964408(+) agaaggaaattc >mm10_chr1:178964439-178964450(-)::chr1:178964438-178964450(-) atcaggaagaaa >mm10_chr1:178965108-178965119(+)::chr1:178965107-178965119(+) ataaggaagcaa >mm10_chr1:178991639-178991650(-)::chr1:178991638-178991650(-) GGAAGGAAGATG >mm10_chr1:178991689-178991700(-)::chr1:178991688-178991700(-) AAAGGGAAGTGG >mm10_chr1:178991713-178991724(-)::chr1:178991712-178991724(-) GGGAGGAAGGGA >mm10_chr1:179008526-179008537(+)::chr1:179008525-179008537(+) aagaggaagagg >mm10_chr1:179008535-179008546(+)::chr1:179008534-179008546(+) aggaggaagaaa >mm10_chr1:179008555-179008566(+)::chr1:179008554-179008566(+) aggaggaagagg >mm10_chr1:179008561-179008572(+)::chr1:179008560-179008572(+) aagaggaagaaa >mm10_chr1:179075805-179075816(+)::chr1:179075804-179075816(+) aggaggaagaga >mm10_chr1:179075820-179075831(+)::chr1:179075819-179075831(+) aggaggaagagg >mm10_chr1:179075826-179075837(+)::chr1:179075825-179075837(+) aagaggaagagg >mm10_chr1:179076556-179076567(+)::chr1:179076555-179076567(+) AGCAGGAAGGCT >mm10_chr1:179136719-179136730(+)::chr1:179136718-179136730(+) TCCAGGAAGGAA >mm10_chr1:179136723-179136734(+)::chr1:179136722-179136734(+) GGAAGGAAGTGG >mm10_chr1:179136763-179136774(+)::chr1:179136762-179136774(+) AGGAGGAAGTCC >mm10_chr1:179232187-179232198(+)::chr1:179232186-179232198(+) ATAAGGAAGTGG >mm10_chr1:179485923-179485934(+)::chr1:179485922-179485934(+) accaggaagtac >mm10_chr1:179485931-179485942(-)::chr1:179485930-179485942(-) agagggaagtac >mm10_chr1:179486888-179486899(+)::chr1:179486887-179486899(+) GCGAGGAAGAGA >mm10_chr1:179486930-179486941(-)::chr1:179486929-179486941(-) ACAAGGATGTTT >mm10_chr1:179498005-179498016(+)::chr1:179498004-179498016(+) ACAAGGAAAAGA >mm10_chr1:179507338-179507349(-)::chr1:179507337-179507349(-) TAAAGGAAGCAG >mm10_chr1:179507386-179507397(-)::chr1:179507385-179507397(-) GGAAGAAAGTAA >mm10_chr1:179507390-179507401(-)::chr1:179507389-179507401(-) ACCAGGAAGAAA >mm10_chr1:179509377-179509388(-)::chr1:179509376-179509388(-) ATAAGGAAGCCC >mm10_chr1:179511953-179511964(+)::chr1:179511952-179511964(+) ACTAGGAAGTGT >mm10_chr1:179518121-179518132(-)::chr1:179518120-179518132(-) AGGAGGAAATAC >mm10_chr1:179546299-179546310(-)::chr1:179546298-179546310(-) AGGCGGAAGCGG >mm10_chr1:179552113-179552124(-)::chr1:179552112-179552124(-) ACAAGGAAGGAC >mm10_chr1:179552377-179552388(+)::chr1:179552376-179552388(+) AGGAGGAAGTGA >mm10_chr1:179556958-179556969(-)::chr1:179556957-179556969(-) ataaggaaaaaa >mm10_chr1:179559724-179559735(+)::chr1:179559723-179559735(+) ATAAGGAAAAGG >mm10_chr1:179559730-179559741(+)::chr1:179559729-179559741(+) AAAAGGACGTGC >mm10_chr1:179559743-179559754(+)::chr1:179559742-179559754(+) CGGAGGAAATCA >mm10_chr1:179559800-179559811(-)::chr1:179559799-179559811(-) aacaggaagaag >mm10_chr1:179569073-179569084(+)::chr1:179569072-179569084(+) AGAAGGAAATGT >mm10_chr1:179582335-179582346(-)::chr1:179582334-179582346(-) ggaaggaactga >mm10_chr1:179582339-179582350(-)::chr1:179582338-179582350(-) acacggaaggaa >mm10_chr1:179582362-179582373(+)::chr1:179582361-179582373(+) acaaggaagctg >mm10_chr1:179704374-179704385(-)::chr1:179704373-179704385(-) atcaggaagcag >mm10_chr1:179753223-179753234(-)::chr1:179753222-179753234(-) AGAAGGACGTTA >mm10_chr1:179804056-179804067(+)::chr1:179804055-179804067(+) TCAAGGAAACGC >mm10_chr1:179842767-179842778(-)::chr1:179842766-179842778(-) AGACGGAAGTAC >mm10_chr1:179847918-179847929(-)::chr1:179847917-179847929(-) ATGGGGAAGTGA >mm10_chr1:179851900-179851911(+)::chr1:179851899-179851911(+) aaaaggaagagg >mm10_chr1:179851912-179851923(+)::chr1:179851911-179851923(+) aaaaggaagaAA >mm10_chr1:179851938-179851949(+)::chr1:179851937-179851949(+) AGTAGGAAGGAG >mm10_chr1:179854587-179854598(+)::chr1:179854586-179854598(+) GCTAGGAAGTAA >mm10_chr1:179866544-179866555(-)::chr1:179866543-179866555(-) ACAAGGAAATGC >mm10_chr1:179866558-179866569(-)::chr1:179866557-179866569(-) AACAGGAAATCC >mm10_chr1:179919073-179919084(-)::chr1:179919072-179919084(-) ACAAGGAAGTTC >mm10_chr1:179919087-179919098(+)::chr1:179919086-179919098(+) ACAAGGAAGTTT >mm10_chr1:179960399-179960410(+)::chr1:179960398-179960410(+) GGGAGGAAGATG >mm10_chr1:179970920-179970931(-)::chr1:179970919-179970931(-) aagaggaagcac >mm10_chr1:179970926-179970937(-)::chr1:179970925-179970937(-) agtaggaagagg >mm10_chr1:180024683-180024694(-)::chr1:180024682-180024694(-) ACCAGGAAGCTG >mm10_chr1:180108845-180108856(+)::chr1:180108844-180108856(+) AGCAGGAAGGGA >mm10_chr1:180108871-180108882(+)::chr1:180108870-180108882(+) AGAAGGAAGAGG >mm10_chr1:180170919-180170930(-)::chr1:180170918-180170930(-) aggaggaagagg >mm10_chr1:180170931-180170942(-)::chr1:180170930-180170942(-) aggaggaagaag >mm10_chr1:180170943-180170954(-)::chr1:180170942-180170954(-) aagaggaagagg >mm10_chr1:180170949-180170960(-)::chr1:180170948-180170960(-) aggaggaagagg >mm10_chr1:180176673-180176684(+)::chr1:180176672-180176684(+) CCAAGGAAGGGA >mm10_chr1:180176678-180176689(+)::chr1:180176677-180176689(+) GAAGGGAAGTGA >mm10_chr1:180187906-180187917(+)::chr1:180187905-180187917(+) AGAAGGAAAAGG >mm10_chr1:180187912-180187923(+)::chr1:180187911-180187923(+) AAAAGGAAATGG >mm10_chr1:180187939-180187950(-)::chr1:180187938-180187950(-) GACAGGAAGAGC >mm10_chr1:180189116-180189127(-)::chr1:180189115-180189127(-) GGGAGGAAGGGT >mm10_chr1:180191550-180191561(+)::chr1:180191549-180191561(+) ACAGGGAAGCGG >mm10_chr1:180191568-180191579(+)::chr1:180191567-180191579(+) CGGAGGAAGCAG >mm10_chr1:180195558-180195569(+)::chr1:180195557-180195569(+) ACAAGGAAGGGA >mm10_chr1:180256348-180256359(-)::chr1:180256347-180256359(-) GCCAGGAAGTGG >mm10_chr1:180266705-180266716(-)::chr1:180266704-180266716(-) TCAATGAAGTAT >mm10_chr1:180266742-180266753(-)::chr1:180266741-180266753(-) aagaggaagagg >mm10_chr1:180266748-180266759(-)::chr1:180266747-180266759(-) agaaggaagagg >mm10_chr1:180277691-180277702(-)::chr1:180277690-180277702(-) TGCAGGAAGGGC >mm10_chr1:180277711-180277722(+)::chr1:180277710-180277722(+) AACAGGATGTAG >mm10_chr1:180286449-180286460(+)::chr1:180286448-180286460(+) ACCAGGAAGTTT >mm10_chr1:180286493-180286504(+)::chr1:180286492-180286504(+) AGAAGGAAGCAG >mm10_chr1:180289939-180289950(+)::chr1:180289938-180289950(+) TGAAGGAAGCAA >mm10_chr1:180296272-180296283(+)::chr1:180296271-180296283(+) acacggaagaca >mm10_chr1:180312298-180312309(-)::chr1:180312297-180312309(-) AGGAGGAAGAGA >mm10_chr1:180318618-180318629(-)::chr1:180318617-180318629(-) AAGAGGAAGTTC >mm10_chr1:180318624-180318635(-)::chr1:180318623-180318635(-) GGCAGGAAGAGG >mm10_chr1:180330396-180330407(-)::chr1:180330395-180330407(-) GGAAGGAAGTTG >mm10_chr1:180330400-180330411(-)::chr1:180330399-180330411(-) AGCAGGAAGGAA >mm10_chr1:180344691-180344702(-)::chr1:180344690-180344702(-) ACTAGGAAGTGG >mm10_chr1:180387463-180387474(+)::chr1:180387462-180387474(+) GGAAGGAAGACT >mm10_chr1:180392965-180392976(-)::chr1:180392964-180392976(-) GGAAGGAAGGAG >mm10_chr1:180392969-180392980(-)::chr1:180392968-180392980(-) AGGAGGAAGGAA >mm10_chr1:180396978-180396989(-)::chr1:180396977-180396989(-) ATAAGGAAGATC >mm10_chr1:180397006-180397017(+)::chr1:180397005-180397017(+) TAAAGGAAGTCC >mm10_chr1:180405765-180405776(+)::chr1:180405764-180405776(+) ATGAGGAAGTGT >mm10_chr1:180405805-180405816(-)::chr1:180405804-180405816(-) ACCAGGAAGAGC >mm10_chr1:180463174-180463185(-)::chr1:180463173-180463185(-) AAGAGGAAGTGC >mm10_chr1:180491143-180491154(+)::chr1:180491142-180491154(+) ACCAGGAAGCTA >mm10_chr1:180528403-180528414(-)::chr1:180528402-180528414(-) GACAGGAAGAGG >mm10_chr1:180528437-180528448(+)::chr1:180528436-180528448(+) ACAGGGAAGTGC >mm10_chr1:180551951-180551962(+)::chr1:180551950-180551962(+) agcaggaaggta >mm10_chr1:180551979-180551990(+)::chr1:180551978-180551990(+) ttcaggaagttc >mm10_chr1:180571464-180571475(+)::chr1:180571463-180571475(+) TGAAGGAACTGA >mm10_chr1:180571505-180571516(-)::chr1:180571504-180571516(-) TGCAGGAAGAAG >mm10_chr1:180610061-180610072(-)::chr1:180610060-180610072(-) aagaggaagtta >mm10_chr1:180726003-180726014(+)::chr1:180726002-180726014(+) CGGCGGAAGTTC >mm10_chr1:180726043-180726054(+)::chr1:180726042-180726054(+) AGCAGGAAGTCG >mm10_chr1:180736745-180736756(+)::chr1:180736744-180736756(+) aaaaggaagaag >mm10_chr1:180736772-180736783(+)::chr1:180736771-180736783(+) aggaggaagacc >mm10_chr1:180736796-180736807(+)::chr1:180736795-180736807(+) aggaggaagaga >mm10_chr1:180736817-180736828(+)::chr1:180736816-180736828(+) tagaggaagagA >mm10_chr1:180737346-180737357(-)::chr1:180737345-180737357(-) AGAAGGAAGTTT >mm10_chr1:180757967-180757978(+)::chr1:180757966-180757978(+) AGCAGGAAGTAG >mm10_chr1:180758000-180758011(+)::chr1:180757999-180758011(+) AGCAGGAAGGTG >mm10_chr1:180780278-180780289(-)::chr1:180780277-180780289(-) ATGAGGAAGGGC >mm10_chr1:180804029-180804040(-)::chr1:180804028-180804040(-) AACAGGAAGGAC >mm10_chr1:180804049-180804060(-)::chr1:180804048-180804060(-) GGCAGGAAGAGT >mm10_chr1:180866305-180866316(-)::chr1:180866304-180866316(-) CGGAGGAAGTGT >mm10_chr1:180884242-180884253(-)::chr1:180884241-180884253(-) AGGAGGAAGGCC >mm10_chr1:180888902-180888913(-)::chr1:180888901-180888913(-) AGAAGGAAGCAG >mm10_chr1:180901477-180901488(-)::chr1:180901476-180901488(-) AGAAGGAAATAG >mm10_chr1:180901493-180901504(+)::chr1:180901492-180901504(+) AGAAGGAAATAA >mm10_chr1:180904831-180904842(+)::chr1:180904830-180904842(+) GGAGGGAAGTGG >mm10_chr1:180904845-180904856(+)::chr1:180904844-180904856(+) AAGAGGAAGAGG >mm10_chr1:180904911-180904922(+)::chr1:180904910-180904922(+) TTAGGGAAGTCA >mm10_chr1:181015692-181015703(+)::chr1:181015691-181015703(+) ACCAGGAAGTGG >mm10_chr1:181135494-181135505(-)::chr1:181135493-181135505(-) GCCAGGAAGGCA >mm10_chr1:181135503-181135514(+)::chr1:181135502-181135514(+) GGCAGGAAGTAT >mm10_chr1:181135517-181135528(-)::chr1:181135516-181135528(-) aGGAGGAAATGG >mm10_chr1:181161179-181161190(+)::chr1:181161178-181161190(+) AGGAGGAAATTA >mm10_chr1:181208110-181208121(+)::chr1:181208109-181208121(+) AAAAGGAAATGC >mm10_chr1:181208154-181208165(-)::chr1:181208153-181208165(-) AGTAGGAAGACA >mm10_chr1:181208166-181208177(-)::chr1:181208165-181208177(-) AGTAGGAAGTGA >mm10_chr1:181210648-181210659(-)::chr1:181210647-181210659(-) ATCAGGAAGGAC >mm10_chr1:181232457-181232468(+)::chr1:181232456-181232468(+) GACAGGAAGTGT >mm10_chr1:181232480-181232491(+)::chr1:181232479-181232491(+) ACTAGGAAGTGG >mm10_chr1:181256708-181256719(+)::chr1:181256707-181256719(+) GAAAGGAAGCGG >mm10_chr1:181256754-181256765(+)::chr1:181256753-181256765(+) GCCAGGAAGACG >mm10_chr1:181288098-181288109(+)::chr1:181288097-181288109(+) TGTAGGAAGTGG >mm10_chr1:181345637-181345648(-)::chr1:181345636-181345648(-) AGTAGGAAATGC >mm10_chr1:181345659-181345670(+)::chr1:181345658-181345670(+) AAAAGGAAGTAT >mm10_chr1:181383655-181383666(-)::chr1:181383654-181383666(-) ACCAGGAAGAAC >mm10_chr1:181389456-181389467(+)::chr1:181389455-181389467(+) AGAGGGAAGTGC >mm10_chr1:181399219-181399230(-)::chr1:181399218-181399230(-) acaaggaaataa >mm10_chr1:181418186-181418197(-)::chr1:181418185-181418197(-) GTAAGGAAGACA >mm10_chr1:181446706-181446717(-)::chr1:181446705-181446717(-) TTAAGGAAGAAT >mm10_chr1:181474830-181474841(-)::chr1:181474829-181474841(-) AGGAGGAAGAGG >mm10_chr1:181505486-181505497(+)::chr1:181505485-181505497(+) GAAGGGAAGTTG >mm10_chr1:181511528-181511539(+)::chr1:181511527-181511539(+) GCCAGGAAGTCA >mm10_chr1:181521903-181521914(-)::chr1:181521902-181521914(-) ACAGGGAAGTGA >mm10_chr1:181521914-181521925(-)::chr1:181521913-181521925(-) TTAAGGAAGGCA >mm10_chr1:181529579-181529590(+)::chr1:181529578-181529590(+) TCCAGGAAGTTG >mm10_chr1:181540632-181540643(+)::chr1:181540631-181540643(+) AGAAGGAAGGGC >mm10_chr1:181590544-181590555(+)::chr1:181590543-181590555(+) ACCAGGAAGAGG >mm10_chr1:181590608-181590619(+)::chr1:181590607-181590619(+) TGGAGGAAATGG >mm10_chr1:181617492-181617503(-)::chr1:181617491-181617503(-) agaaggaagctt >mm10_chr1:181716342-181716353(-)::chr1:181716341-181716353(-) GGGAGGAAGGGG >mm10_chr1:181790450-181790461(+)::chr1:181790449-181790461(+) accaggaagaat >mm10_chr1:181793756-181793767(+)::chr1:181793755-181793767(+) GCCAGGAAATGT >mm10_chr1:181806718-181806729(+)::chr1:181806717-181806729(+) AGCAGGAAGACG >mm10_chr1:181810611-181810622(-)::chr1:181810610-181810622(-) caaaggaagtca >mm10_chr1:181840222-181840233(+)::chr1:181840221-181840233(+) AAGAGGAAGGAA >mm10_chr1:181840226-181840237(+)::chr1:181840225-181840237(+) GGAAGGAAATGT >mm10_chr1:181840241-181840252(-)::chr1:181840240-181840252(-) AGAAGGAAGCCC >mm10_chr1:181840266-181840277(-)::chr1:181840265-181840277(-) GGGAGGAAGAGA >mm10_chr1:181860835-181860846(+)::chr1:181860834-181860846(+) AGCAGGAAGTGG >mm10_chr1:181872869-181872880(+)::chr1:181872868-181872880(+) agcaggaagaag >mm10_chr1:181872885-181872896(-)::chr1:181872884-181872896(-) tacaggaagtct >mm10_chr1:181881703-181881714(+)::chr1:181881702-181881714(+) AGAGGGAAGTGA >mm10_chr1:181881974-181881985(+)::chr1:181881973-181881985(+) AGCAGGAAGGAT >mm10_chr1:181902206-181902217(+)::chr1:181902205-181902217(+) AACAGGAAGCAC >mm10_chr1:181953013-181953024(+)::chr1:181953012-181953024(+) ccaaggaaggaa >mm10_chr1:181953017-181953028(+)::chr1:181953016-181953028(+) ggaaggaaggaa >mm10_chr1:181953021-181953032(+)::chr1:181953020-181953032(+) ggaaggaaggaa >mm10_chr1:181953025-181953036(+)::chr1:181953024-181953036(+) ggaaggaaggaa >mm10_chr1:181953029-181953040(+)::chr1:181953028-181953040(+) ggaaggaagaaa >mm10_chr1:181953037-181953048(+)::chr1:181953036-181953048(+) gaaaggaaggga >mm10_chr1:181953062-181953073(+)::chr1:181953061-181953073(+) agaaggaagaag >mm10_chr1:181953085-181953096(+)::chr1:181953084-181953096(+) agaaggaagaaa >mm10_chr1:182028724-182028735(+)::chr1:182028723-182028735(+) AGAAGGAAGGAA >mm10_chr1:182028728-182028739(+)::chr1:182028727-182028739(+) GGAAGGAAGGAA >mm10_chr1:182028732-182028743(+)::chr1:182028731-182028743(+) GGAAGGAAGCAG >mm10_chr1:182047369-182047380(+)::chr1:182047368-182047380(+) aaaaggaagtta >mm10_chr1:182047432-182047443(+)::chr1:182047431-182047443(+) tgaaggaagtca >mm10_chr1:182050714-182050725(+)::chr1:182050713-182050725(+) tacaggaagtac >mm10_chr1:182051384-182051395(+)::chr1:182051383-182051395(+) ACGAGGAAGACG >mm10_chr1:182051412-182051423(+)::chr1:182051411-182051423(+) TCAAGGAAGGGA >mm10_chr1:182051423-182051434(+)::chr1:182051422-182051434(+) AGCAGGAAGACC >mm10_chr1:182071811-182071822(+)::chr1:182071810-182071822(+) ACCAGGAAGTGG >mm10_chr1:182087676-182087687(-)::chr1:182087675-182087687(-) AAGAGGAAGTAG >mm10_chr1:182108999-182109010(-)::chr1:182108998-182109010(-) ACAAGGAAAAAC >mm10_chr1:182109032-182109043(+)::chr1:182109031-182109043(+) TAGAGGAAGAAA >mm10_chr1:182116070-182116081(-)::chr1:182116069-182116081(-) TCAAGGAAGACT >mm10_chr1:182116525-182116536(+)::chr1:182116524-182116536(+) ttaaggaaggaa >mm10_chr1:182116529-182116540(+)::chr1:182116528-182116540(+) ggaaggaaggaa >mm10_chr1:182116533-182116544(+)::chr1:182116532-182116544(+) ggaaggaaggaa >mm10_chr1:182116537-182116548(+)::chr1:182116536-182116548(+) ggaaggaaggaa >mm10_chr1:182152546-182152557(+)::chr1:182152545-182152557(+) acacggaagaag >mm10_chr1:182152553-182152564(+)::chr1:182152552-182152564(+) agaaggaagttc >mm10_chr1:182152591-182152602(-)::chr1:182152590-182152602(-) ggaaggaactcg >mm10_chr1:182152595-182152606(-)::chr1:182152594-182152606(-) ggaaggaaggaa >mm10_chr1:182255554-182255565(-)::chr1:182255553-182255565(-) CGAAGGAACGTC >mm10_chr1:182256115-182256126(-)::chr1:182256114-182256126(-) ATTAGGAAGTTC >mm10_chr1:182256491-182256502(+)::chr1:182256490-182256502(+) ACAAGGAAATGT >mm10_chr1:182312753-182312764(+)::chr1:182312752-182312764(+) CGCAGGAAGTAC >mm10_chr1:182340451-182340462(+)::chr1:182340450-182340462(+) GGTAGGAAGTGA >mm10_chr1:182340465-182340476(-)::chr1:182340464-182340476(-) TTCAGGAAGATA >mm10_chr1:182341603-182341614(-)::chr1:182341602-182341614(-) TGGAGGAAGGAA >mm10_chr1:182341631-182341642(-)::chr1:182341630-182341642(-) CACAGGAAGTGT >mm10_chr1:182341645-182341656(-)::chr1:182341644-182341656(-) AGGAGGAAGTGA >mm10_chr1:182358473-182358484(-)::chr1:182358472-182358484(-) AAAAGGAACATA >mm10_chr1:182369049-182369060(+)::chr1:182369048-182369060(+) GAGAGGAAGTGC >mm10_chr1:182369599-182369610(-)::chr1:182369598-182369610(-) AGAAGGAAGTAG >mm10_chr1:182408990-182409001(-)::chr1:182408989-182409001(-) GGGAGGAAGATG >mm10_chr1:182432439-182432450(+)::chr1:182432438-182432450(+) ggaaggaagtca >mm10_chr1:182461543-182461554(-)::chr1:182461542-182461554(-) AGCAGGAAGTGA >mm10_chr1:182461593-182461604(-)::chr1:182461592-182461604(-) GCAAGGAAGCTG >mm10_chr1:182461606-182461617(-)::chr1:182461605-182461617(-) TACAGGAAGAGG >mm10_chr1:182475194-182475205(-)::chr1:182475193-182475205(-) TGCAGGAAGAGC >mm10_chr1:182506795-182506806(+)::chr1:182506794-182506806(+) GAAAGGAAGCTG >mm10_chr1:182511994-182512005(-)::chr1:182511993-182512005(-) TGAAGGAAGGGG >mm10_chr1:182512049-182512060(-)::chr1:182512048-182512060(-) AAACGGAAGGTC >mm10_chr1:182527080-182527091(+)::chr1:182527079-182527091(+) ACAAGGAAGAGA >mm10_chr1:182562805-182562816(+)::chr1:182562804-182562816(+) AAGAGGAAGGGC >mm10_chr1:182657690-182657701(-)::chr1:182657689-182657701(-) aacagGAAGTCT >mm10_chr1:182657701-182657712(+)::chr1:182657700-182657712(+) tccaggaagtac >mm10_chr1:182686536-182686547(+)::chr1:182686535-182686547(+) GGGAGGAAGTTC >mm10_chr1:182739768-182739779(+)::chr1:182739767-182739779(+) atccggaagacg >mm10_chr1:182764367-182764378(+)::chr1:182764366-182764378(+) GGAAGGAAGCTG >mm10_chr1:182789153-182789164(+)::chr1:182789152-182789164(+) TCAAGGAAGAAG >mm10_chr1:182870295-182870306(-)::chr1:182870294-182870306(-) TGGAGGAAGGGA >mm10_chr1:182870315-182870326(-)::chr1:182870314-182870326(-) gggaggaagtgg >mm10_chr1:182870339-182870350(-)::chr1:182870338-182870350(-) tggaggaagagg >mm10_chr1:182881305-182881316(+)::chr1:182881304-182881316(+) CACAGGAAGTCC >mm10_chr1:182881375-182881386(-)::chr1:182881374-182881386(-) TTGAGGAAGCGG >mm10_chr1:182909153-182909164(+)::chr1:182909152-182909164(+) ACCAGGAAGTCA >mm10_chr1:182914762-182914773(+)::chr1:182914761-182914773(+) ACAAGGAAGAGG >mm10_chr1:182917230-182917241(+)::chr1:182917229-182917241(+) tccaggaagtcc >mm10_chr1:182917248-182917259(-)::chr1:182917247-182917259(-) ggcaggaagtga >mm10_chr1:182923034-182923045(-)::chr1:182923033-182923045(-) ATGAGGAAGCAG >mm10_chr1:182929713-182929724(+)::chr1:182929712-182929724(+) aagaggaagaga >mm10_chr1:182929735-182929746(+)::chr1:182929734-182929746(+) aggaggaagaag >mm10_chr1:182935678-182935689(+)::chr1:182935677-182935689(+) ATGAGGAAGGAG >mm10_chr1:182936250-182936261(-)::chr1:182936249-182936261(-) TCAAGGAAGTAC >mm10_chr1:182944659-182944670(+)::chr1:182944658-182944670(+) GCCAGGAAGAAC >mm10_chr1:182944669-182944680(+)::chr1:182944668-182944680(+) ACAAGGTAGTGC >mm10_chr1:182944681-182944692(+)::chr1:182944680-182944692(+) TCCAGGAAGAAA >mm10_chr1:182953834-182953845(+)::chr1:182953833-182953845(+) AAAGGGAAGTGA >mm10_chr1:182955244-182955255(-)::chr1:182955243-182955255(-) CCAAGGAAGACA >mm10_chr1:182955257-182955268(+)::chr1:182955256-182955268(+) AAGAGGAAGTCG >mm10_chr1:182961891-182961902(+)::chr1:182961890-182961902(+) CACAGGAAGTCA >mm10_chr1:182961904-182961915(+)::chr1:182961903-182961915(+) ACAGGGAAGTAA >mm10_chr1:183039362-183039373(-)::chr1:183039361-183039373(-) gcaaggaagcaa >mm10_chr1:183039406-183039417(-)::chr1:183039405-183039417(-) ggaaggaagggc >mm10_chr1:183047786-183047797(+)::chr1:183047785-183047797(+) aacaggaagggt >mm10_chr1:183048259-183048270(-)::chr1:183048258-183048270(-) TCCAGGAAATAA >mm10_chr1:183055687-183055698(+)::chr1:183055686-183055698(+) ACCAGGAAGGAC >mm10_chr1:183209418-183209429(-)::chr1:183209417-183209429(-) TTAAGGAAGAGG >mm10_chr1:183209429-183209440(+)::chr1:183209428-183209440(+) ATAAGGAAGTCG >mm10_chr1:183254102-183254113(-)::chr1:183254101-183254113(-) accaggaaatgg >mm10_chr1:183266317-183266328(+)::chr1:183266316-183266328(+) tagaggaagtgg >mm10_chr1:183266346-183266357(-)::chr1:183266345-183266357(-) aacaggaagctg >mm10_chr1:183266362-183266373(-)::chr1:183266361-183266373(-) agcaggaagtag >mm10_chr1:183266374-183266385(-)::chr1:183266373-183266385(-) acaagcaagtga >mm10_chr1:183296928-183296939(-)::chr1:183296927-183296939(-) AGCAGGAAGAGT >mm10_chr1:183296977-183296988(+)::chr1:183296976-183296988(+) ACAAGGAAGGCG >mm10_chr1:183344803-183344814(+)::chr1:183344802-183344814(+) AGGAGGAAGTGC >mm10_chr1:183345358-183345369(-)::chr1:183345357-183345369(-) AGGCGGAAGCGG >mm10_chr1:183369845-183369856(-)::chr1:183369844-183369856(-) AGGAGGAAGACG >mm10_chr1:183388802-183388813(+)::chr1:183388801-183388813(+) AGGCGGAAGTCA >mm10_chr1:183388818-183388829(-)::chr1:183388817-183388829(-) GCCCGGAAGTGG >mm10_chr1:183410124-183410135(-)::chr1:183410123-183410135(-) ATGAGGAAATGT >mm10_chr1:183416510-183416521(-)::chr1:183416509-183416521(-) ACCAGGAAGCAC >mm10_chr1:183418354-183418365(+)::chr1:183418353-183418365(+) AGAAGGAACTTC >mm10_chr1:183442117-183442128(-)::chr1:183442116-183442128(-) ACAAGGAAGCTG >mm10_chr1:183560911-183560922(+)::chr1:183560910-183560922(+) gcaaggcagtat >mm10_chr1:183637148-183637159(-)::chr1:183637147-183637159(-) GAAAGGAAGCTG >mm10_chr1:183637168-183637179(-)::chr1:183637167-183637179(-) ATGAGGAAATAC >mm10_chr1:183947054-183947065(-)::chr1:183947053-183947065(-) AGGAGGAAATGG >mm10_chr1:183947105-183947116(-)::chr1:183947104-183947116(-) GACAGGAAGAAA >mm10_chr1:183962648-183962659(-)::chr1:183962647-183962659(-) TCTAGGAAGTTC >mm10_chr1:184016491-184016502(-)::chr1:184016490-184016502(-) agcaggaagttc >mm10_chr1:184100219-184100230(-)::chr1:184100218-184100230(-) ATCAGGAAGTGA >mm10_chr1:184441379-184441390(+)::chr1:184441378-184441390(+) AGCAGGAAGTGA >mm10_chr1:184478166-184478177(+)::chr1:184478165-184478177(+) ATGAGGAAGTGT >mm10_chr1:184491304-184491315(-)::chr1:184491303-184491315(-) AGAGGGAAGTGT >mm10_chr1:184783816-184783827(+)::chr1:184783815-184783827(+) ATGAGGAAGTTT >mm10_chr1:184787875-184787886(+)::chr1:184787874-184787886(+) GACAGGAAGAAC >mm10_chr1:184836140-184836151(+)::chr1:184836139-184836151(+) GACAGGAAGACA >mm10_chr1:184836157-184836168(+)::chr1:184836156-184836168(+) CCAAGGAAGAAG >mm10_chr1:184836164-184836175(+)::chr1:184836163-184836175(+) AGAAGGAAGCTG >mm10_chr1:184837946-184837957(-)::chr1:184837945-184837957(-) GAAAGGAAGCCG >mm10_chr1:184853481-184853492(+)::chr1:184853480-184853492(+) GGGAGGAAGTGT >mm10_chr1:184853507-184853518(+)::chr1:184853506-184853518(+) AGGAGGACGTGA >mm10_chr1:184853516-184853527(+)::chr1:184853515-184853527(+) TGAAGGAAATGC >mm10_chr1:184853528-184853539(-)::chr1:184853527-184853539(-) GGAAGGAAGGCA >mm10_chr1:184853532-184853543(-)::chr1:184853531-184853543(-) GCCAGGAAGGAA >mm10_chr1:184854263-184854274(+)::chr1:184854262-184854274(+) AGAGGGAAGAAA >mm10_chr1:184858296-184858307(+)::chr1:184858295-184858307(+) tccaggaaggac >mm10_chr1:184860572-184860583(-)::chr1:184860571-184860583(-) GGGAGGAAGAGA >mm10_chr1:184870448-184870459(-)::chr1:184870447-184870459(-) AAAGGGAAGTAG >mm10_chr1:184896136-184896147(-)::chr1:184896135-184896147(-) GGAAGGAAGAAT >mm10_chr1:184908699-184908710(+)::chr1:184908698-184908710(+) CACAGGAAGCGG >mm10_chr1:184935764-184935775(-)::chr1:184935763-184935775(-) agaaggaagtaa >mm10_chr1:184951857-184951868(+)::chr1:184951856-184951868(+) AGAAGGAAGACC >mm10_chr1:185020486-185020497(-)::chr1:185020485-185020497(-) AGAAGGAAATGT >mm10_chr1:185201156-185201167(+)::chr1:185201155-185201167(+) GGAAGGAAGTCA >mm10_chr1:185208583-185208594(+)::chr1:185208582-185208594(+) AGAAGGAAGCAT >mm10_chr1:185251308-185251319(+)::chr1:185251307-185251319(+) ACAAGGAAATAA >mm10_chr1:185251345-185251356(-)::chr1:185251344-185251356(-) TCACGGAAGTCA >mm10_chr1:185268856-185268867(-)::chr1:185268855-185268867(-) AACAGGAAGGAA >mm10_chr1:185349964-185349975(-)::chr1:185349963-185349975(-) AGGAGGAAATGA >mm10_chr1:185349987-185349998(-)::chr1:185349986-185349998(-) AGAAGGAAGAAG >mm10_chr1:185364373-185364384(+)::chr1:185364372-185364384(+) GTAAGGAAGAAG >mm10_chr1:185572968-185572979(+)::chr1:185572967-185572979(+) ATCAGGAAGTCC >mm10_chr1:185602925-185602936(-)::chr1:185602924-185602936(-) ACAAGGAAGCTG >mm10_chr1:185602947-185602958(-)::chr1:185602946-185602958(-) CTAAGGAAGTTC >mm10_chr1:185673284-185673295(-)::chr1:185673283-185673295(-) ACCAGGAAGTAA >mm10_chr1:185713021-185713032(-)::chr1:185713020-185713032(-) AGAAGCAAGTCA >mm10_chr1:185737538-185737549(+)::chr1:185737537-185737549(+) AGCAGTAAGTAT >mm10_chr1:185907429-185907440(-)::chr1:185907428-185907440(-) AAGAGGAAGAGG >mm10_chr1:185907443-185907454(-)::chr1:185907442-185907454(-) AGGAGGAAGATG >mm10_chr1:185907452-185907463(-)::chr1:185907451-185907463(-) ACCAGGAAGAGG >mm10_chr1:185907506-185907517(-)::chr1:185907505-185907517(-) AGAAGGAAGAAG >mm10_chr1:186111595-186111606(-)::chr1:186111594-186111606(-) ACAAGGAAGTTA >mm10_chr1:186397647-186397658(-)::chr1:186397646-186397658(-) AGCAGGAAGCAA >mm10_chr1:186397672-186397683(-)::chr1:186397671-186397683(-) AACAGGAAGCAG >mm10_chr1:186397708-186397719(-)::chr1:186397707-186397719(-) GTCAGGAAGTAG >mm10_chr1:186397726-186397737(-)::chr1:186397725-186397737(-) GACAGGAAGTAG >mm10_chr1:186429892-186429903(+)::chr1:186429891-186429903(+) aacaggaagtga >mm10_chr1:186429901-186429912(-)::chr1:186429900-186429912(-) taaaggaactca >mm10_chr1:186447877-186447888(+)::chr1:186447876-186447888(+) AGCAGGAAGATC >mm10_chr1:186449289-186449300(+)::chr1:186449288-186449300(+) ACAAGGAAGAAA >mm10_chr1:186459855-186459866(+)::chr1:186459854-186459866(+) agcaggaaggag >mm10_chr1:186478798-186478809(+)::chr1:186478797-186478809(+) AGAAGGAAACCG >mm10_chr1:186508582-186508593(-)::chr1:186508581-186508593(-) ATGAGGAAATAG >mm10_chr1:186578811-186578822(+)::chr1:186578810-186578822(+) GAAAGGAAGAAG >mm10_chr1:186606014-186606025(-)::chr1:186606013-186606025(-) ACCAGGAAGCAG >mm10_chr1:186640295-186640306(-)::chr1:186640294-186640306(-) ATAAGGAAGTGA >mm10_chr1:186641238-186641249(-)::chr1:186641237-186641249(-) AGAAGGAAGGAA >mm10_chr1:186655237-186655248(+)::chr1:186655236-186655248(+) GAAGGGAAGTTG >mm10_chr1:186665827-186665838(+)::chr1:186665826-186665838(+) aggaggaaatga >mm10_chr1:186677781-186677792(+)::chr1:186677780-186677792(+) atagggaagtag >mm10_chr1:186677848-186677859(+)::chr1:186677847-186677859(+) agaaggaagtag >mm10_chr1:186681302-186681313(-)::chr1:186681301-186681313(-) ACAAGGAAGTCG >mm10_chr1:186684194-186684205(-)::chr1:186684193-186684205(-) AACAGGAAGTGT >mm10_chr1:186713799-186713810(+)::chr1:186713798-186713810(+) GGCAGGAAGATA >mm10_chr1:186714229-186714240(+)::chr1:186714228-186714240(+) taaaggaagcct >mm10_chr1:186736244-186736255(-)::chr1:186736243-186736255(-) TTAAGGAAGCAG >mm10_chr1:186749316-186749327(+)::chr1:186749315-186749327(+) CGCCGGAAGTGC >mm10_chr1:186749360-186749371(-)::chr1:186749359-186749371(-) AGGAGGAAGTTC >mm10_chr1:186858126-186858137(+)::chr1:186858125-186858137(+) AAAAGGAAGTTC >mm10_chr1:186937385-186937396(-)::chr1:186937384-186937396(-) aacaggaaatgt >mm10_chr1:186937426-186937437(-)::chr1:186937425-186937437(-) ttgaggaagtga >mm10_chr1:187153433-187153444(+)::chr1:187153432-187153444(+) AGAAGAAAGTGA >mm10_chr1:187159085-187159096(-)::chr1:187159084-187159096(-) AACAGGAAGTGG >mm10_chr1:187159098-187159109(-)::chr1:187159097-187159109(-) AACAGGAAGTGG >mm10_chr1:187159111-187159122(-)::chr1:187159110-187159122(-) AACAGGAAGTGG >mm10_chr1:187215476-187215487(-)::chr1:187215475-187215487(-) AACCGGAAGCGA >mm10_chr1:187216861-187216872(+)::chr1:187216860-187216872(+) TAAAGGAACTTC >mm10_chr1:187216866-187216877(-)::chr1:187216865-187216877(-) GTAGGGAAGTTC >mm10_chr1:187216874-187216885(-)::chr1:187216873-187216885(-) ACCAGGATGTAG >mm10_chr1:187217601-187217612(-)::chr1:187217600-187217612(-) aggaggaaatca >mm10_chr1:187226238-187226249(-)::chr1:187226237-187226249(-) aggaggaagagg >mm10_chr1:187227953-187227964(+)::chr1:187227952-187227964(+) GCAAGGAAGTGG >mm10_chr1:187228013-187228024(+)::chr1:187228012-187228024(+) ACAAGGATGTAG >mm10_chr1:187286622-187286633(-)::chr1:187286621-187286633(-) AACAGGAAGTAG >mm10_chr1:187286635-187286646(-)::chr1:187286634-187286646(-) AACAGGAAGTAG >mm10_chr1:187286663-187286674(-)::chr1:187286662-187286674(-) AAAAGGAAGAAA >mm10_chr1:187294457-187294468(-)::chr1:187294456-187294468(-) AGAAGGAAGTTC >mm10_chr1:187330038-187330049(+)::chr1:187330037-187330049(+) aacaggaagtgc >mm10_chr1:187337627-187337638(-)::chr1:187337626-187337638(-) AGAAGGAAGAAG >mm10_chr1:187345769-187345780(+)::chr1:187345768-187345780(+) accaggaagcaa >mm10_chr1:187345773-187345784(+)::chr1:187345772-187345784(+) ggaagcaagtta >mm10_chr1:187345809-187345820(-)::chr1:187345808-187345820(-) atgcggaagtgt >mm10_chr1:187346176-187346187(-)::chr1:187346175-187346187(-) AGCAGGAAGGCC >mm10_chr1:187407740-187407751(+)::chr1:187407739-187407751(+) ACCAGGAAGTAC >mm10_chr1:187412953-187412964(+)::chr1:187412952-187412964(+) agaaggaagtgt >mm10_chr1:187612057-187612068(+)::chr1:187612056-187612068(+) AGAAGGAAAATA >mm10_chr1:187612101-187612112(-)::chr1:187612100-187612112(-) AGCAGGAAGCTG >mm10_chr1:187612324-187612335(+)::chr1:187612323-187612335(+) GGGAGGAAGGAA >mm10_chr1:187612328-187612339(+)::chr1:187612327-187612339(+) GGAAGGAAGAAG >mm10_chr1:187612335-187612346(+)::chr1:187612334-187612346(+) AGAAGGAAGGAG >mm10_chr1:187616083-187616094(+)::chr1:187616082-187616094(+) ACCAGGAAGAGG >mm10_chr1:187623678-187623689(+)::chr1:187623677-187623689(+) acaaggaagcag >mm10_chr1:187624177-187624188(-)::chr1:187624176-187624188(-) GGGAGGAAATGT >mm10_chr1:187624201-187624212(+)::chr1:187624200-187624212(+) GAGAGGAAGGAA >mm10_chr1:187736133-187736144(+)::chr1:187736132-187736144(+) GAAAGGAAGAGC >mm10_chr1:187795790-187795801(+)::chr1:187795789-187795801(+) AGGAGGAAGAAG >mm10_chr1:187899618-187899629(+)::chr1:187899617-187899629(+) AGAAGGAATATA >mm10_chr1:187905078-187905089(-)::chr1:187905077-187905089(-) GGAAGGAAATGA >mm10_chr1:187929563-187929574(+)::chr1:187929562-187929574(+) accaggaaatcc >mm10_chr1:187960930-187960941(-)::chr1:187960929-187960941(-) gagaggaagggg >mm10_chr1:187960954-187960965(-)::chr1:187960953-187960965(-) aaaaggaagagg >mm10_chr1:188347912-188347923(+)::chr1:188347911-188347923(+) aacaggaagtaa >mm10_chr1:188347932-188347943(+)::chr1:188347931-188347943(+) aacaggaagtag >mm10_chr1:188596780-188596791(+)::chr1:188596779-188596791(+) TGCAGGAAATTA >mm10_chr1:188705248-188705259(+)::chr1:188705247-188705259(+) ATAAGGAAGAAA >mm10_chr1:188705282-188705293(+)::chr1:188705281-188705293(+) AGGAGGAAGGCC >mm10_chr1:188895831-188895842(+)::chr1:188895830-188895842(+) tgcaggaagaac >mm10_chr1:188895858-188895869(+)::chr1:188895857-188895869(+) caaaggaagtga >mm10_chr1:188970062-188970073(-)::chr1:188970061-188970073(-) GACAGGAAGTTC >mm10_chr1:189041416-189041427(+)::chr1:189041415-189041427(+) AAGAGGAAGTCG >mm10_chr1:189054179-189054190(-)::chr1:189054178-189054190(-) AACAGGAAGAAA >mm10_chr1:189054386-189054397(-)::chr1:189054385-189054397(-) aacaggaagctg >mm10_chr1:189070410-189070421(-)::chr1:189070409-189070421(-) ACCAGGAAGTGA >mm10_chr1:189210808-189210819(-)::chr1:189210807-189210819(-) TACAGGAAGATG >mm10_chr1:189210867-189210878(+)::chr1:189210866-189210878(+) ATGAGGAAGAGA >mm10_chr1:189246610-189246621(-)::chr1:189246609-189246621(-) aaaaggaAGCCA >mm10_chr1:189246623-189246634(-)::chr1:189246622-189246634(-) agaaggaaagag >mm10_chr1:189246635-189246646(-)::chr1:189246634-189246646(-) aagaggaagaga >mm10_chr1:189246641-189246652(-)::chr1:189246640-189246652(-) aggaggaagagg >mm10_chr1:189267255-189267266(+)::chr1:189267254-189267266(+) acaaggaagaga >mm10_chr1:189310438-189310449(+)::chr1:189310437-189310449(+) AAGAGGAAGTAG >mm10_chr1:189310451-189310462(+)::chr1:189310450-189310462(+) AGAAGGAAACAG >mm10_chr1:189648693-189648704(-)::chr1:189648692-189648704(-) AGCAGGAAGTCG >mm10_chr1:189701310-189701321(-)::chr1:189701309-189701321(-) aggaggaagggg >mm10_chr1:189701320-189701331(-)::chr1:189701319-189701331(-) GACaggaagaag >mm10_chr1:189705451-189705462(-)::chr1:189705450-189705462(-) GGAATGAAGTCA >mm10_chr1:189707269-189707280(+)::chr1:189707268-189707280(+) ACAGGGAAGTTG >mm10_chr1:189708679-189708690(-)::chr1:189708678-189708690(-) TGAAGGAAGGGA >mm10_chr1:189708705-189708716(+)::chr1:189708704-189708716(+) ATTAGGAAGAAA >mm10_chr1:189708719-189708730(-)::chr1:189708718-189708730(-) ACCAGGAAGTAC >mm10_chr1:189708750-189708761(+)::chr1:189708749-189708761(+) TAAAGGAAATTG >mm10_chr1:189867676-189867687(-)::chr1:189867675-189867687(-) GGAAGGAAATGA >mm10_chr1:189880217-189880228(+)::chr1:189880216-189880228(+) GTCAGGAAGACA >mm10_chr1:189887549-189887560(+)::chr1:189887548-189887560(+) CCCAGGAAGTAC >mm10_chr1:189911573-189911584(+)::chr1:189911572-189911584(+) AGCAGGAAATGG >mm10_chr1:189911612-189911623(+)::chr1:189911611-189911623(+) TTAAGGAAGAAA >mm10_chr1:189934404-189934415(+)::chr1:189934403-189934415(+) CCAAGGAAATGG >mm10_chr1:189934426-189934437(+)::chr1:189934425-189934437(+) GTAAGGAAATCT >mm10_chr1:189982660-189982671(+)::chr1:189982659-189982671(+) gggaggaagagg >mm10_chr1:189982666-189982677(+)::chr1:189982665-189982677(+) aagaggaagaag >mm10_chr1:190009643-190009654(+)::chr1:190009642-190009654(+) ataaggaaggta >mm10_chr1:190009684-190009695(-)::chr1:190009683-190009695(-) aggaggaagttt >mm10_chr1:190034558-190034569(-)::chr1:190034557-190034569(-) TCCAGGAAGAAC >mm10_chr1:190038222-190038233(+)::chr1:190038221-190038233(+) AGCAGGAAGTAT >mm10_chr1:190038248-190038259(+)::chr1:190038247-190038259(+) AACAGGAAGGTT >mm10_chr1:190075685-190075696(-)::chr1:190075684-190075696(-) accaggaagact >mm10_chr1:190080572-190080583(-)::chr1:190080571-190080583(-) AAGAGGAAGGAG >mm10_chr1:190170730-190170741(+)::chr1:190170729-190170741(+) AACAGGAAGACT >mm10_chr1:190177102-190177113(+)::chr1:190177101-190177113(+) AGCAGGAAGGGG >mm10_chr1:190205902-190205913(+)::chr1:190205901-190205913(+) ACCAGGAAGCAG >mm10_chr1:190214712-190214723(-)::chr1:190214711-190214723(-) AACAGGAAGTTG >mm10_chr1:190214735-190214746(+)::chr1:190214734-190214746(+) AAGAGGAAATGA >mm10_chr1:190389417-190389428(-)::chr1:190389416-190389428(-) ACCAGGAAGTGG >mm10_chr1:190416893-190416904(-)::chr1:190416892-190416904(-) AGAAGGAAGTCG >mm10_chr1:190506304-190506315(+)::chr1:190506303-190506315(+) TCAAGGAAGATA >mm10_chr1:190525872-190525883(-)::chr1:190525871-190525883(-) GGAAGGAGGTGA >mm10_chr1:190525876-190525887(-)::chr1:190525875-190525887(-) ACCAGGAAGGAG >mm10_chr1:190542091-190542102(-)::chr1:190542090-190542102(-) aacaggaagtgg >mm10_chr1:190542105-190542116(-)::chr1:190542104-190542116(-) agcaggaagcag >mm10_chr1:190542112-190542123(-)::chr1:190542111-190542123(-) agcaggaagcag >mm10_chr1:190542126-190542137(-)::chr1:190542125-190542137(-) agcaggaagtgg >mm10_chr1:190542133-190542144(-)::chr1:190542132-190542144(-) aacaggaagcag >mm10_chr1:190741547-190741558(+)::chr1:190741546-190741558(+) TGGAGGAAGTGC >mm10_chr1:190812715-190812726(+)::chr1:190812714-190812726(+) ATAAGGAAGTGA >mm10_chr1:190831886-190831897(+)::chr1:190831885-190831897(+) AAACGGAAGACA >mm10_chr1:190831895-190831906(-)::chr1:190831894-190831906(-) AGGAGGAAATGT >mm10_chr1:190873600-190873611(+)::chr1:190873599-190873611(+) agtaggaagaag >mm10_chr1:190910498-190910509(-)::chr1:190910497-190910509(-) tcaaggaagttt >mm10_chr1:190910512-190910523(-)::chr1:190910511-190910523(-) atgaggaagcag >mm10_chr1:190928472-190928483(+)::chr1:190928471-190928483(+) ACCAGGAAATGG >mm10_chr1:190928525-190928536(+)::chr1:190928524-190928536(+) TAAAGGAACTGC >mm10_chr1:191023308-191023319(+)::chr1:191023307-191023319(+) AACAggaagagt >mm10_chr1:191026475-191026486(-)::chr1:191026474-191026486(-) GGACGGAAATGC >mm10_chr1:191029550-191029561(-)::chr1:191029549-191029561(-) GTAAGGAAATCG >mm10_chr1:191132935-191132946(+)::chr1:191132934-191132946(+) GAAAGGAAGGAC >mm10_chr1:191132979-191132990(+)::chr1:191132978-191132990(+) GACAGGAAGTTC >mm10_chr1:191180512-191180523(-)::chr1:191180511-191180523(-) GGAAGGAAGTGG >mm10_chr1:191194261-191194272(-)::chr1:191194260-191194272(-) ACACGGAAGCGC >mm10_chr1:191194282-191194293(-)::chr1:191194281-191194293(-) AGAAGGAAGAGG >mm10_chr1:191194299-191194310(-)::chr1:191194298-191194310(-) AGGAGGAAATCC >mm10_chr1:191236898-191236909(+)::chr1:191236897-191236909(+) tgaaggaaatag >mm10_chr1:191236926-191236937(-)::chr1:191236925-191236937(-) agcaggaaggag >mm10_chr1:191240158-191240169(-)::chr1:191240157-191240169(-) aggaggaaggCC >mm10_chr1:191240165-191240176(-)::chr1:191240164-191240176(-) gggaggaaggag >mm10_chr1:191250108-191250119(+)::chr1:191250107-191250119(+) GAGAGGAAGTGA >mm10_chr1:191250178-191250189(+)::chr1:191250177-191250189(+) ACAAGGAAACAA >mm10_chr1:191256710-191256721(-)::chr1:191256709-191256721(-) GGAAGGATGTGG >mm10_chr1:191256714-191256725(-)::chr1:191256713-191256725(-) ACCAGGAAGGAT >mm10_chr1:191256772-191256783(-)::chr1:191256771-191256783(-) TGCAGGAAATGT >mm10_chr1:191256931-191256942(-)::chr1:191256930-191256942(-) ACCAGGAAGAAT >mm10_chr1:191259321-191259332(+)::chr1:191259320-191259332(+) agcaggaagctg >mm10_chr1:191259356-191259367(+)::chr1:191259355-191259367(+) agcaggaagagg >mm10_chr1:191267969-191267980(-)::chr1:191267968-191267980(-) ACGAGGAAGCAG >mm10_chr1:191277849-191277860(+)::chr1:191277848-191277860(+) ACGCGGAAGTTG >mm10_chr1:191295441-191295452(+)::chr1:191295440-191295452(+) AGGAGGAAGGAG >mm10_chr1:191295456-191295467(+)::chr1:191295455-191295467(+) GCCAGGAAATGA >mm10_chr1:191295465-191295476(-)::chr1:191295464-191295476(-) AGCAGGAAGTCA >mm10_chr1:191312821-191312832(+)::chr1:191312820-191312832(+) GAGAGGAAGTAG >mm10_chr1:191333137-191333148(-)::chr1:191333136-191333148(-) agaaggaattcc >mm10_chr1:191333197-191333208(-)::chr1:191333196-191333208(-) gcaaggaaatga >mm10_chr1:191402903-191402914(-)::chr1:191402902-191402914(-) TCAAGGAAGAGG >mm10_chr1:191432487-191432498(-)::chr1:191432486-191432498(-) TGAAGGAAGAGC >mm10_chr1:191437152-191437163(-)::chr1:191437151-191437163(-) gagaggaagagg >mm10_chr1:191437194-191437205(-)::chr1:191437193-191437205(-) aggaggaagaga >mm10_chr1:191437206-191437217(-)::chr1:191437205-191437217(-) aggaggaaggag >mm10_chr1:191457273-191457284(+)::chr1:191457272-191457284(+) AGAAGGATGTAA >mm10_chr1:191470919-191470930(-)::chr1:191470918-191470930(-) GGAAGGAAGAAA >mm10_chr1:191470923-191470934(-)::chr1:191470922-191470934(-) GCCAGGAAGGAA >mm10_chr1:191533627-191533638(+)::chr1:191533626-191533638(+) ATAGGGAAGCGA >mm10_chr1:191563860-191563871(-)::chr1:191563859-191563871(-) AAAAGGAAGCTG >mm10_chr1:191585472-191585483(+)::chr1:191585471-191585483(+) ATGAGGAAGTCA >mm10_chr1:191585482-191585493(-)::chr1:191585481-191585493(-) ACAGGGAAGTTG >mm10_chr1:191592487-191592498(+)::chr1:191592486-191592498(+) gacaGGAAGTTA >mm10_chr1:191592507-191592518(+)::chr1:191592506-191592518(+) ACAAGGAAAACA >mm10_chr1:191599411-191599422(+)::chr1:191599410-191599422(+) TCCAGGAAGTGT >mm10_chr1:191599430-191599441(-)::chr1:191599429-191599441(-) AACAGGAAGTGT >mm10_chr1:191646203-191646214(+)::chr1:191646202-191646214(+) ACAAGGAAATAA >mm10_chr1:191692728-191692739(+)::chr1:191692727-191692739(+) AAGAGGAAATGT >mm10_chr1:191718836-191718847(-)::chr1:191718835-191718847(-) aggaggaagatg >mm10_chr1:191768959-191768970(-)::chr1:191768958-191768970(-) aagagGAAGCAA >mm10_chr1:191769628-191769639(-)::chr1:191769627-191769639(-) AGAGGGAAGTTG >mm10_chr1:191769657-191769668(-)::chr1:191769656-191769668(-) GTCAGGAAGATG >mm10_chr1:191780401-191780412(-)::chr1:191780400-191780412(-) ATGAGGAAATGG >mm10_chr1:191793941-191793952(+)::chr1:191793940-191793952(+) GGAAGGAAGGAG >mm10_chr1:191793974-191793985(-)::chr1:191793973-191793985(-) AACAGGAAATGG >mm10_chr1:191793987-191793998(-)::chr1:191793986-191793998(-) ACAAGGAAAGGA >mm10_chr1:191802445-191802456(+)::chr1:191802444-191802456(+) GGAAGGAAGAAA >mm10_chr1:191803260-191803271(+)::chr1:191803259-191803271(+) GGAAGGAGGTGA >mm10_chr1:191812658-191812669(+)::chr1:191812657-191812669(+) ATCAGGAAATGG >mm10_chr1:191818168-191818179(+)::chr1:191818167-191818179(+) CCCAGGAAGTGC >mm10_chr1:191850968-191850979(+)::chr1:191850967-191850979(+) accaggaagtag >mm10_chr1:191880257-191880268(-)::chr1:191880256-191880268(-) GAAGGGAAGTTG >mm10_chr1:191880262-191880273(-)::chr1:191880261-191880273(-) ACCAGGAAGGGA >mm10_chr1:191933060-191933071(+)::chr1:191933059-191933071(+) AGCAGGAAGCTA >mm10_chr1:191964298-191964309(-)::chr1:191964297-191964309(-) agaaggaaggga >mm10_chr1:191983807-191983818(-)::chr1:191983806-191983818(-) AGCAGGAAGAAC >mm10_chr1:191989952-191989963(+)::chr1:191989951-191989963(+) TAAAGGAAGACG >mm10_chr1:191989959-191989970(+)::chr1:191989958-191989970(+) AGACGGAAGTGG >mm10_chr1:191990140-191990151(+)::chr1:191990139-191990151(+) AACCGGAAGTGG >mm10_chr1:192415882-192415893(-)::chr1:192415881-192415893(-) TGCAGGAAGTGT >mm10_chr1:192532430-192532441(+)::chr1:192532429-192532441(+) AAAAGGAAAACG >mm10_chr1:192532450-192532461(+)::chr1:192532449-192532461(+) GACAGGAAGTCA >mm10_chr1:192688043-192688054(+)::chr1:192688042-192688054(+) ACCAGGAAGCAA >mm10_chr1:192688074-192688085(-)::chr1:192688073-192688085(-) GGAAGGAAATGC >mm10_chr1:192727267-192727278(+)::chr1:192727266-192727278(+) AGGAGGAAGACT >mm10_chr1:192727286-192727297(-)::chr1:192727285-192727297(-) TGGAGGAAGTTA >mm10_chr1:192770612-192770623(-)::chr1:192770611-192770623(-) TGCAGGAAGGGC >mm10_chr1:192779049-192779060(+)::chr1:192779048-192779060(+) ATGAGGAAATGC >mm10_chr1:192796652-192796663(-)::chr1:192796651-192796663(-) AGCAGGAAGTCC >mm10_chr1:192822726-192822737(+)::chr1:192822725-192822737(+) GGAAGGAAACGA >mm10_chr1:192823045-192823056(+)::chr1:192823044-192823056(+) GGAAGGAAGCCA >mm10_chr1:192823071-192823082(-)::chr1:192823070-192823082(-) GAAAGGAAGAAA >mm10_chr1:192856538-192856549(+)::chr1:192856537-192856549(+) AGAAGGAAGAGG >mm10_chr1:192897173-192897184(-)::chr1:192897172-192897184(-) GGAAGGAAGCTT >mm10_chr1:192897430-192897441(-)::chr1:192897429-192897441(-) AAAAGGAAGGAG >mm10_chr1:192943797-192943808(-)::chr1:192943796-192943808(-) tcacggaagtca >mm10_chr1:192943842-192943853(-)::chr1:192943841-192943853(-) ggaaggaagggg >mm10_chr1:192992252-192992263(-)::chr1:192992251-192992263(-) ACAAGGAAGTGA >mm10_chr1:193128378-193128389(-)::chr1:193128377-193128389(-) aagaggaagaTG >mm10_chr1:193128384-193128395(-)::chr1:193128383-193128395(-) aagaggaagagg >mm10_chr1:193128390-193128401(-)::chr1:193128389-193128401(-) aggaggaagagg >mm10_chr1:193160334-193160345(-)::chr1:193160333-193160345(-) GAGAGGAAGGAG >mm10_chr1:193183668-193183679(+)::chr1:193183667-193183679(+) AAGGGGAAGTAC >mm10_chr1:193183703-193183714(-)::chr1:193183702-193183714(-) AGAAGGAAAAGT >mm10_chr1:193191973-193191984(-)::chr1:193191972-193191984(-) TCCAGGAAGTTT >mm10_chr1:193191993-193192004(-)::chr1:193191992-193192004(-) AACAGGAAGTTA >mm10_chr1:193197851-193197862(-)::chr1:193197850-193197862(-) ACAAGGAACGGC >mm10_chr1:193227201-193227212(+)::chr1:193227200-193227212(+) GACAGGAAGCAG >mm10_chr1:193227208-193227219(+)::chr1:193227207-193227219(+) AGCAGGAAGAAA >mm10_chr1:193231008-193231019(-)::chr1:193231007-193231019(-) ggaaggaaggCC >mm10_chr1:193231012-193231023(-)::chr1:193231011-193231023(-) ggaaggaaggaa >mm10_chr1:193231016-193231027(-)::chr1:193231015-193231027(-) ggaaggaaggaa >mm10_chr1:193257011-193257022(-)::chr1:193257010-193257022(-) ggaaggaagagc >mm10_chr1:193271206-193271217(+)::chr1:193271205-193271217(+) AACAGGAAGAAC >mm10_chr1:193271737-193271748(-)::chr1:193271736-193271748(-) aagaggaaggat >mm10_chr1:193271767-193271778(-)::chr1:193271766-193271778(-) agaaggaagagt >mm10_chr1:193274926-193274937(-)::chr1:193274925-193274937(-) ATCAGGAAGAGT >mm10_chr1:193276983-193276994(-)::chr1:193276982-193276994(-) agaaggaagggg >mm10_chr1:193276998-193277009(-)::chr1:193276997-193277009(-) aggaggaagggg >mm10_chr1:193277007-193277018(-)::chr1:193277006-193277018(-) aagaggaagagg >mm10_chr1:193277013-193277024(-)::chr1:193277012-193277024(-) aggaggaagagg >mm10_chr1:193277028-193277039(-)::chr1:193277027-193277039(-) aagaggaagagg >mm10_chr1:193277034-193277045(-)::chr1:193277033-193277045(-) aggaggaagagg >mm10_chr1:193278203-193278214(-)::chr1:193278202-193278214(-) AGAAGGAAGGCA >mm10_chr1:193280719-193280730(-)::chr1:193280718-193280730(-) AGGAGGAAGCAC >mm10_chr1:193281496-193281507(-)::chr1:193281495-193281507(-) tcagggaagtag >mm10_chr1:193281509-193281520(-)::chr1:193281508-193281520(-) aggaggaaggta >mm10_chr1:193301353-193301364(-)::chr1:193301352-193301364(-) GCCAGGAAATAG >mm10_chr1:193309956-193309967(-)::chr1:193309955-193309967(-) TGAAGGCAGTAA >mm10_chr1:193322629-193322640(+)::chr1:193322628-193322640(+) ctgaggaagttg >mm10_chr1:193335677-193335688(-)::chr1:193335676-193335688(-) TGGAGGAAGGGT >mm10_chr1:193335692-193335703(-)::chr1:193335691-193335703(-) ACAAGGAAGAGA >mm10_chr1:193510715-193510726(-)::chr1:193510714-193510726(-) AAAGGGAAGTGA >mm10_chr1:193510734-193510745(+)::chr1:193510733-193510745(+) TAGAGGAAGGGC >mm10_chr1:193572329-193572340(+)::chr1:193572328-193572340(+) CCTAGGAAGTGG >mm10_chr1:193692947-193692958(+)::chr1:193692946-193692958(+) aggaggaagaag >mm10_chr1:193692959-193692970(+)::chr1:193692958-193692970(+) tggaggaagagg >mm10_chr1:193692965-193692976(+)::chr1:193692964-193692976(+) aagaggaagagg >mm10_chr1:193801258-193801269(-)::chr1:193801257-193801269(-) tgaaggaagtca >mm10_chr1:193851851-193851862(+)::chr1:193851850-193851862(+) GAGAGGAAGTGT >mm10_chr1:193870424-193870435(+)::chr1:193870423-193870435(+) AGCAGGAAGAAG >mm10_chr1:193870431-193870442(+)::chr1:193870430-193870442(+) AGAAGGAAGACT >mm10_chr1:194157478-194157489(+)::chr1:194157477-194157489(+) AGCAGGAAGATC >mm10_chr1:194194382-194194393(-)::chr1:194194381-194194393(-) AGGAGGAAGAGA >mm10_chr1:194344366-194344377(-)::chr1:194344365-194344377(-) tgagggaagtgg >mm10_chr1:194556321-194556332(+)::chr1:194556320-194556332(+) ACCAGGAAGTGA >mm10_chr1:194556339-194556350(+)::chr1:194556338-194556350(+) GGCAGGAAGCAA >mm10_chr1:194593689-194593700(+)::chr1:194593688-194593700(+) tggaggaagtgt >mm10_chr1:194593751-194593762(-)::chr1:194593750-194593762(-) atcaggaagaga >mm10_chr1:194612734-194612745(+)::chr1:194612733-194612745(+) ACCAGGAAGAAT >mm10_chr1:194612749-194612760(+)::chr1:194612748-194612760(+) AGAAGGAAACTA >mm10_chr1:194612777-194612788(+)::chr1:194612776-194612788(+) AGAAGGAAGCCA >mm10_chr1:194620004-194620015(+)::chr1:194620003-194620015(+) TGGAGGAAATAG >mm10_chr1:194620040-194620051(+)::chr1:194620039-194620051(+) GGAAGGAAGCGC >mm10_chr1:194634238-194634249(+)::chr1:194634237-194634249(+) AGCAGGAAGTCT >mm10_chr1:194652599-194652610(+)::chr1:194652598-194652610(+) TAGAGGAAGAGT >mm10_chr1:194659231-194659242(+)::chr1:194659230-194659242(+) TATAGGAAGGTG >mm10_chr1:194879604-194879615(-)::chr1:194879603-194879615(-) AGACGGAAGTGC >mm10_chr1:194885900-194885911(+)::chr1:194885899-194885911(+) ATAGGGAAGAGG >mm10_chr1:194995379-194995390(-)::chr1:194995378-194995390(-) ATAAGGAAATGA >mm10_chr1:194995434-194995445(+)::chr1:194995433-194995445(+) GTCAGGAAGTAC >mm10_chr1:195012715-195012726(-)::chr1:195012714-195012726(-) ACCAGGAAATTG >mm10_chr1:195012777-195012788(-)::chr1:195012776-195012788(-) AAGAGGAAGGCA >mm10_chr1:195014713-195014724(-)::chr1:195014712-195014724(-) ACAAGGAAGCAG >mm10_chr1:195073644-195073655(+)::chr1:195073643-195073655(+) tgtaggaagtac >mm10_chr1:195092156-195092167(+)::chr1:195092155-195092167(+) AGAAGAAAGTGT >mm10_chr1:195131002-195131013(-)::chr1:195131001-195131013(-) GGCAGGAACGCG >mm10_chr1:195131515-195131526(+)::chr1:195131514-195131526(+) TCCAGGAAGTTT >mm10_chr1:195190350-195190361(+)::chr1:195190349-195190361(+) TGGAGGAAATGA >mm10_chr1:195217879-195217890(-)::chr1:195217878-195217890(-) accaggaagtcc >mm10_chr1:195217923-195217934(-)::chr1:195217922-195217934(-) acaaggaagctc >mm10_chr10:3477518-3477529(+)::chr10:3477517-3477529(+) ACAGGGAAGTCT >mm10_chr10:3477532-3477543(+)::chr10:3477531-3477543(+) TTAAGGAAGTAG >mm10_chr10:3516563-3516574(+)::chr10:3516562-3516574(+) gaccggaagtgc >mm10_chr10:3516585-3516596(+)::chr10:3516584-3516596(+) cacaggaagtct >mm10_chr10:3516607-3516618(+)::chr10:3516606-3516618(+) gctaggaagtgg >mm10_chr10:3516625-3516636(+)::chr10:3516624-3516636(+) agcaggaaatgc >mm10_chr10:3564680-3564691(-)::chr10:3564679-3564691(-) agAAGGAAGTCA >mm10_chr10:3564687-3564698(-)::chr10:3564686-3564698(-) ggaaggaagAAG >mm10_chr10:3564691-3564702(-)::chr10:3564690-3564702(-) aggaggaaggaa >mm10_chr10:3597882-3597893(-)::chr10:3597881-3597893(-) AAGCGGAAGTGT >mm10_chr10:3658090-3658101(+)::chr10:3658089-3658101(+) GCAAGGAAGCAG >mm10_chr10:3681138-3681149(-)::chr10:3681137-3681149(-) GGAAGGAAGAGG >mm10_chr10:3681142-3681153(-)::chr10:3681141-3681153(-) GGTAGGAAGGAA >mm10_chr10:3683901-3683912(-)::chr10:3683900-3683912(-) AGCAGGAAGGTT >mm10_chr10:3717199-3717210(+)::chr10:3717198-3717210(+) agaaggaagttt >mm10_chr10:3718473-3718484(+)::chr10:3718472-3718484(+) AGCAGGAAGGCC >mm10_chr10:3718508-3718519(-)::chr10:3718507-3718519(-) GGAAGGAAGCTG >mm10_chr10:3718512-3718523(-)::chr10:3718511-3718523(-) AAACGGAAGGAA >mm10_chr10:3740362-3740373(+)::chr10:3740361-3740373(+) AAGAGGAAGTGG >mm10_chr10:3763148-3763159(+)::chr10:3763147-3763159(+) atagggaagaag >mm10_chr10:3763158-3763169(+)::chr10:3763157-3763169(+) aggaggaagaag >mm10_chr10:3763186-3763197(+)::chr10:3763185-3763197(+) agcaggaaggag >mm10_chr10:3763233-3763244(+)::chr10:3763232-3763244(+) ggaaggaagagg >mm10_chr10:3778974-3778985(+)::chr10:3778973-3778985(+) caaaggaaatca >mm10_chr10:3779321-3779332(+)::chr10:3779320-3779332(+) ATGAGGAAGGAA >mm10_chr10:3779325-3779336(+)::chr10:3779324-3779336(+) GGAAGGAAGGCT >mm10_chr10:3804632-3804643(-)::chr10:3804631-3804643(-) agcaggaagaag >mm10_chr10:3804662-3804673(-)::chr10:3804661-3804673(-) aagaggaagaat >mm10_chr10:3804668-3804679(-)::chr10:3804667-3804679(-) aggaggaagagg >mm10_chr10:3804680-3804691(-)::chr10:3804679-3804691(-) aggaggaagggc >mm10_chr10:3816580-3816591(+)::chr10:3816579-3816591(+) AAAAGGAAGTCA >mm10_chr10:3817632-3817643(-)::chr10:3817631-3817643(-) AGAAGGAAAAGC >mm10_chr10:3832122-3832133(+)::chr10:3832121-3832133(+) TTAAGGAAGTAC >mm10_chr10:3832185-3832196(-)::chr10:3832184-3832196(-) AAGAGGAAGAAG >mm10_chr10:3832191-3832202(-)::chr10:3832190-3832202(-) GACAGGAAGAGG >mm10_chr10:3852940-3852951(+)::chr10:3852939-3852951(+) acaaggaagcag >mm10_chr10:3852971-3852982(+)::chr10:3852970-3852982(+) acaaggaagctg >mm10_chr10:3863421-3863432(+)::chr10:3863420-3863432(+) ATCAGGAAGTGA >mm10_chr10:3886181-3886192(-)::chr10:3886180-3886192(-) TCCAGGAAGTTT >mm10_chr10:3898281-3898292(+)::chr10:3898280-3898292(+) AGGAGGAAGGAC >mm10_chr10:3929399-3929410(-)::chr10:3929398-3929410(-) AAGAGGAAGAAA >mm10_chr10:3941430-3941441(+)::chr10:3941429-3941441(+) ACCAGGAAATGG >mm10_chr10:3973111-3973122(+)::chr10:3973110-3973122(+) ACGAGGAAGAGT >mm10_chr10:4041816-4041827(+)::chr10:4041815-4041827(+) ACAGGGAAGTAC >mm10_chr10:4041866-4041877(+)::chr10:4041865-4041877(+) TCCCGGAAGTAT >mm10_chr10:4042042-4042053(+)::chr10:4042041-4042053(+) GACAGGAAGGTT >mm10_chr10:4042061-4042072(-)::chr10:4042060-4042072(-) ACACGGAAGAGG >mm10_chr10:4046302-4046313(+)::chr10:4046301-4046313(+) ATCAGGAAGGAG >mm10_chr10:4046322-4046333(-)::chr10:4046321-4046333(-) GGAAGGAAGGAG >mm10_chr10:4046326-4046337(-)::chr10:4046325-4046337(-) GGAAGGAAGGAA >mm10_chr10:4046330-4046341(-)::chr10:4046329-4046341(-) TGGAGGAAGGAA >mm10_chr10:4046339-4046350(-)::chr10:4046338-4046350(-) ATGAGGAAATGG >mm10_chr10:4080051-4080062(-)::chr10:4080050-4080062(-) AAACGGAAGAGC >mm10_chr10:4080103-4080114(-)::chr10:4080102-4080114(-) GGAAGGAAGTGG >mm10_chr10:4099873-4099884(+)::chr10:4099872-4099884(+) AGAAGGAAGAAG >mm10_chr10:4099880-4099891(+)::chr10:4099879-4099891(+) AGAAGGAAGCAA >mm10_chr10:4105785-4105796(-)::chr10:4105784-4105796(-) GGGAGGAAGTCA >mm10_chr10:4107808-4107819(+)::chr10:4107807-4107819(+) ATCAGGAAGGAA >mm10_chr10:4107812-4107823(+)::chr10:4107811-4107823(+) GGAAGGAAGTGA >mm10_chr10:4107885-4107896(+)::chr10:4107884-4107896(+) TACAGGAAGCCA >mm10_chr10:4120998-4121009(+)::chr10:4120997-4121009(+) CAAAGGAAGGTT >mm10_chr10:4138806-4138817(+)::chr10:4138805-4138817(+) TACAGGAagcac >mm10_chr10:4139738-4139749(+)::chr10:4139737-4139749(+) TCAAGGAAATGG >mm10_chr10:4139785-4139796(+)::chr10:4139784-4139796(+) AACAGGAAGGGC >mm10_chr10:4162454-4162465(+)::chr10:4162453-4162465(+) AACAGGAAGCAG >mm10_chr10:4162461-4162472(+)::chr10:4162460-4162472(+) AGCAGGAAGCAA >mm10_chr10:4162490-4162501(-)::chr10:4162489-4162501(-) CTAAGGAAATAG >mm10_chr10:4174902-4174913(-)::chr10:4174901-4174913(-) aagaggaagagg >mm10_chr10:4174908-4174919(-)::chr10:4174907-4174919(-) aagaggaagagg >mm10_chr10:4174914-4174925(-)::chr10:4174913-4174925(-) aagaggaagagg >mm10_chr10:4174920-4174931(-)::chr10:4174919-4174931(-) aagaggaagagg >mm10_chr10:4174932-4174943(-)::chr10:4174931-4174943(-) GGAaggaagaga >mm10_chr10:4174936-4174947(-)::chr10:4174935-4174947(-) GCCAGGAaggaa >mm10_chr10:4182045-4182056(+)::chr10:4182044-4182056(+) GACAGGAAGGAC >mm10_chr10:4182076-4182087(+)::chr10:4182075-4182087(+) AACAGGAAGAAG >mm10_chr10:4210827-4210838(+)::chr10:4210826-4210838(+) GGAAGGAACTTC >mm10_chr10:4230695-4230706(+)::chr10:4230694-4230706(+) AGAAGGAAGAAG >mm10_chr10:4234305-4234316(-)::chr10:4234304-4234316(-) agaaggaagaag >mm10_chr10:4234312-4234323(-)::chr10:4234311-4234323(-) ggaaggaagaag >mm10_chr10:4234316-4234327(-)::chr10:4234315-4234327(-) agaaggaaggaa >mm10_chr10:4234323-4234334(-)::chr10:4234322-4234334(-) aggaggaagaag >mm10_chr10:4252169-4252180(+)::chr10:4252168-4252180(+) aggaggaagagg >mm10_chr10:4252181-4252192(+)::chr10:4252180-4252192(+) aggaggaagagg >mm10_chr10:4282584-4282595(+)::chr10:4282583-4282595(+) TCAGGGAAGTAG >mm10_chr10:4297515-4297526(+)::chr10:4297514-4297526(+) AACAGGAAGTCT >mm10_chr10:4297551-4297562(-)::chr10:4297550-4297562(-) TGAGGGAAGTGC >mm10_chr10:4566606-4566617(-)::chr10:4566605-4566617(-) agaaggaagaga >mm10_chr10:4566613-4566624(-)::chr10:4566612-4566624(-) agaaggaagaag >mm10_chr10:4597363-4597374(-)::chr10:4597362-4597374(-) aacaggaagaga >mm10_chr10:4605732-4605743(-)::chr10:4605731-4605743(-) AGAAGGAAGGCA >mm10_chr10:4605748-4605759(-)::chr10:4605747-4605759(-) CAAAGGAAGTAA >mm10_chr10:4618170-4618181(-)::chr10:4618169-4618181(-) AGAAGGAAGGAG >mm10_chr10:4621185-4621196(-)::chr10:4621184-4621196(-) AGTAGGAAGTCA >mm10_chr10:4632577-4632588(-)::chr10:4632576-4632588(-) AAGAGGAAGTAG >mm10_chr10:4632583-4632594(-)::chr10:4632582-4632594(-) AACAGGAAGAGG >mm10_chr10:4721132-4721143(+)::chr10:4721131-4721143(+) AGAAGGAAGCAG >mm10_chr10:4729615-4729626(+)::chr10:4729614-4729626(+) GCAAGGATGTTA >mm10_chr10:4741212-4741223(-)::chr10:4741211-4741223(-) TTCAGGAAGTAG >mm10_chr10:4759631-4759642(-)::chr10:4759630-4759642(-) AGAAGGAAGGAG >mm10_chr10:4759638-4759649(-)::chr10:4759637-4759649(-) AGAAGGAAGAAG >mm10_chr10:4778163-4778174(+)::chr10:4778162-4778174(+) AACAGGAAGGCA >mm10_chr10:4778210-4778221(-)::chr10:4778209-4778221(-) AGGAGGAAGTGA >mm10_chr10:4796136-4796147(+)::chr10:4796135-4796147(+) atgaggaaatta >mm10_chr10:4938983-4938994(-)::chr10:4938982-4938994(-) AAAAGCAAGTGT >mm10_chr10:4939017-4939028(-)::chr10:4939016-4939028(-) AAGAGGAAGATG >mm10_chr10:4983490-4983501(-)::chr10:4983489-4983501(-) aagAGGAAGTTT >mm10_chr10:4983501-4983512(-)::chr10:4983500-4983512(-) aagaggaagaga >mm10_chr10:4983507-4983518(-)::chr10:4983506-4983518(-) agaaggaagagg >mm10_chr10:4983519-4983530(-)::chr10:4983518-4983530(-) agaaggaagaag >mm10_chr10:4983552-4983563(-)::chr10:4983551-4983563(-) aggaggaagatg >mm10_chr10:5000297-5000308(+)::chr10:5000296-5000308(+) ACAAGGAACTAA >mm10_chr10:5000349-5000360(-)::chr10:5000348-5000360(-) GGAAGAAAGTGG >mm10_chr10:5079926-5079937(-)::chr10:5079925-5079937(-) ATGAGGAAGCCA >mm10_chr10:5176220-5176231(-)::chr10:5176219-5176231(-) AGAAGGAAGAGA >mm10_chr10:5184226-5184237(+)::chr10:5184225-5184237(+) agcaggaagtgc >mm10_chr10:5208247-5208258(+)::chr10:5208246-5208258(+) AAAGGGAAGTTT >mm10_chr10:5260726-5260737(+)::chr10:5260725-5260737(+) gggaggaagaga >mm10_chr10:5260770-5260781(+)::chr10:5260769-5260781(+) aataggaagtag >mm10_chr10:5260784-5260795(+)::chr10:5260783-5260795(+) agaaggaagagg >mm10_chr10:5260803-5260814(+)::chr10:5260802-5260814(+) aagaggaagcta >mm10_chr10:5288413-5288424(-)::chr10:5288412-5288424(-) ATTAGGAAGTCA >mm10_chr10:5587144-5587155(+)::chr10:5587143-5587155(+) aagaggaagaag >mm10_chr10:5587159-5587170(+)::chr10:5587158-5587170(+) agaaggaggtag >mm10_chr10:5609137-5609148(-)::chr10:5609136-5609148(-) aacaggaagaga >mm10_chr10:5807745-5807756(-)::chr10:5807744-5807756(-) GAAAGGAACTTG >mm10_chr10:5807769-5807780(-)::chr10:5807768-5807780(-) AGAAGGAACTAC >mm10_chr10:5807786-5807797(+)::chr10:5807785-5807797(+) TCCAGGAAGTAA >mm10_chr10:5858187-5858198(-)::chr10:5858186-5858198(-) AGAAGGAAGTTT >mm10_chr10:5858239-5858250(+)::chr10:5858238-5858250(+) TTAAGGAAGGAA >mm10_chr10:5858243-5858254(+)::chr10:5858242-5858254(+) GGAAGGAAGTGG >mm10_chr10:6915083-6915094(-)::chr10:6915082-6915094(-) ACAAGGAAGGTG >mm10_chr10:6916193-6916204(+)::chr10:6916192-6916204(+) AGGAGGAAGCTA >mm10_chr10:6916222-6916233(-)::chr10:6916221-6916233(-) AGGAGGAAGAAA >mm10_chr10:6916229-6916240(-)::chr10:6916228-6916240(-) TACAGGAAGGAG >mm10_chr10:6934233-6934244(+)::chr10:6934232-6934244(+) aagaggaagagg >mm10_chr10:6934239-6934250(+)::chr10:6934238-6934250(+) aagaggaagagg >mm10_chr10:6934245-6934256(+)::chr10:6934244-6934256(+) aagaggaagagg >mm10_chr10:6934251-6934262(+)::chr10:6934250-6934262(+) aagaggaagagg >mm10_chr10:6963790-6963801(-)::chr10:6963789-6963801(-) aagaggaagagg >mm10_chr10:6963796-6963807(-)::chr10:6963795-6963807(-) aagaggaagagg >mm10_chr10:6963802-6963813(-)::chr10:6963801-6963813(-) aagaggaagagg >mm10_chr10:6963808-6963819(-)::chr10:6963807-6963819(-) aggaggaagagg >mm10_chr10:6963832-6963843(-)::chr10:6963831-6963843(-) aggaggaagaag >mm10_chr10:6980294-6980305(+)::chr10:6980293-6980305(+) TGCAGGAAGGAA >mm10_chr10:7025005-7025016(-)::chr10:7025004-7025016(-) GGGAGGAAGTGG >mm10_chr10:7025038-7025049(-)::chr10:7025037-7025049(-) AGAAGGAAGAAG >mm10_chr10:7025045-7025056(-)::chr10:7025044-7025056(-) GGAAGGAAGAAG >mm10_chr10:7052574-7052585(-)::chr10:7052573-7052585(-) AGGAGGAAGCAA >mm10_chr10:7117839-7117850(+)::chr10:7117838-7117850(+) ACTAGGAAGTCA >mm10_chr10:7117850-7117861(+)::chr10:7117849-7117861(+) ACCAGGAAGTTC >mm10_chr10:7117904-7117915(-)::chr10:7117903-7117915(-) ggaaggaactcg >mm10_chr10:7117908-7117919(-)::chr10:7117907-7117919(-) ccaaggaaggaa >mm10_chr10:7118619-7118630(-)::chr10:7118618-7118630(-) GCAAGGAAGGAG >mm10_chr10:7145898-7145909(+)::chr10:7145897-7145909(+) GAAAGGAAGAAA >mm10_chr10:7158465-7158476(+)::chr10:7158464-7158476(+) AAGAGGAAGCAA >mm10_chr10:7174636-7174647(-)::chr10:7174635-7174647(-) AACAGGAAGAAA >mm10_chr10:7182729-7182740(+)::chr10:7182728-7182740(+) GGGAGGAAGAAA >mm10_chr10:7185813-7185824(+)::chr10:7185812-7185824(+) TCAAGGAAGAAG >mm10_chr10:7185836-7185847(-)::chr10:7185835-7185847(-) TCAAGGAAGAAA >mm10_chr10:7290194-7290205(-)::chr10:7290193-7290205(-) GGGAGGAAGTGG >mm10_chr10:7473587-7473598(+)::chr10:7473586-7473598(+) GGAAGGAACGCA >mm10_chr10:7473629-7473640(+)::chr10:7473628-7473640(+) TGCAGGAAGTGG >mm10_chr10:7678566-7678577(+)::chr10:7678565-7678577(+) AGGAGGAAGAAG >mm10_chr10:7725886-7725897(-)::chr10:7725885-7725897(-) GGAAGGAAAGTA >mm10_chr10:7726308-7726319(-)::chr10:7726307-7726319(-) AGAAAGAAGTAA >mm10_chr10:7832293-7832304(-)::chr10:7832292-7832304(-) GGTAGGAAGTTC >mm10_chr10:7832307-7832318(-)::chr10:7832306-7832318(-) AGAAGGCAGTGA >mm10_chr10:7832314-7832325(-)::chr10:7832313-7832325(-) AAAAGGAAGAAG >mm10_chr10:7839651-7839662(-)::chr10:7839650-7839662(-) ATCAGGAAATCA >mm10_chr10:7860730-7860741(+)::chr10:7860729-7860741(+) GACAGGAAGCAA >mm10_chr10:7874944-7874955(-)::chr10:7874943-7874955(-) CCCAGGAAGTGA >mm10_chr10:7900999-7901010(+)::chr10:7900998-7901010(+) AGTAGGAAGAAG >mm10_chr10:7901006-7901017(+)::chr10:7901005-7901017(+) AGAAGGAAAACG >mm10_chr10:7960165-7960176(+)::chr10:7960164-7960176(+) aggaggaagagc >mm10_chr10:7960177-7960188(+)::chr10:7960176-7960188(+) aggaggaagaag >mm10_chr10:7980909-7980920(+)::chr10:7980908-7980920(+) ATAAGGAAGTAT >mm10_chr10:7980973-7980984(+)::chr10:7980972-7980984(+) AGCAGGAAATAG >mm10_chr10:7980980-7980991(+)::chr10:7980979-7980991(+) AATAGGAAATAG >mm10_chr10:7984551-7984562(+)::chr10:7984550-7984562(+) agaaggaacgta >mm10_chr10:7985630-7985641(+)::chr10:7985629-7985641(+) AGAAGGAAATGA >mm10_chr10:7985645-7985656(-)::chr10:7985644-7985656(-) GGGAGGAAGCAT >mm10_chr10:8021371-8021382(-)::chr10:8021370-8021382(-) aggaggatgtaa >mm10_chr10:8021385-8021396(-)::chr10:8021384-8021396(-) aggaggaagaga >mm10_chr10:8021403-8021414(-)::chr10:8021402-8021414(-) gagaggaagggg >mm10_chr10:8021411-8021422(-)::chr10:8021410-8021422(-) aagaggaagaga >mm10_chr10:8021417-8021428(-)::chr10:8021416-8021428(-) aggaggaagagg >mm10_chr10:8026665-8026676(+)::chr10:8026664-8026676(+) ACGAGGAAGTTG >mm10_chr10:8026686-8026697(+)::chr10:8026685-8026697(+) AGGAGGAAATGA >mm10_chr10:8028136-8028147(+)::chr10:8028135-8028147(+) AAGAGGAAGAAA >mm10_chr10:8028981-8028992(-)::chr10:8028980-8028992(-) taaaggaaatga >mm10_chr10:8035546-8035557(-)::chr10:8035545-8035557(-) AGGAGGAAATTG >mm10_chr10:8035582-8035593(+)::chr10:8035581-8035593(+) AAAAGTAAGTGT >mm10_chr10:8035604-8035615(+)::chr10:8035603-8035615(+) CCAAGGAAGTAC >mm10_chr10:8041919-8041930(-)::chr10:8041918-8041930(-) AACAGGAAGAAA >mm10_chr10:8052504-8052515(-)::chr10:8052503-8052515(-) agaagcaagtag >mm10_chr10:8099028-8099039(+)::chr10:8099027-8099039(+) ACCAGGAAGGAG >mm10_chr10:8103197-8103208(-)::chr10:8103196-8103208(-) atgaggaagcaa >mm10_chr10:8103254-8103265(-)::chr10:8103253-8103265(-) aacaggaagtag >mm10_chr10:8139079-8139090(-)::chr10:8139078-8139090(-) TTAAGGAAGTGG >mm10_chr10:8139105-8139116(+)::chr10:8139104-8139116(+) ATGAGGAAGTCA >mm10_chr10:8173286-8173297(-)::chr10:8173285-8173297(-) GGAAGGAAATTT >mm10_chr10:8189598-8189609(+)::chr10:8189597-8189609(+) ATAAGGAAGAAG >mm10_chr10:8193625-8193636(+)::chr10:8193624-8193636(+) ACCAGGAAGAGG >mm10_chr10:8230447-8230458(-)::chr10:8230446-8230458(-) ACCAGGAAGTAC >mm10_chr10:8323213-8323224(+)::chr10:8323212-8323224(+) AGAAGGATGTGT >mm10_chr10:8462163-8462174(+)::chr10:8462162-8462174(+) AGAAGGAAGACT >mm10_chr10:8462170-8462181(-)::chr10:8462169-8462181(-) GCAAGGAAGTCT >mm10_chr10:8728392-8728403(+)::chr10:8728391-8728403(+) AAGAGGAAGAAA >mm10_chr10:8772721-8772732(+)::chr10:8772720-8772732(+) ACCAGGAAGTGG >mm10_chr10:8772743-8772754(+)::chr10:8772742-8772754(+) AACAGGAAGTCA >mm10_chr10:8808025-8808036(+)::chr10:8808024-8808036(+) AGAAGGAAGGAA >mm10_chr10:8808029-8808040(+)::chr10:8808028-8808040(+) GGAAGGAAGCCA >mm10_chr10:8810608-8810619(+)::chr10:8810607-8810619(+) AAAGGGAAGTTT >mm10_chr10:8818633-8818644(+)::chr10:8818632-8818644(+) ATAAGGAAGCTT >mm10_chr10:8831001-8831012(+)::chr10:8831000-8831012(+) ACAAGGAAATCC >mm10_chr10:8838039-8838050(-)::chr10:8838038-8838050(-) GACAGGAAGCAC >mm10_chr10:8839627-8839638(+)::chr10:8839626-8839638(+) agaaggaagagg >mm10_chr10:8839633-8839644(+)::chr10:8839632-8839644(+) aagaggaaggag >mm10_chr10:8839649-8839660(+)::chr10:8839648-8839660(+) aagaggaagaag >mm10_chr10:8845599-8845610(+)::chr10:8845598-8845610(+) ACGAGGAAGAAG >mm10_chr10:8845606-8845617(+)::chr10:8845605-8845617(+) AGAAGGAAAAGA >mm10_chr10:8879024-8879035(+)::chr10:8879023-8879035(+) aGGAGGAAGAGG >mm10_chr10:8879030-8879041(+)::chr10:8879029-8879041(+) AAGAGGAAGAAA >mm10_chr10:8879038-8879049(+)::chr10:8879037-8879049(+) GAAAGGAAGGGG >mm10_chr10:8885349-8885360(+)::chr10:8885348-8885360(+) ACAAGGAAACGG >mm10_chr10:8888397-8888408(+)::chr10:8888396-8888408(+) AAGAGGAAGTAA >mm10_chr10:8890656-8890667(-)::chr10:8890655-8890667(-) ACAAGGAAGGAA >mm10_chr10:8947946-8947957(-)::chr10:8947945-8947957(-) ACAGGGAAGTGC >mm10_chr10:8950782-8950793(+)::chr10:8950781-8950793(+) GGCAGGAAATGG >mm10_chr10:9010851-9010862(-)::chr10:9010850-9010862(-) ccaaggaaggag >mm10_chr10:9011246-9011257(-)::chr10:9011245-9011257(-) AGGAGGAAGTAG >mm10_chr10:9075114-9075125(+)::chr10:9075113-9075125(+) tgagggaagttt >mm10_chr10:9102815-9102826(-)::chr10:9102814-9102826(-) aggaggaagagg >mm10_chr10:9102827-9102838(-)::chr10:9102826-9102838(-) aggaggaagatg >mm10_chr10:9102842-9102853(-)::chr10:9102841-9102853(-) agaaggaagaag >mm10_chr10:9118256-9118267(-)::chr10:9118255-9118267(-) ATCAGGAAGCTA >mm10_chr10:9203516-9203527(+)::chr10:9203515-9203527(+) AAAAGGAAATTA >mm10_chr10:9574171-9574182(-)::chr10:9574170-9574182(-) GGAAGGAAGCAA >mm10_chr10:9574175-9574186(-)::chr10:9574174-9574186(-) AAGAGGAAGGAA >mm10_chr10:9593263-9593274(+)::chr10:9593262-9593274(+) AGAAGGAAAGAA >mm10_chr10:9875144-9875155(+)::chr10:9875143-9875155(+) TGCAGGAAGTGT >mm10_chr10:9893678-9893689(-)::chr10:9893677-9893689(-) AGAAGGAAGTAA >mm10_chr10:9893750-9893761(+)::chr10:9893749-9893761(+) AGATGGAAGTTG >mm10_chr10:9908139-9908150(-)::chr10:9908138-9908150(-) TAGAGGAAGAGG >mm10_chr10:10307482-10307493(-)::chr10:10307481-10307493(-) agcaggaaatgc >mm10_chr10:10408434-10408445(+)::chr10:10408433-10408445(+) GAAAGGAAATCA >mm10_chr10:10457225-10457236(-)::chr10:10457224-10457236(-) AACCGGAAGTGT >mm10_chr10:10555964-10555975(+)::chr10:10555963-10555975(+) gtagggaagttg >mm10_chr10:10558241-10558252(-)::chr10:10558240-10558252(-) AAGAGGAAGTGC >mm10_chr10:11145708-11145719(+)::chr10:11145707-11145719(+) aagaggaagaaa >mm10_chr10:11145721-11145732(+)::chr10:11145720-11145732(+) atagggaaggag >mm10_chr10:11145728-11145739(+)::chr10:11145727-11145739(+) aggaggaagctg >mm10_chr10:11212902-11212913(-)::chr10:11212901-11212913(-) AGGAGGAAGGTA >mm10_chr10:11960705-11960716(+)::chr10:11960704-11960716(+) ACAGGGAAGTGC >mm10_chr10:12243131-12243142(+)::chr10:12243130-12243142(+) ACAAGGAAGGAC >mm10_chr10:12243143-12243154(+)::chr10:12243142-12243154(+) ACAAGGAAGAGC >mm10_chr10:12469403-12469414(-)::chr10:12469402-12469414(-) GGAAGGAAGGGG >mm10_chr10:12469407-12469418(-)::chr10:12469406-12469418(-) AGGAGGAAGGAA >mm10_chr10:12469434-12469445(+)::chr10:12469433-12469445(+) AAAAGGAAGTTC >mm10_chr10:12469818-12469829(-)::chr10:12469817-12469829(-) AGCAGGAAGTTT >mm10_chr10:12473672-12473683(-)::chr10:12473671-12473683(-) agagggaaatac >mm10_chr10:12473709-12473720(-)::chr10:12473708-12473720(-) aaaaggaagaaa >mm10_chr10:12483725-12483736(+)::chr10:12483724-12483736(+) TCAAGGAAGTTT >mm10_chr10:12507653-12507664(+)::chr10:12507652-12507664(+) GCGAGGAAGGAT >mm10_chr10:12548472-12548483(-)::chr10:12548471-12548483(-) TGGAGGAAGTTG >mm10_chr10:12608697-12608708(+)::chr10:12608696-12608708(+) aggaggaagaga >mm10_chr10:12608709-12608720(+)::chr10:12608708-12608720(+) aggaggaagaag >mm10_chr10:12608733-12608744(+)::chr10:12608732-12608744(+) aggaggaagagc >mm10_chr10:12650135-12650146(-)::chr10:12650134-12650146(-) ACGGGGAAGTAC >mm10_chr10:12651615-12651626(-)::chr10:12651614-12651626(-) AGGAGGAAGACG >mm10_chr10:12651638-12651649(-)::chr10:12651637-12651649(-) agaaggaaagaG >mm10_chr10:12673700-12673711(+)::chr10:12673699-12673711(+) GAAAGGCAGTCG >mm10_chr10:12690876-12690887(-)::chr10:12690875-12690887(-) AGAAGGAGGTGA >mm10_chr10:12781701-12781712(-)::chr10:12781700-12781712(-) GGAAGGAAGTGA >mm10_chr10:12781705-12781716(-)::chr10:12781704-12781716(-) ATCAGGAAGGAA >mm10_chr10:12781723-12781734(+)::chr10:12781722-12781734(+) CCAAGGACGTGT >mm10_chr10:12781788-12781799(-)::chr10:12781787-12781799(-) GGAAGGAAGAGA >mm10_chr10:12813570-12813581(+)::chr10:12813569-12813581(+) TGAAGGAAATCA >mm10_chr10:12823581-12823592(+)::chr10:12823580-12823592(+) AAGAGGAAATAG >mm10_chr10:12832812-12832823(-)::chr10:12832811-12832823(-) ACAAGGAAATTA >mm10_chr10:12836673-12836684(+)::chr10:12836672-12836684(+) AAGAGGAAGACG >mm10_chr10:12867291-12867302(+)::chr10:12867290-12867302(+) TAAAGGAAACCG >mm10_chr10:12868940-12868951(-)::chr10:12868939-12868951(-) GGCAGGAAGATT >mm10_chr10:12902964-12902975(-)::chr10:12902963-12902975(-) GGAAGGAAGAGA >mm10_chr10:12902968-12902979(-)::chr10:12902967-12902979(-) GCAAGGAAGGAA >mm10_chr10:12912434-12912445(-)::chr10:12912433-12912445(-) AGCaggaagagg >mm10_chr10:12919561-12919572(-)::chr10:12919560-12919572(-) ggaaggaaggaC >mm10_chr10:12919565-12919576(-)::chr10:12919564-12919576(-) ggaaggaaggaa >mm10_chr10:12919569-12919580(-)::chr10:12919568-12919580(-) ggaaggaaggaa >mm10_chr10:12919573-12919584(-)::chr10:12919572-12919584(-) ggaaggaaggaa >mm10_chr10:12919577-12919588(-)::chr10:12919576-12919588(-) gggaggaaggaa >mm10_chr10:12919593-12919604(-)::chr10:12919592-12919604(-) ggaaggaaggga >mm10_chr10:12919597-12919608(-)::chr10:12919596-12919608(-) gggaggaaggaa >mm10_chr10:12955962-12955973(+)::chr10:12955961-12955973(+) AAAAGGAAGGAC >mm10_chr10:12981825-12981836(-)::chr10:12981824-12981836(-) AACAGGAAGTCT >mm10_chr10:12982058-12982069(-)::chr10:12982057-12982069(-) aacaggaaattg >mm10_chr10:13008430-13008441(-)::chr10:13008429-13008441(-) AAGCGGAAGTGC >mm10_chr10:13008436-13008447(-)::chr10:13008435-13008447(-) AGGCGGAAGCGG >mm10_chr10:13028345-13028356(-)::chr10:13028344-13028356(-) ACCAGGAAGAAA >mm10_chr10:13028423-13028434(-)::chr10:13028422-13028434(-) GAAAGGAAGCAG >mm10_chr10:13086589-13086600(-)::chr10:13086588-13086600(-) aagaggaAGGAA >mm10_chr10:13086595-13086606(-)::chr10:13086594-13086606(-) aggaggaagagg >mm10_chr10:13086626-13086637(-)::chr10:13086625-13086637(-) aggaggaagtag >mm10_chr10:13086644-13086655(-)::chr10:13086643-13086655(-) agaaggaagaga >mm10_chr10:13119512-13119523(-)::chr10:13119511-13119523(-) AGGAGGAAGAGG >mm10_chr10:13126256-13126267(+)::chr10:13126255-13126267(+) AGCAGGAAGCAG >mm10_chr10:13126339-13126350(+)::chr10:13126338-13126350(+) TCAAGGAACTAA >mm10_chr10:13193184-13193195(+)::chr10:13193183-13193195(+) AGCCGGAAGTTG >mm10_chr10:13323456-13323467(-)::chr10:13323455-13323467(-) ACAAGGAACTAA >mm10_chr10:13327873-13327884(-)::chr10:13327872-13327884(-) ACAAGGATGTAT >mm10_chr10:13327920-13327931(-)::chr10:13327919-13327931(-) CCAAGGAAGTGC >mm10_chr10:13328707-13328718(+)::chr10:13328706-13328718(+) AGCAGGAAATTA >mm10_chr10:13355162-13355173(-)::chr10:13355161-13355173(-) tagaggaagtag >mm10_chr10:13388633-13388644(+)::chr10:13388632-13388644(+) CAGAGGAAGTGG >mm10_chr10:13389173-13389184(+)::chr10:13389172-13389184(+) AACAGGAAGAGA >mm10_chr10:13447017-13447028(-)::chr10:13447016-13447028(-) ACCAGGAAGGAC >mm10_chr10:13488731-13488742(-)::chr10:13488730-13488742(-) AACAGGAAGGAA >mm10_chr10:13488758-13488769(-)::chr10:13488757-13488769(-) AAGAGGAAGAGG >mm10_chr10:13488764-13488775(-)::chr10:13488763-13488775(-) aaTAGGAAGAGG >mm10_chr10:13729160-13729171(-)::chr10:13729159-13729171(-) aacaggaaggct >mm10_chr10:13791032-13791043(+)::chr10:13791031-13791043(+) ggaaggaaggag >mm10_chr10:13791072-13791083(+)::chr10:13791071-13791083(+) aggaggaagagg >mm10_chr10:13791108-13791119(+)::chr10:13791107-13791119(+) aggaggaagaag >mm10_chr10:13805123-13805134(+)::chr10:13805122-13805134(+) ATGAGGAAGCTA >mm10_chr10:13813781-13813792(-)::chr10:13813780-13813792(-) tcaaggaaggct >mm10_chr10:13827066-13827077(-)::chr10:13827065-13827077(-) TGCAGGAAGTGG >mm10_chr10:13834282-13834293(+)::chr10:13834281-13834293(+) ATAAGGAAATTC >mm10_chr10:13834309-13834320(+)::chr10:13834308-13834320(+) GCAAGGAAGAAG >mm10_chr10:13869755-13869766(+)::chr10:13869754-13869766(+) ACAGGGAAGTTG >mm10_chr10:13967255-13967266(+)::chr10:13967254-13967266(+) GCGaggaaggaa >mm10_chr10:13967259-13967270(+)::chr10:13967258-13967270(+) ggaaggaaggaa >mm10_chr10:13967263-13967274(+)::chr10:13967262-13967274(+) ggaaggaaggag >mm10_chr10:13967275-13967286(+)::chr10:13967274-13967286(+) agaaggaaggaa >mm10_chr10:13967279-13967290(+)::chr10:13967278-13967290(+) ggaaggaaggaa >mm10_chr10:13967283-13967294(+)::chr10:13967282-13967294(+) ggaaggaagcga >mm10_chr10:13983048-13983059(+)::chr10:13983047-13983059(+) AACAGGAAATGC >mm10_chr10:13983071-13983082(-)::chr10:13983070-13983082(-) GGCAGGAAGTGG >mm10_chr10:13987562-13987573(-)::chr10:13987561-13987573(-) ACCAGGAAGTGC >mm10_chr10:13999047-13999058(+)::chr10:13999046-13999058(+) TACAGGAAGGAA >mm10_chr10:13999051-13999062(+)::chr10:13999050-13999062(+) GGAAGGAAGTGG >mm10_chr10:14048003-14048014(-)::chr10:14048002-14048014(-) AGCAGGAAGCAA >mm10_chr10:14048711-14048722(-)::chr10:14048710-14048722(-) AGAAGGAAGTCC >mm10_chr10:14048933-14048944(-)::chr10:14048932-14048944(-) ACCAGGAAGAAC >mm10_chr10:14057288-14057299(+)::chr10:14057287-14057299(+) GGGAGGAAGATC >mm10_chr10:14057312-14057323(+)::chr10:14057311-14057323(+) TGGAGGAAGACA >mm10_chr10:14082520-14082531(+)::chr10:14082519-14082531(+) aggaggaagcag >mm10_chr10:14084115-14084126(+)::chr10:14084114-14084126(+) AAGAGGAAGTCA >mm10_chr10:14139716-14139727(-)::chr10:14139715-14139727(-) AGGAGGAAATAT >mm10_chr10:14139723-14139734(-)::chr10:14139722-14139734(-) GACAGGAAGGAG >mm10_chr10:14139741-14139752(+)::chr10:14139740-14139752(+) CAAAGGATGTAT >mm10_chr10:14156320-14156331(-)::chr10:14156319-14156331(-) ACCAGGAAGAGA >mm10_chr10:14167666-14167677(-)::chr10:14167665-14167677(-) GGCAGGAAGGAA >mm10_chr10:14168776-14168787(-)::chr10:14168775-14168787(-) TGGAGGAAGGTA >mm10_chr10:14174372-14174383(+)::chr10:14174371-14174383(+) AGAAGGAAGGAA >mm10_chr10:14174376-14174387(+)::chr10:14174375-14174387(+) GGAAGGAACTCC >mm10_chr10:14174410-14174421(+)::chr10:14174409-14174421(+) ATCAGGAAATCA >mm10_chr10:14189286-14189297(+)::chr10:14189285-14189297(+) AAGAGGAAGTGA >mm10_chr10:14233488-14233499(+)::chr10:14233487-14233499(+) AACAGGAAGAAA >mm10_chr10:14402103-14402114(+)::chr10:14402102-14402114(+) AAAAGGAAGTGG >mm10_chr10:14492094-14492105(-)::chr10:14492093-14492105(-) GGAAGGATGTCT >mm10_chr10:14492098-14492109(-)::chr10:14492097-14492109(-) GTAAGGAAGGAT >mm10_chr10:14705479-14705490(-)::chr10:14705478-14705490(-) AGTAGGAAGTAG >mm10_chr10:14705503-14705514(-)::chr10:14705502-14705514(-) AAGCGGAAGTAT >mm10_chr10:14705509-14705520(-)::chr10:14705508-14705520(-) AGGCGGAAGCGG >mm10_chr10:14722027-14722038(+)::chr10:14722026-14722038(+) acacggaaggaa >mm10_chr10:14722031-14722042(+)::chr10:14722030-14722042(+) ggaaggaagaag >mm10_chr10:14741199-14741210(-)::chr10:14741198-14741210(-) aaCAGTAAGTAC >mm10_chr10:14937333-14937344(-)::chr10:14937332-14937344(-) AGTAGGAAGGGG >mm10_chr10:14978593-14978604(+)::chr10:14978592-14978604(+) TCAAGGAAGTCC >mm10_chr10:15039304-15039315(+)::chr10:15039303-15039315(+) caaaggaaggga >mm10_chr10:15142810-15142821(-)::chr10:15142809-15142821(-) CAAAGGAAGAGA >mm10_chr10:15275227-15275238(-)::chr10:15275226-15275238(-) aagAGGAAGTCA >mm10_chr10:15275290-15275301(-)::chr10:15275289-15275301(-) tgaaggaagagg >mm10_chr10:15275847-15275858(+)::chr10:15275846-15275858(+) TGAAGGAAGCCA >mm10_chr10:15297421-15297432(+)::chr10:15297420-15297432(+) TTgaggaagtgg >mm10_chr10:15297439-15297450(+)::chr10:15297438-15297450(+) aggaggaagtgt >mm10_chr10:15297452-15297463(+)::chr10:15297451-15297463(+) agaaggaagggg >mm10_chr10:15300587-15300598(+)::chr10:15300586-15300598(+) GTAAGGAAGAGG >mm10_chr10:15300804-15300815(-)::chr10:15300803-15300815(-) AAAGGGAAGTCT >mm10_chr10:15300832-15300843(+)::chr10:15300831-15300843(+) AGGAGGAAGAGC >mm10_chr10:15310742-15310753(+)::chr10:15310741-15310753(+) AAGAGGAAGACA >mm10_chr10:15310781-15310792(+)::chr10:15310780-15310792(+) GCCAGGAAGGGT >mm10_chr10:15323023-15323034(+)::chr10:15323022-15323034(+) agaaggaagtcc >mm10_chr10:15323053-15323064(-)::chr10:15323052-15323064(-) aacaggaaggtg >mm10_chr10:15890025-15890036(-)::chr10:15890024-15890036(-) gcaaggaaatgt >mm10_chr10:15890062-15890073(-)::chr10:15890061-15890073(-) agaaggaaaaga >mm10_chr10:15890074-15890085(-)::chr10:15890073-15890085(-) aggaggaaggtg >mm10_chr10:16294365-16294376(-)::chr10:16294364-16294376(-) aagaggaagagg >mm10_chr10:16294371-16294382(-)::chr10:16294370-16294382(-) aagaggaagagg >mm10_chr10:16294377-16294388(-)::chr10:16294376-16294388(-) aagaggaagagg >mm10_chr10:16294383-16294394(-)::chr10:16294382-16294394(-) aagaggaagagg >mm10_chr10:16294389-16294400(-)::chr10:16294388-16294400(-) aagaggaagagg >mm10_chr10:16294395-16294406(-)::chr10:16294394-16294406(-) aagaggaagagg >mm10_chr10:16294401-16294412(-)::chr10:16294400-16294412(-) aagaggaagagg >mm10_chr10:16294407-16294418(-)::chr10:16294406-16294418(-) aagaggaagagg >mm10_chr10:16294413-16294424(-)::chr10:16294412-16294424(-) aagaggaagagg >mm10_chr10:16294419-16294430(-)::chr10:16294418-16294430(-) aagaggaagagg >mm10_chr10:16357264-16357275(+)::chr10:16357263-16357275(+) TGAAGGAAGGTG >mm10_chr10:16589652-16589663(+)::chr10:16589651-16589663(+) AACAGGAAGTGA >mm10_chr10:16621295-16621306(+)::chr10:16621294-16621306(+) ATAGGGAAGTGA >mm10_chr10:16956482-16956493(-)::chr10:16956481-16956493(-) ggcaggaagtgc >mm10_chr10:16995674-16995685(-)::chr10:16995673-16995685(-) GGAAGGAAGACG >mm10_chr10:16995678-16995689(-)::chr10:16995677-16995689(-) ACAAGGAAGGAA >mm10_chr10:16995685-16995696(-)::chr10:16995684-16995696(-) ATAAGGAACAAG >mm10_chr10:17001737-17001748(+)::chr10:17001736-17001748(+) AACAGGAAATTC >mm10_chr10:17001752-17001763(-)::chr10:17001751-17001763(-) AGCAGGATGTAC >mm10_chr10:17043082-17043093(+)::chr10:17043081-17043093(+) GAAAGGAAATTC >mm10_chr10:17157685-17157696(-)::chr10:17157684-17157696(-) atggggaagtag >mm10_chr10:17275340-17275351(+)::chr10:17275339-17275351(+) AGGAGGAAGGGA >mm10_chr10:17275363-17275374(+)::chr10:17275362-17275374(+) ACAAGGAAGTGA >mm10_chr10:17310744-17310755(-)::chr10:17310743-17310755(-) aggaggaagagg >mm10_chr10:17310756-17310767(-)::chr10:17310755-17310767(-) aagaggaagaag >mm10_chr10:17310762-17310773(-)::chr10:17310761-17310773(-) agtaggaagagg >mm10_chr10:17310771-17310782(-)::chr10:17310770-17310782(-) AAgaggaagagt >mm10_chr10:17310809-17310820(-)::chr10:17310808-17310820(-) AGGAGGAAGAAG >mm10_chr10:17337336-17337347(-)::chr10:17337335-17337347(-) TGGAGGAAGGAG >mm10_chr10:17337366-17337377(-)::chr10:17337365-17337377(-) AGAAGGAAGCTA >mm10_chr10:17366264-17366275(+)::chr10:17366263-17366275(+) TGAAGGAAGAAC >mm10_chr10:17366287-17366298(-)::chr10:17366286-17366298(-) GGAAGGAAGACT >mm10_chr10:17366291-17366302(-)::chr10:17366290-17366302(-) AGTAGGAAGGAA >mm10_chr10:17382572-17382583(-)::chr10:17382571-17382583(-) ACACGGAAGTTC >mm10_chr10:17406541-17406552(-)::chr10:17406540-17406552(-) aacaggaaatgc >mm10_chr10:17406572-17406583(-)::chr10:17406571-17406583(-) aacaggaagtgg >mm10_chr10:17406603-17406614(-)::chr10:17406602-17406614(-) gacaggaagtgg >mm10_chr10:17406751-17406762(-)::chr10:17406750-17406762(-) AACAGGAAGTAC >mm10_chr10:17406782-17406793(-)::chr10:17406781-17406793(-) gccaggaaatgg >mm10_chr10:17406813-17406824(-)::chr10:17406812-17406824(-) gacaggaagtag >mm10_chr10:17450239-17450250(+)::chr10:17450238-17450250(+) agaaggaaggaa >mm10_chr10:17450243-17450254(+)::chr10:17450242-17450254(+) ggaaggaagctg >mm10_chr10:17467358-17467369(+)::chr10:17467357-17467369(+) aggaggaaggag >mm10_chr10:17467378-17467389(+)::chr10:17467377-17467389(+) AGGAGGAAGAAG >mm10_chr10:17467394-17467405(+)::chr10:17467393-17467405(+) ACAAGGAAGAGA >mm10_chr10:17510671-17510682(+)::chr10:17510670-17510682(+) AAAAGGAAGGAG >mm10_chr10:17522384-17522395(+)::chr10:17522383-17522395(+) aagaggaagaag >mm10_chr10:17528471-17528482(-)::chr10:17528470-17528482(-) CAAAGGAAGTGT >mm10_chr10:17536920-17536931(-)::chr10:17536919-17536931(-) GGAAGGAAGGAC >mm10_chr10:17536924-17536935(-)::chr10:17536923-17536935(-) AGAAGGAAGGAA >mm10_chr10:17536942-17536953(-)::chr10:17536941-17536953(-) AAAAGGAAATCC >mm10_chr10:17540553-17540564(+)::chr10:17540552-17540564(+) GCAAGGAAGCAC >mm10_chr10:17540615-17540626(-)::chr10:17540614-17540626(-) AGCAGGAAGTAC >mm10_chr10:17578924-17578935(-)::chr10:17578923-17578935(-) ACCAGGAAGAGT >mm10_chr10:17591655-17591666(+)::chr10:17591654-17591666(+) aggaggaagaga >mm10_chr10:17602526-17602537(+)::chr10:17602525-17602537(+) ATAAGGAAAGCA >mm10_chr10:17610851-17610862(+)::chr10:17610850-17610862(+) gccaggaagaga >mm10_chr10:17613531-17613542(+)::chr10:17613530-17613542(+) gagaggaagttg >mm10_chr10:17622134-17622145(+)::chr10:17622133-17622145(+) AGCAGGAAGAGA >mm10_chr10:17629880-17629891(-)::chr10:17629879-17629891(-) TTGAGGAAGTCA >mm10_chr10:17641821-17641832(-)::chr10:17641820-17641832(-) AGAAGGAAGAGA >mm10_chr10:17655655-17655666(+)::chr10:17655654-17655666(+) ACAAGGAAGGGA >mm10_chr10:17707238-17707249(+)::chr10:17707237-17707249(+) AGAAGGAAGTCC >mm10_chr10:17708373-17708384(-)::chr10:17708372-17708384(-) AAAAGGCAGTGG >mm10_chr10:17708415-17708426(-)::chr10:17708414-17708426(-) ACAGGGAAGTCA >mm10_chr10:17714132-17714143(+)::chr10:17714131-17714143(+) GCCAGGAAGTTG >mm10_chr10:17721694-17721705(+)::chr10:17721693-17721705(+) AGCAGGAAGTCG >mm10_chr10:17727586-17727597(-)::chr10:17727585-17727597(-) AGTAGGAAGCAA >mm10_chr10:17796393-17796404(-)::chr10:17796392-17796404(-) AGCAGGAAGAGA >mm10_chr10:17796409-17796420(-)::chr10:17796408-17796420(-) AGAAGGAAGGGA >mm10_chr10:17859086-17859097(+)::chr10:17859085-17859097(+) agcaggaagaag >mm10_chr10:17946912-17946923(+)::chr10:17946911-17946923(+) GAACGGAAGTCA >mm10_chr10:17946933-17946944(-)::chr10:17946932-17946944(-) GGAAGGAAGAGA >mm10_chr10:17946937-17946948(-)::chr10:17946936-17946948(-) TCGAGGAAGGAA >mm10_chr10:17947395-17947406(-)::chr10:17947394-17947406(-) GTCAGGAAGTGC >mm10_chr10:18023128-18023139(-)::chr10:18023127-18023139(-) GCGCGGAAGCCG >mm10_chr10:18023160-18023171(+)::chr10:18023159-18023171(+) AGAAGGAAGTGG >mm10_chr10:18048504-18048515(+)::chr10:18048503-18048515(+) acaaggaagaaa >mm10_chr10:18070927-18070938(-)::chr10:18070926-18070938(-) TCAAGGAAGTAA >mm10_chr10:18070941-18070952(-)::chr10:18070940-18070952(-) AGAAGGAAATGT >mm10_chr10:18129987-18129998(+)::chr10:18129986-18129998(+) AAAAGGAAGCAC >mm10_chr10:18173628-18173639(-)::chr10:18173627-18173639(-) ACAAGGAAGcag >mm10_chr10:18191822-18191833(+)::chr10:18191821-18191833(+) GGAAGGAAGAGA >mm10_chr10:18195268-18195279(-)::chr10:18195267-18195279(-) AACAGGAAGTGT >mm10_chr10:18195283-18195294(+)::chr10:18195282-18195294(+) AGGAGGAAGACT >mm10_chr10:18197342-18197353(+)::chr10:18197341-18197353(+) TAAAGGAAGCAA >mm10_chr10:18305212-18305223(+)::chr10:18305211-18305223(+) ACAAGGAAGAGA >mm10_chr10:18307551-18307562(+)::chr10:18307550-18307562(+) TGGAGGAAGTGG >mm10_chr10:18307569-18307580(+)::chr10:18307568-18307580(+) GGAAGGAAGTGA >mm10_chr10:18307582-18307593(+)::chr10:18307581-18307593(+) GCAGGGAAGTGA >mm10_chr10:18318642-18318653(-)::chr10:18318641-18318653(-) CGGGGGAAGTGC >mm10_chr10:18318651-18318662(-)::chr10:18318650-18318662(-) AGGAGGAAGCGG >mm10_chr10:18374651-18374662(-)::chr10:18374650-18374662(-) agaaggaaaatg >mm10_chr10:18412340-18412351(-)::chr10:18412339-18412351(-) AAAAGGAAGCAC >mm10_chr10:18414647-18414658(-)::chr10:18414646-18414658(-) AAGAGGAAGGAA >mm10_chr10:18414653-18414664(-)::chr10:18414652-18414664(-) AACAGGAAGAGG >mm10_chr10:18414697-18414708(-)::chr10:18414696-18414708(-) TATAGGAAATTA >mm10_chr10:18453630-18453641(-)::chr10:18453629-18453641(-) ACAAGGAATTTA >mm10_chr10:18506629-18506640(-)::chr10:18506628-18506640(-) AACAGGAAATAG >mm10_chr10:18527560-18527571(-)::chr10:18527559-18527571(-) AAGAGGAAGCAG >mm10_chr10:18527590-18527601(+)::chr10:18527589-18527601(+) CTAAGGAAGAGG >mm10_chr10:18527597-18527608(+)::chr10:18527596-18527608(+) AGAGGGAAGCGA >mm10_chr10:18643629-18643640(-)::chr10:18643628-18643640(-) ccaaggaaatgc >mm10_chr10:18700334-18700345(+)::chr10:18700333-18700345(+) AGCAGGAAGAAC >mm10_chr10:18727053-18727064(+)::chr10:18727052-18727064(+) TGCAGGAAGAAG >mm10_chr10:18763706-18763717(-)::chr10:18763705-18763717(-) ACCAGGAAGAGA >mm10_chr10:18763724-18763735(-)::chr10:18763723-18763735(-) GAAAGGAAGTTC >mm10_chr10:18845095-18845106(-)::chr10:18845094-18845106(-) TAAAGGAAGAGG >mm10_chr10:18859081-18859092(+)::chr10:18859080-18859092(+) ACAAGGAAAAGT >mm10_chr10:18888400-18888411(-)::chr10:18888399-18888411(-) gaaaggaaatga >mm10_chr10:18888456-18888467(+)::chr10:18888455-18888467(+) gaaaggcagtat >mm10_chr10:18904521-18904532(+)::chr10:18904520-18904532(+) GTCAGGAAGTGG >mm10_chr10:18911176-18911187(-)::chr10:18911175-18911187(-) agcaggaaggca >mm10_chr10:18931671-18931682(-)::chr10:18931670-18931682(-) TAAGGGAAGTAG >mm10_chr10:18971887-18971898(-)::chr10:18971886-18971898(-) AGAGGGAAGTGC >mm10_chr10:18988759-18988770(+)::chr10:18988758-18988770(+) AGAGGGAAGTTA >mm10_chr10:19001348-19001359(-)::chr10:19001347-19001359(-) ACGAGGAAGTTT >mm10_chr10:19002226-19002237(-)::chr10:19002225-19002237(-) AACAGGACGTAG >mm10_chr10:19035015-19035026(-)::chr10:19035014-19035026(-) AGAAGGAAAGCG >mm10_chr10:19046108-19046119(-)::chr10:19046107-19046119(-) TAAAGGAACTCC >mm10_chr10:19094463-19094474(-)::chr10:19094462-19094474(-) AGGAGGAAGTGT >mm10_chr10:19118949-19118960(+)::chr10:19118948-19118960(+) ATAAGGAAGAAA >mm10_chr10:19123936-19123947(-)::chr10:19123935-19123947(-) acaaggaagata >mm10_chr10:19153591-19153602(-)::chr10:19153590-19153602(-) ATAAGGAAGTAA >mm10_chr10:19171276-19171287(-)::chr10:19171275-19171287(-) TTAGGGAAGTTT >mm10_chr10:19186113-19186124(+)::chr10:19186112-19186124(+) AAAAGGAAGAGT >mm10_chr10:19187314-19187325(-)::chr10:19187313-19187325(-) AGGAGGAAGGGC >mm10_chr10:19188561-19188572(-)::chr10:19188560-19188572(-) ACAAGGAAAGTA >mm10_chr10:19268191-19268202(+)::chr10:19268190-19268202(+) AAAAGGAAGGAG >mm10_chr10:19268237-19268248(+)::chr10:19268236-19268248(+) ATCAGGAAGTGG >mm10_chr10:19291211-19291222(-)::chr10:19291210-19291222(-) AGTAGGAAGTGG >mm10_chr10:19433162-19433173(+)::chr10:19433161-19433173(+) CACAGGAAGTTG >mm10_chr10:19443492-19443503(-)::chr10:19443491-19443503(-) GACAGGAAGTAG >mm10_chr10:19492195-19492206(-)::chr10:19492194-19492206(-) acaaggaaacac >mm10_chr10:19498490-19498501(+)::chr10:19498489-19498501(+) gaaaggaagcat >mm10_chr10:19508232-19508243(-)::chr10:19508231-19508243(-) AGTAGGAAGTTT >mm10_chr10:19508261-19508272(+)::chr10:19508260-19508272(+) CTGAGGAAGTCC >mm10_chr10:19508294-19508305(-)::chr10:19508293-19508305(-) GGGAGGAAGTGA >mm10_chr10:19521721-19521732(+)::chr10:19521720-19521732(+) aggaggaaggag >mm10_chr10:19521728-19521739(+)::chr10:19521727-19521739(+) aggaggaagaag >mm10_chr10:19521746-19521757(+)::chr10:19521745-19521757(+) aggaggaagaag >mm10_chr10:19521767-19521778(+)::chr10:19521766-19521778(+) aggaggaAATGT >mm10_chr10:19522350-19522361(+)::chr10:19522349-19522361(+) AGGAGGAAATTA >mm10_chr10:19548255-19548266(-)::chr10:19548254-19548266(-) gaaaggaagtag >mm10_chr10:19548721-19548732(+)::chr10:19548720-19548732(+) TGAAGGAAATAG >mm10_chr10:19557449-19557460(-)::chr10:19557448-19557460(-) TGAAGGAAATAA >mm10_chr10:19559743-19559754(-)::chr10:19559742-19559754(-) AGAAGGCAGTGT >mm10_chr10:19561859-19561870(+)::chr10:19561858-19561870(+) acacggaaatgg >mm10_chr10:19561884-19561895(+)::chr10:19561883-19561895(+) gtaaggaagagt >mm10_chr10:19569546-19569557(-)::chr10:19569545-19569557(-) TCGAGGAAGAGT >mm10_chr10:19570937-19570948(+)::chr10:19570936-19570948(+) aaacggaaatgt >mm10_chr10:19570975-19570986(+)::chr10:19570974-19570986(+) agaaggaagggg >mm10_chr10:19594135-19594146(+)::chr10:19594134-19594146(+) aggaggaagagg >mm10_chr10:19594141-19594152(+)::chr10:19594140-19594152(+) aagaggaagagg >mm10_chr10:19594158-19594169(+)::chr10:19594157-19594169(+) agaaggaagagg >mm10_chr10:19594170-19594181(+)::chr10:19594169-19594181(+) aggaggaagagg >mm10_chr10:19594737-19594748(-)::chr10:19594736-19594748(-) AGAGGGAAGTAC >mm10_chr10:19603783-19603794(+)::chr10:19603782-19603794(+) AGGAGGAAGAAG >mm10_chr10:19603790-19603801(+)::chr10:19603789-19603801(+) AGAAGGAAGAAC >mm10_chr10:19692081-19692092(+)::chr10:19692080-19692092(+) TAGAGGAAGTTC >mm10_chr10:19693413-19693424(+)::chr10:19693412-19693424(+) TCCAGGAAGACG >mm10_chr10:19738561-19738572(-)::chr10:19738560-19738572(-) aagaggaagtag >mm10_chr10:19738582-19738593(-)::chr10:19738581-19738593(-) aagaggaagtag >mm10_chr10:19812671-19812682(+)::chr10:19812670-19812682(+) AAAAGGAAGAAA >mm10_chr10:19837824-19837835(-)::chr10:19837823-19837835(-) AATAGGAAGTAA >mm10_chr10:19850216-19850227(+)::chr10:19850215-19850227(+) CCGAGGAAGTTC >mm10_chr10:19850233-19850244(-)::chr10:19850232-19850244(-) GGAAGGAAGGGG >mm10_chr10:19853805-19853816(+)::chr10:19853804-19853816(+) ACCAGGAAGAGA >mm10_chr10:19934008-19934019(+)::chr10:19934007-19934019(+) aagaggaaggga >mm10_chr10:19934017-19934028(+)::chr10:19934016-19934028(+) ggaaggaaggaa >mm10_chr10:19934021-19934032(+)::chr10:19934020-19934032(+) ggaaggaaggag >mm10_chr10:20003252-20003263(+)::chr10:20003251-20003263(+) AAGAGGAAGAGA >mm10_chr10:20045920-20045931(-)::chr10:20045919-20045931(-) TACAGGAAGAAA >mm10_chr10:20058274-20058285(-)::chr10:20058273-20058285(-) ACATGGAAGTTG >mm10_chr10:20058332-20058343(-)::chr10:20058331-20058343(-) ATGAGGAAGAGG >mm10_chr10:20060749-20060760(-)::chr10:20060748-20060760(-) ACGAGGAAGTCA >mm10_chr10:20070936-20070947(+)::chr10:20070935-20070947(+) GTAAGCAAGTGT >mm10_chr10:20104733-20104744(+)::chr10:20104732-20104744(+) AAGAGGAAGTGA >mm10_chr10:20111290-20111301(+)::chr10:20111289-20111301(+) TGTAGGAAGTAG >mm10_chr10:20111360-20111371(+)::chr10:20111359-20111371(+) AGGAGGAAGGAA >mm10_chr10:20140759-20140770(+)::chr10:20140758-20140770(+) TGACGGAAGGAA >mm10_chr10:20140763-20140774(+)::chr10:20140762-20140774(+) GGAAGGAAGGAA >mm10_chr10:20140787-20140798(+)::chr10:20140786-20140798(+) AGCAGGAAGTTT >mm10_chr10:20140838-20140849(+)::chr10:20140837-20140849(+) ATCAGGAAGGGC >mm10_chr10:20186630-20186641(-)::chr10:20186629-20186641(-) TGCCGGAAGTGG >mm10_chr10:20187153-20187164(-)::chr10:20187152-20187164(-) accaggaagtag >mm10_chr10:20189008-20189019(-)::chr10:20189007-20189019(-) TACAGGAAGTAA >mm10_chr10:20216184-20216195(+)::chr10:20216183-20216195(+) AGAAGGAAACAT >mm10_chr10:20220276-20220287(-)::chr10:20220275-20220287(-) GGAAGGAAGCTC >mm10_chr10:20220280-20220291(-)::chr10:20220279-20220291(-) CGAAGGAAGGAA >mm10_chr10:20233624-20233635(+)::chr10:20233623-20233635(+) ACCAGGAAGTGG >mm10_chr10:20233915-20233926(+)::chr10:20233914-20233926(+) TGCAGGAAGTAT >mm10_chr10:20234649-20234660(+)::chr10:20234648-20234660(+) GACAGGAAGTTC >mm10_chr10:20242521-20242532(+)::chr10:20242520-20242532(+) ACCAGGAAGTAG >mm10_chr10:20313040-20313051(-)::chr10:20313039-20313051(-) GGAAGGAAGACG >mm10_chr10:20313044-20313055(-)::chr10:20313043-20313055(-) ACCAGGAAGGAA >mm10_chr10:20472260-20472271(-)::chr10:20472259-20472271(-) AGAAGGAAATGG >mm10_chr10:20472311-20472322(-)::chr10:20472310-20472322(-) AAGAGGAAGGTG >mm10_chr10:20472317-20472328(-)::chr10:20472316-20472328(-) GTAAGGAAGAGG >mm10_chr10:20479498-20479509(-)::chr10:20479497-20479509(-) TGCAGGAAGTAA >mm10_chr10:20479559-20479570(+)::chr10:20479558-20479570(+) TAGAGGAAGGAA >mm10_chr10:20479563-20479574(+)::chr10:20479562-20479574(+) GGAAGGAAGGCC >mm10_chr10:20521163-20521174(-)::chr10:20521162-20521174(-) TCAAGGAAGAGA >mm10_chr10:20521179-20521190(+)::chr10:20521178-20521190(+) AAAGGGAAGTGT >mm10_chr10:20527627-20527638(+)::chr10:20527626-20527638(+) AAGAGGAAGCAC >mm10_chr10:20669705-20669716(-)::chr10:20669704-20669716(-) ACAAGGGAGTGG >mm10_chr10:20741415-20741426(-)::chr10:20741414-20741426(-) GAAAGGAAGAAA >mm10_chr10:20741497-20741508(-)::chr10:20741496-20741508(-) GCCAGGAAGTTT >mm10_chr10:20765784-20765795(-)::chr10:20765783-20765795(-) ACCAGGAAGCAA >mm10_chr10:20770159-20770170(-)::chr10:20770158-20770170(-) ACCAGGAAGCAG >mm10_chr10:20770191-20770202(+)::chr10:20770190-20770202(+) AGAAGGAATTTA >mm10_chr10:20770373-20770384(-)::chr10:20770372-20770384(-) aagaggaagggg >mm10_chr10:20770379-20770390(-)::chr10:20770378-20770390(-) aggaggaagagg >mm10_chr10:20770391-20770402(-)::chr10:20770390-20770402(-) aggaggaagagg >mm10_chr10:20770729-20770740(+)::chr10:20770728-20770740(+) caaaggaagaag >mm10_chr10:20828668-20828679(+)::chr10:20828667-20828679(+) aacaggaagatg >mm10_chr10:20828704-20828715(-)::chr10:20828703-20828715(-) ACAAGGAAATGC >mm10_chr10:20841617-20841628(-)::chr10:20841616-20841628(-) ccaaggaaatgg >mm10_chr10:20915878-20915889(-)::chr10:20915877-20915889(-) AAGAGGAAATGG >mm10_chr10:21041582-21041593(-)::chr10:21041581-21041593(-) TTAAGGAAGTCT >mm10_chr10:21098664-21098675(+)::chr10:21098663-21098675(+) TGCCGGAAGTAG >mm10_chr10:21200934-21200945(+)::chr10:21200933-21200945(+) TCCAGGAAGAGG >mm10_chr10:21200940-21200951(+)::chr10:21200939-21200951(+) AAGAGGAAGTCA >mm10_chr10:21218700-21218711(+)::chr10:21218699-21218711(+) TTAGGGAAGTTC >mm10_chr10:21218737-21218748(-)::chr10:21218736-21218748(-) ATCAGGAAGAGT >mm10_chr10:21305201-21305212(+)::chr10:21305200-21305212(+) AGAAGGAAGGAG >mm10_chr10:21334487-21334498(-)::chr10:21334486-21334498(-) AGCAGGAAGGGG >mm10_chr10:21415912-21415923(-)::chr10:21415911-21415923(-) aacaggaagaga >mm10_chr10:21609818-21609829(-)::chr10:21609817-21609829(-) AAGAGGAAGAAT >mm10_chr10:21676499-21676510(+)::chr10:21676498-21676510(+) aggaggaaggca >mm10_chr10:21678529-21678540(+)::chr10:21678528-21678540(+) GACAGGAAGGAA >mm10_chr10:21678891-21678902(-)::chr10:21678890-21678902(-) AGCAGGAAATCA >mm10_chr10:21686637-21686648(-)::chr10:21686636-21686648(-) caaaggaaggga >mm10_chr10:21686660-21686671(-)::chr10:21686659-21686671(-) aagaggaagtgt >mm10_chr10:21686671-21686682(-)::chr10:21686670-21686682(-) aaaaggaagcaa >mm10_chr10:21688430-21688441(+)::chr10:21688429-21688441(+) ATAAGGAAATAG >mm10_chr10:21689326-21689337(+)::chr10:21689325-21689337(+) ACAGGGAAGTGT >mm10_chr10:21695294-21695305(-)::chr10:21695293-21695305(-) GGGAGGAAGCTA >mm10_chr10:21712024-21712035(-)::chr10:21712023-21712035(-) TTGAGGAAGTTC >mm10_chr10:21756185-21756196(-)::chr10:21756184-21756196(-) GCTAGGAAGTGT >mm10_chr10:21805434-21805445(+)::chr10:21805433-21805445(+) Tgaaggaaggag >mm10_chr10:21805671-21805682(+)::chr10:21805670-21805682(+) GGTAGGAAGGTA >mm10_chr10:21829251-21829262(+)::chr10:21829250-21829262(+) AAAAGGAAAGCG >mm10_chr10:21829300-21829311(-)::chr10:21829299-21829311(-) CGGAGGAAGGCC >mm10_chr10:21829308-21829319(+)::chr10:21829307-21829319(+) TCCGGGAAGTGG >mm10_chr10:21846735-21846746(-)::chr10:21846734-21846746(-) atcaggaaatga >mm10_chr10:21847157-21847168(+)::chr10:21847156-21847168(+) AGAAGGAATTAC >mm10_chr10:21847210-21847221(-)::chr10:21847209-21847221(-) AAAAGGAACTTG >mm10_chr10:21847233-21847244(+)::chr10:21847232-21847244(+) ATAAGGAAGAGA >mm10_chr10:21889611-21889622(-)::chr10:21889610-21889622(-) AAGAGGAAGTGT >mm10_chr10:21907260-21907271(+)::chr10:21907259-21907271(+) GTAAGGAAGTAA >mm10_chr10:21941384-21941395(-)::chr10:21941383-21941395(-) ATGAGGAAGGGC >mm10_chr10:21963448-21963459(+)::chr10:21963447-21963459(+) TCAAGGAAGTCA >mm10_chr10:21963471-21963482(+)::chr10:21963470-21963482(+) AACAGGAAATAT >mm10_chr10:21992322-21992333(-)::chr10:21992321-21992333(-) TGGAGGAAGAAC >mm10_chr10:21994610-21994621(-)::chr10:21994609-21994621(-) AGGAGGAAGCGG >mm10_chr10:22017473-22017484(+)::chr10:22017472-22017484(+) AGGAGGAAGTAC >mm10_chr10:22619043-22619054(+)::chr10:22619042-22619054(+) AAGAGGAAATGT >mm10_chr10:22700070-22700081(-)::chr10:22700069-22700081(-) AGTAGGAAGTGT >mm10_chr10:22701000-22701011(-)::chr10:22700999-22701011(-) GAGAGGAAGTGA >mm10_chr10:22701040-22701051(-)::chr10:22701039-22701051(-) GACAGGAAGCGT >mm10_chr10:22731535-22731546(+)::chr10:22731534-22731546(+) AGGCGGAAGTGG >mm10_chr10:23488851-23488862(+)::chr10:23488850-23488862(+) aggaggaagaag >mm10_chr10:23488872-23488883(+)::chr10:23488871-23488883(+) aagaggaagaag >mm10_chr10:23488907-23488918(+)::chr10:23488906-23488918(+) aggaggaagagg >mm10_chr10:23753507-23753518(+)::chr10:23753506-23753518(+) acgaggaaggaa >mm10_chr10:23753511-23753522(+)::chr10:23753510-23753522(+) ggaaggaaggag >mm10_chr10:23753518-23753529(+)::chr10:23753517-23753529(+) aggaggaagaag >mm10_chr10:23753530-23753541(+)::chr10:23753529-23753541(+) agaaggaaaggg >mm10_chr10:23753539-23753550(+)::chr10:23753538-23753550(+) gggaggaagtgg >mm10_chr10:23753563-23753574(+)::chr10:23753562-23753574(+) acaaggaaggga >mm10_chr10:23753571-23753582(+)::chr10:23753570-23753582(+) gggaggaagagg >mm10_chr10:23755620-23755631(-)::chr10:23755619-23755631(-) AGAAGCAAGTGG >mm10_chr10:23755627-23755638(-)::chr10:23755626-23755638(-) AATAGGAAGAAG >mm10_chr10:23787311-23787322(-)::chr10:23787310-23787322(-) AGGCGGAAGTGG >mm10_chr10:23788370-23788381(+)::chr10:23788369-23788381(+) TGCAGGAAGAAT >mm10_chr10:23788387-23788398(+)::chr10:23788386-23788398(+) GACAGGAAGAGA >mm10_chr10:23788400-23788411(+)::chr10:23788399-23788411(+) AGCAGGAAGGCA >mm10_chr10:23797894-23797905(-)::chr10:23797893-23797905(-) ATAAGGAAGTCT >mm10_chr10:24133300-24133311(-)::chr10:24133299-24133311(-) atggggaagtga >mm10_chr10:24133329-24133340(-)::chr10:24133328-24133340(-) CACAGGAAGTGG >mm10_chr10:24142822-24142833(+)::chr10:24142821-24142833(+) ATGAGGAAGTAG >mm10_chr10:24169077-24169088(-)::chr10:24169076-24169088(-) AAGAGGAAGAAA >mm10_chr10:24169083-24169094(-)::chr10:24169082-24169094(-) AAGAGGAAGAGG >mm10_chr10:24173417-24173428(+)::chr10:24173416-24173428(+) AGCAGGAAGTGG >mm10_chr10:24173490-24173501(-)::chr10:24173489-24173501(-) ACAAGCAAGTCA >mm10_chr10:24245431-24245442(-)::chr10:24245430-24245442(-) GGAAGCAAGTGA >mm10_chr10:24288792-24288803(+)::chr10:24288791-24288803(+) AGGAGGAAGAGG >mm10_chr10:24288798-24288809(+)::chr10:24288797-24288809(+) AAGAGGAAGAAG >mm10_chr10:24296788-24296799(-)::chr10:24296787-24296799(-) GAAGGGAAGTGG >mm10_chr10:24296793-24296804(-)::chr10:24296792-24296804(-) AGAAGGAAGGGA >mm10_chr10:24296812-24296823(-)::chr10:24296811-24296823(-) AGCAGGAAGAGG >mm10_chr10:24312650-24312661(+)::chr10:24312649-24312661(+) gagaggaagaag >mm10_chr10:24322276-24322287(+)::chr10:24322275-24322287(+) ggaaagaagtat >mm10_chr10:24343214-24343225(+)::chr10:24343213-24343225(+) AAACGGAAGATG >mm10_chr10:24343255-24343266(+)::chr10:24343254-24343266(+) CCAAGGAACtat >mm10_chr10:24343456-24343467(-)::chr10:24343455-24343467(-) ATGAGGAAATTA >mm10_chr10:24396970-24396981(+)::chr10:24396969-24396981(+) cggaggaagaaa >mm10_chr10:24396983-24396994(+)::chr10:24396982-24396994(+) aggaggaagagg >mm10_chr10:24396999-24397010(+)::chr10:24396998-24397010(+) gcaaggaagagg >mm10_chr10:24397014-24397025(+)::chr10:24397013-24397025(+) aggaggaagacg >mm10_chr10:24397023-24397034(+)::chr10:24397022-24397034(+) acgaggaagaAA >mm10_chr10:24408220-24408231(+)::chr10:24408219-24408231(+) AAAAGGAAGAAT >mm10_chr10:24434834-24434845(-)::chr10:24434833-24434845(-) agacggaaggag >mm10_chr10:24437409-24437420(+)::chr10:24437408-24437420(+) TGCAGGAAGCAG >mm10_chr10:24453706-24453717(+)::chr10:24453705-24453717(+) AACGGGAAGTAC >mm10_chr10:24453726-24453737(-)::chr10:24453725-24453737(-) TAAAGGAAATGA >mm10_chr10:24592037-24592048(-)::chr10:24592036-24592048(-) AGCAGGAAGTCA >mm10_chr10:24597582-24597593(+)::chr10:24597581-24597593(+) TACAGGAAGATG >mm10_chr10:24597613-24597624(+)::chr10:24597612-24597624(+) GCCAGGAAGTAA >mm10_chr10:24707989-24708000(-)::chr10:24707988-24708000(-) ACAAGGATATAT >mm10_chr10:24771896-24771907(+)::chr10:24771895-24771907(+) acaaggaaatgg >mm10_chr10:24771940-24771951(+)::chr10:24771939-24771951(+) acaaggaagtaa >mm10_chr10:24781537-24781548(-)::chr10:24781536-24781548(-) GGCAGGAAGCAT >mm10_chr10:24827835-24827846(-)::chr10:24827834-24827846(-) tcaaggaagagg >mm10_chr10:24836139-24836150(-)::chr10:24836138-24836150(-) AGAGGGAAGAAA >mm10_chr10:24836160-24836171(+)::chr10:24836159-24836171(+) AAAAGGAACTGT >mm10_chr10:24869973-24869984(+)::chr10:24869972-24869984(+) CGAAGGAAGTAA >mm10_chr10:24911445-24911456(+)::chr10:24911444-24911456(+) ATCAGGAAGAGG >mm10_chr10:24911456-24911467(-)::chr10:24911455-24911467(-) AGTAGGAAATGC >mm10_chr10:24911474-24911485(+)::chr10:24911473-24911485(+) GGCAGGAAGTGG >mm10_chr10:24922413-24922424(-)::chr10:24922412-24922424(-) AAAAGGATGTAT >mm10_chr10:24922460-24922471(+)::chr10:24922459-24922471(+) GTAAGGAAGTGA >mm10_chr10:24928151-24928162(-)::chr10:24928150-24928162(-) AACAGGAAGCGA >mm10_chr10:24930318-24930329(+)::chr10:24930317-24930329(+) ATAAGGAAGTCT >mm10_chr10:24930340-24930351(-)::chr10:24930339-24930351(-) GTTAGGAAGTGA >mm10_chr10:24951013-24951024(+)::chr10:24951012-24951024(+) ACAAGGAAGTGC >mm10_chr10:24954106-24954117(+)::chr10:24954105-24954117(+) GCCAGGAAGTAG >mm10_chr10:24959918-24959929(-)::chr10:24959917-24959929(-) ggaaggaagatt >mm10_chr10:24959922-24959933(-)::chr10:24959921-24959933(-) agaaggaaggaa >mm10_chr10:24965213-24965224(-)::chr10:24965212-24965224(-) AATAGGAAGTGG >mm10_chr10:24997901-24997912(+)::chr10:24997900-24997912(+) CCAAGGAAGCTG >mm10_chr10:25018454-25018465(-)::chr10:25018453-25018465(-) AGAAGGAAATAC >mm10_chr10:25040906-25040917(-)::chr10:25040905-25040917(-) aagaggaagaaA >mm10_chr10:25040912-25040923(-)::chr10:25040911-25040923(-) aagaggaagagg >mm10_chr10:25040918-25040929(-)::chr10:25040917-25040929(-) aagaggaagagg >mm10_chr10:25046329-25046340(+)::chr10:25046328-25046340(+) ACCAGGAAGCCA >mm10_chr10:25119267-25119278(+)::chr10:25119266-25119278(+) AAAAGGAAGGAG >mm10_chr10:25119323-25119334(+)::chr10:25119322-25119334(+) ATAAGGAAGGGG >mm10_chr10:25128492-25128503(-)::chr10:25128491-25128503(-) AACAGGAAGAGC >mm10_chr10:25131036-25131047(+)::chr10:25131035-25131047(+) GAGAGGAAGGAA >mm10_chr10:25131040-25131051(+)::chr10:25131039-25131051(+) GGAAGGAAGAGG >mm10_chr10:25154965-25154976(-)::chr10:25154964-25154976(-) CAGAGGAAGTCT >mm10_chr10:25200231-25200242(+)::chr10:25200230-25200242(+) CGAGGGAAGTGG >mm10_chr10:25257494-25257505(+)::chr10:25257493-25257505(+) ACAAGGAAAGAA >mm10_chr10:25284607-25284618(-)::chr10:25284606-25284618(-) AGGAGGAAGTTA >mm10_chr10:25284626-25284637(-)::chr10:25284625-25284637(-) AGGAGGAAGTGG >mm10_chr10:25331894-25331905(-)::chr10:25331893-25331905(-) TACAGGAAGAAA >mm10_chr10:25372354-25372365(-)::chr10:25372353-25372365(-) ACCAGGAAGACA >mm10_chr10:25378021-25378032(+)::chr10:25378020-25378032(+) AGAAGGAAGGAA >mm10_chr10:25378025-25378036(+)::chr10:25378024-25378036(+) GGAAGGAAATGC >mm10_chr10:25443754-25443765(+)::chr10:25443753-25443765(+) GTGAGGAAGGAC >mm10_chr10:25449542-25449553(-)::chr10:25449541-25449553(-) AATAGGAAGTAA >mm10_chr10:25449578-25449589(-)::chr10:25449577-25449589(-) GGCAGGAAGTCA >mm10_chr10:25453253-25453264(-)::chr10:25453252-25453264(-) CCGAGGAAGTCA >mm10_chr10:25707955-25707966(-)::chr10:25707954-25707966(-) ACCAGGAAGCGC >mm10_chr10:25707976-25707987(-)::chr10:25707975-25707987(-) AAGGGGAAGTAC >mm10_chr10:25711245-25711256(-)::chr10:25711244-25711256(-) atggggaagtta >mm10_chr10:25760920-25760931(+)::chr10:25760919-25760931(+) AGAAGGAAGAGG >mm10_chr10:25779431-25779442(-)::chr10:25779430-25779442(-) ACCAGGAAGCAG >mm10_chr10:25779444-25779455(-)::chr10:25779443-25779455(-) AACAGGAAGACA >mm10_chr10:25807438-25807449(-)::chr10:25807437-25807449(-) ATAGGGAAGAAC >mm10_chr10:25808517-25808528(-)::chr10:25808516-25808528(-) tggaggaaatac >mm10_chr10:25855070-25855081(-)::chr10:25855069-25855081(-) TGCAGGAAGATC >mm10_chr10:25855086-25855097(-)::chr10:25855085-25855097(-) AAAGGGAAGTAC >mm10_chr10:25873707-25873718(+)::chr10:25873706-25873718(+) gggaggaagtca >mm10_chr10:25873716-25873727(-)::chr10:25873715-25873727(-) ggaaggatgtga >mm10_chr10:25873720-25873731(-)::chr10:25873719-25873731(-) tcaaggaaggat >mm10_chr10:25873739-25873750(+)::chr10:25873738-25873750(+) tcaaggaaatat >mm10_chr10:25904759-25904770(+)::chr10:25904758-25904770(+) AAAATGAAGTAC >mm10_chr10:25914453-25914464(+)::chr10:25914452-25914464(+) cccaggaagtct >mm10_chr10:26194912-26194923(+)::chr10:26194911-26194923(+) aaaaggaactga >mm10_chr10:26194985-26194996(+)::chr10:26194984-26194996(+) aagaggaagatc >mm10_chr10:26259309-26259320(-)::chr10:26259308-26259320(-) AACAGGAAATGA >mm10_chr10:26345553-26345564(-)::chr10:26345552-26345564(-) GTCAGGAAGGAG >mm10_chr10:26364472-26364483(-)::chr10:26364471-26364483(-) GGCAGGAAGTTG >mm10_chr10:26364539-26364550(-)::chr10:26364538-26364550(-) TAGAGGAAGTAA >mm10_chr10:26760034-26760045(+)::chr10:26760033-26760045(+) AAAAGGAAGAGG >mm10_chr10:26769276-26769287(-)::chr10:26769275-26769287(-) TGGAGGAAGAAT >mm10_chr10:26769292-26769303(-)::chr10:26769291-26769303(-) CGGAGGAAATGC >mm10_chr10:26769350-26769361(+)::chr10:26769349-26769361(+) CAAAGGAAGACA >mm10_chr10:26772744-26772755(+)::chr10:26772743-26772755(+) ACAGGGAAGTTG >mm10_chr10:26777803-26777814(-)::chr10:26777802-26777814(-) TACAGGAAGTTT >mm10_chr10:26797515-26797526(+)::chr10:26797514-26797526(+) TAGAGGAAGTTG >mm10_chr10:26824232-26824243(+)::chr10:26824231-26824243(+) acaaggaagcac >mm10_chr10:26824242-26824253(-)::chr10:26824241-26824253(-) aaagggaagtgt >mm10_chr10:26824251-26824262(-)::chr10:26824250-26824262(-) gagaggaagaaa >mm10_chr10:26828597-26828608(+)::chr10:26828596-26828608(+) taaaggaagtga >mm10_chr10:26828622-26828633(+)::chr10:26828621-26828633(+) aacaggaagaca >mm10_chr10:26836714-26836725(+)::chr10:26836713-26836725(+) AAGAGGAAGGAA >mm10_chr10:26836718-26836729(+)::chr10:26836717-26836729(+) GGAAGGAAGAAA >mm10_chr10:26836736-26836747(+)::chr10:26836735-26836747(+) AGAAGGAACTAT >mm10_chr10:26842203-26842214(-)::chr10:26842202-26842214(-) aagaggaagaag >mm10_chr10:26842209-26842220(-)::chr10:26842208-26842220(-) aaaaggaagagg >mm10_chr10:26842215-26842226(-)::chr10:26842214-26842226(-) agaaggaaaagg >mm10_chr10:26842222-26842233(-)::chr10:26842221-26842233(-) aagaggaagaag >mm10_chr10:26842238-26842249(-)::chr10:26842237-26842249(-) aagaggaagtgg >mm10_chr10:26842254-26842265(-)::chr10:26842253-26842265(-) aggaggaaggag >mm10_chr10:26842265-26842276(-)::chr10:26842264-26842276(-) gggaggaagaca >mm10_chr10:26851528-26851539(-)::chr10:26851527-26851539(-) ACAAGGATGTAA >mm10_chr10:26856351-26856362(+)::chr10:26856350-26856362(+) AGTAGGAAGCAA >mm10_chr10:26982260-26982271(+)::chr10:26982259-26982271(+) gtgaggaaggaa >mm10_chr10:26982264-26982275(+)::chr10:26982263-26982275(+) ggaaggaacttc >mm10_chr10:26982269-26982280(-)::chr10:26982268-26982280(-) aggaggaagttc >mm10_chr10:26982301-26982312(-)::chr10:26982300-26982312(-) aggaggaaggag >mm10_chr10:27366246-27366257(-)::chr10:27366245-27366257(-) TTAAGGAAGCCT >mm10_chr10:27585727-27585738(+)::chr10:27585726-27585738(+) ggtaggaagtaa >mm10_chr10:27989190-27989201(+)::chr10:27989189-27989201(+) ACAAGGAAGTGG >mm10_chr10:28010752-28010763(+)::chr10:28010751-28010763(+) AAAAGGAAGTGT >mm10_chr10:28075739-28075750(-)::chr10:28075738-28075750(-) ACCGGGAAGTAG >mm10_chr10:28075763-28075774(-)::chr10:28075762-28075774(-) AGGAGGAAGGAG >mm10_chr10:28105388-28105399(-)::chr10:28105387-28105399(-) AGGAGGAAGGGA >mm10_chr10:28120790-28120801(-)::chr10:28120789-28120801(-) accaggaagaag >mm10_chr10:28171599-28171610(-)::chr10:28171598-28171610(-) TGGAGGAAGTTT >mm10_chr10:28174349-28174360(-)::chr10:28174348-28174360(-) AGTAGGAAGGAA >mm10_chr10:28174356-28174367(-)::chr10:28174355-28174367(-) GAGAGGAAGTAG >mm10_chr10:28425598-28425609(-)::chr10:28425597-28425609(-) aagaggaagcaa >mm10_chr10:28702965-28702976(-)::chr10:28702964-28702976(-) AGAAGGAAAAAA >mm10_chr10:28702972-28702983(-)::chr10:28702971-28702983(-) AGAAGGAAGAAG >mm10_chr10:28703002-28703013(-)::chr10:28703001-28703013(-) ATAAGGAAGGGG >mm10_chr10:28723544-28723555(+)::chr10:28723543-28723555(+) ttcaggaagttc >mm10_chr10:28985374-28985385(-)::chr10:28985373-28985385(-) AAACGGAAATAT >mm10_chr10:28986343-28986354(+)::chr10:28986342-28986354(+) AGGAGGAAGCCA >mm10_chr10:29060456-29060467(-)::chr10:29060455-29060467(-) AGCAGGAAGTTT >mm10_chr10:29088724-29088735(+)::chr10:29088723-29088735(+) TTAAGGAAGTAG >mm10_chr10:29205851-29205862(-)::chr10:29205850-29205862(-) CAAAGGAAGGTG >mm10_chr10:29218205-29218216(+)::chr10:29218204-29218216(+) ATAAGGAAAAGA >mm10_chr10:29218238-29218249(+)::chr10:29218237-29218249(+) ACAAGGAAAGAA >mm10_chr10:29285378-29285389(-)::chr10:29285377-29285389(-) gtcaggaagtaa >mm10_chr10:29285407-29285418(-)::chr10:29285406-29285418(-) ataaggaagtcc >mm10_chr10:29311927-29311938(-)::chr10:29311926-29311938(-) ACCAGGAAATCA >mm10_chr10:29313167-29313178(-)::chr10:29313166-29313178(-) ACCCGGAAGTCC >mm10_chr10:29328048-29328059(+)::chr10:29328047-29328059(+) atgaggaaatga >mm10_chr10:29334015-29334026(-)::chr10:29334014-29334026(-) TCCAGGAAGTCA >mm10_chr10:29357727-29357738(-)::chr10:29357726-29357738(-) GAAAGGAAATGA >mm10_chr10:29554249-29554260(-)::chr10:29554248-29554260(-) AAGAGGAAATAG >mm10_chr10:29778272-29778283(+)::chr10:29778271-29778283(+) GGCAGGAAGCCA >mm10_chr10:29804327-29804338(+)::chr10:29804326-29804338(+) TACAGGAAGAAA >mm10_chr10:29804367-29804378(-)::chr10:29804366-29804378(-) AGAAGGAAGCTC >mm10_chr10:29804387-29804398(-)::chr10:29804386-29804398(-) GGAAGGAAGAAG >mm10_chr10:29804391-29804402(-)::chr10:29804390-29804402(-) GTCAGGAAGGAA >mm10_chr10:29880067-29880078(-)::chr10:29880066-29880078(-) aataggaagtta >mm10_chr10:29904239-29904250(-)::chr10:29904238-29904250(-) AGAAGGAAGAGG >mm10_chr10:30089501-30089512(-)::chr10:30089500-30089512(-) ATAAGGAAGCTG >mm10_chr10:30371464-30371475(+)::chr10:30371463-30371475(+) aaaaggaaggaa >mm10_chr10:30371500-30371511(+)::chr10:30371499-30371511(+) agcaggaaatca >mm10_chr10:30380490-30380501(+)::chr10:30380489-30380501(+) aaaaggaagagg >mm10_chr10:30380511-30380522(+)::chr10:30380510-30380522(+) aggaggaagagg >mm10_chr10:30380517-30380528(+)::chr10:30380516-30380528(+) aagaggaagagA >mm10_chr10:30600759-30600770(+)::chr10:30600758-30600770(+) AAACGGAAGCAC >mm10_chr10:30616385-30616396(-)::chr10:30616384-30616396(-) gacaggaagatt >mm10_chr10:30624370-30624381(-)::chr10:30624369-30624381(-) TAGAGGAAGAGA >mm10_chr10:30630739-30630750(+)::chr10:30630738-30630750(+) aggaggaagaag >mm10_chr10:30630769-30630780(+)::chr10:30630768-30630780(+) aggaggaagaag >mm10_chr10:30630784-30630795(+)::chr10:30630783-30630795(+) aggaggaagagg >mm10_chr10:30639315-30639326(+)::chr10:30639314-30639326(+) ttaaggaaataa >mm10_chr10:30654367-30654378(-)::chr10:30654366-30654378(-) AAGAGGAAGAGG >mm10_chr10:30654376-30654387(-)::chr10:30654375-30654387(-) AAGAGGAAGAAG >mm10_chr10:30654382-30654393(-)::chr10:30654381-30654393(-) ACGAGGAAGAGG >mm10_chr10:30654407-30654418(-)::chr10:30654406-30654418(-) CGCAGGAAGAGC >mm10_chr10:30655831-30655842(-)::chr10:30655830-30655842(-) TGCAGGAAGCTA >mm10_chr10:30656777-30656788(-)::chr10:30656776-30656788(-) TACAGGAAGTGC >mm10_chr10:30662485-30662496(-)::chr10:30662484-30662496(-) GCCAGGAAGGAA >mm10_chr10:30678362-30678373(+)::chr10:30678361-30678373(+) ATAAGGAAGCAA >mm10_chr10:30784947-30784958(+)::chr10:30784946-30784958(+) aaaaggaagaag >mm10_chr10:30784979-30784990(+)::chr10:30784978-30784990(+) aggaggaagagg >mm10_chr10:30784985-30784996(+)::chr10:30784984-30784996(+) aagaggaagagg >mm10_chr10:30825343-30825354(-)::chr10:30825342-30825354(-) ggaaggaaggag >mm10_chr10:30825347-30825358(-)::chr10:30825346-30825358(-) ggaaggaaggaa >mm10_chr10:30857435-30857446(+)::chr10:30857434-30857446(+) agaaggaagtca >mm10_chr10:31047273-31047284(+)::chr10:31047272-31047284(+) TAAAGGAAGTTC >mm10_chr10:31047290-31047301(+)::chr10:31047289-31047301(+) TAAAGGAAGGAA >mm10_chr10:31047294-31047305(+)::chr10:31047293-31047305(+) GGAAGGAAGGAA >mm10_chr10:31128088-31128099(+)::chr10:31128087-31128099(+) AGCAGGAAATGG >mm10_chr10:31128174-31128185(-)::chr10:31128173-31128185(-) GCAAGGAAGTTG >mm10_chr10:31151999-31152010(-)::chr10:31151998-31152010(-) AGCAGGAAGGAT >mm10_chr10:31152006-31152017(-)::chr10:31152005-31152017(-) AGCAGGAAGCAG >mm10_chr10:31152013-31152024(-)::chr10:31152012-31152024(-) AAGAGGAAGCAG >mm10_chr10:31173083-31173094(+)::chr10:31173082-31173094(+) GAGAGGAAGAGG >mm10_chr10:31173139-31173150(-)::chr10:31173138-31173150(-) GGCAGGAAGCCA >mm10_chr10:31220750-31220761(-)::chr10:31220749-31220761(-) GAAAGGAAATCC >mm10_chr10:31312886-31312897(-)::chr10:31312885-31312897(-) AGCAGGAAATGG >mm10_chr10:31390876-31390887(-)::chr10:31390875-31390887(-) AGAAGGAAGTTC >mm10_chr10:31402623-31402634(-)::chr10:31402622-31402634(-) ATCAGGAAGAGG >mm10_chr10:31402688-31402699(-)::chr10:31402687-31402699(-) GAACGGAAGCGG >mm10_chr10:31402696-31402707(-)::chr10:31402695-31402707(-) TGGAGGAAGAAC >mm10_chr10:31445432-31445443(+)::chr10:31445431-31445443(+) ACGAGGAAGTAT >mm10_chr10:31447918-31447929(+)::chr10:31447917-31447929(+) aagaggaagaga >mm10_chr10:31447938-31447949(+)::chr10:31447937-31447949(+) aagaggaagaaa >mm10_chr10:31447962-31447973(+)::chr10:31447961-31447973(+) gggagGAAGGAA >mm10_chr10:31447985-31447996(+)::chr10:31447984-31447996(+) agaaggaaggat >mm10_chr10:31518297-31518308(-)::chr10:31518296-31518308(-) AGCAGGAAATGA >mm10_chr10:31606105-31606116(-)::chr10:31606104-31606116(-) cataggaagtgt >mm10_chr10:31606146-31606157(+)::chr10:31606145-31606157(+) aggaggaaggag >mm10_chr10:31606174-31606185(-)::chr10:31606173-31606185(-) tagaggaagata >mm10_chr10:31827148-31827159(-)::chr10:31827147-31827159(-) gacaggaagtaa >mm10_chr10:31957226-31957237(+)::chr10:31957225-31957237(+) AACAGGAAGTCT >mm10_chr10:31993403-31993414(-)::chr10:31993402-31993414(-) AGAAGGCAGTTG >mm10_chr10:32026652-32026663(-)::chr10:32026651-32026663(-) AAAAGAAAGTGA >mm10_chr10:32058487-32058498(+)::chr10:32058486-32058498(+) tagaggaagttc >mm10_chr10:32077951-32077962(-)::chr10:32077950-32077962(-) TCCAGGAAGTTA >mm10_chr10:32088246-32088257(+)::chr10:32088245-32088257(+) AGAAGGAAGTGA >mm10_chr10:32953533-32953544(-)::chr10:32953532-32953544(-) atgaggaagagc >mm10_chr10:32980823-32980834(-)::chr10:32980822-32980834(-) aacaggaagatg >mm10_chr10:34004222-34004233(-)::chr10:34004221-34004233(-) gacaggaaggat >mm10_chr10:34046953-34046964(+)::chr10:34046952-34046964(+) agaaggaagaga >mm10_chr10:34048967-34048978(+)::chr10:34048966-34048978(+) ATGAGGAAGAAA >mm10_chr10:34063186-34063197(+)::chr10:34063185-34063197(+) GCAAGGAAGCAA >mm10_chr10:34063216-34063227(-)::chr10:34063215-34063227(-) AGGAGGAAGCTG >mm10_chr10:34063228-34063239(-)::chr10:34063227-34063239(-) CTAAGGAAGAAA >mm10_chr10:34089540-34089551(+)::chr10:34089539-34089551(+) ATGAGGAAGAGG >mm10_chr10:34096578-34096589(+)::chr10:34096577-34096589(+) ATAAGGAAACAA >mm10_chr10:34183663-34183674(+)::chr10:34183662-34183674(+) TACAGGAAGAAG >mm10_chr10:34183670-34183681(+)::chr10:34183669-34183681(+) AGAAGGAAAGAC >mm10_chr10:34222389-34222400(-)::chr10:34222388-34222400(-) TTCAGGAAGTCA >mm10_chr10:34282109-34282120(+)::chr10:34282108-34282120(+) ATACGGAAGTCA >mm10_chr10:34297421-34297432(+)::chr10:34297420-34297432(+) AGAAGGAAAAGG >mm10_chr10:34339998-34340009(-)::chr10:34339997-34340009(-) AAAAGGAATTGG >mm10_chr10:34369274-34369285(+)::chr10:34369273-34369285(+) GCAAGAAAGTAT >mm10_chr10:34369312-34369323(+)::chr10:34369311-34369323(+) GGAAGGAAGAAC >mm10_chr10:34418288-34418299(-)::chr10:34418287-34418299(-) GGGCGGAAGTGT >mm10_chr10:34454006-34454017(-)::chr10:34454005-34454017(-) ATGAGGAAATTA >mm10_chr10:34483420-34483431(-)::chr10:34483419-34483431(-) AAAAGGAACTCC >mm10_chr10:34483449-34483460(+)::chr10:34483448-34483460(+) ACCAGGAAGTTA >mm10_chr10:34483748-34483759(+)::chr10:34483747-34483759(+) GTCAGGAAGAAG >mm10_chr10:34511341-34511352(+)::chr10:34511340-34511352(+) ATGAGGAAGTAG >mm10_chr10:34532734-34532745(-)::chr10:34532733-34532745(-) agaaggaactgg >mm10_chr10:34532777-34532788(+)::chr10:34532776-34532788(+) atgaggaagtag >mm10_chr10:34615320-34615331(-)::chr10:34615319-34615331(-) ATAAGGAAGCAG >mm10_chr10:34615346-34615357(-)::chr10:34615345-34615357(-) TGAAGGAAGATC >mm10_chr10:34615579-34615590(+)::chr10:34615578-34615590(+) TGCAGGAAATGG >mm10_chr10:34615606-34615617(+)::chr10:34615605-34615617(+) TGAAGGAAGAGG >mm10_chr10:34792285-34792296(+)::chr10:34792284-34792296(+) ATAAGGAAGAGG >mm10_chr10:36629945-36629956(+)::chr10:36629944-36629956(+) AACAGGAAGAAC >mm10_chr10:36653026-36653037(+)::chr10:36653025-36653037(+) TACAGGAAGAAC >mm10_chr10:36894272-36894283(-)::chr10:36894271-36894283(-) agaaggaactag >mm10_chr10:36897965-36897976(-)::chr10:36897964-36897976(-) ACAGGGAAGTTG >mm10_chr10:36911751-36911762(-)::chr10:36911750-36911762(-) AGCAGGAAGCAG >mm10_chr10:36911758-36911769(-)::chr10:36911757-36911769(-) TACAGGAAGCAG >mm10_chr10:36911779-36911790(-)::chr10:36911778-36911790(-) AAAAGAAAGTGC >mm10_chr10:36916985-36916996(+)::chr10:36916984-36916996(+) TATAGGAAGTGG >mm10_chr10:36917010-36917021(+)::chr10:36917009-36917021(+) TACAGGAAGTGG >mm10_chr10:37078690-37078701(-)::chr10:37078689-37078701(-) AGCAGGAAGCAG >mm10_chr10:37125627-37125638(-)::chr10:37125626-37125638(-) ccaaggacgtga >mm10_chr10:37134321-37134332(-)::chr10:37134320-37134332(-) ATAAGGAAGTAA >mm10_chr10:37209816-37209827(+)::chr10:37209815-37209827(+) TGTAGGAAGTGT >mm10_chr10:37209856-37209867(-)::chr10:37209855-37209867(-) TGAAGGAAGAAT >mm10_chr10:37236209-37236220(-)::chr10:37236208-37236220(-) TTAGGGAAGTGG >mm10_chr10:37323202-37323213(-)::chr10:37323201-37323213(-) GGCAGGAAGTCC >mm10_chr10:37337508-37337519(-)::chr10:37337507-37337519(-) TACAGGAAGTAA >mm10_chr10:37364723-37364734(-)::chr10:37364722-37364734(-) AAGGGGAAGTTA >mm10_chr10:37387800-37387811(+)::chr10:37387799-37387811(+) acaaggaagctg >mm10_chr10:37387846-37387857(+)::chr10:37387845-37387857(+) aaaaggaagaac >mm10_chr10:37504649-37504660(+)::chr10:37504648-37504660(+) ACAAGGAAGTTT >mm10_chr10:37688361-37688372(+)::chr10:37688360-37688372(+) ACAAGGAACTCT >mm10_chr10:37688398-37688409(-)::chr10:37688397-37688409(-) ATCAGGAAGTCC >mm10_chr10:37837796-37837807(+)::chr10:37837795-37837807(+) AGTAGGAAGTGC >mm10_chr10:37866341-37866352(+)::chr10:37866340-37866352(+) aggaggaaggag >mm10_chr10:37866362-37866373(+)::chr10:37866361-37866373(+) aagaggaagaag >mm10_chr10:37866383-37866394(+)::chr10:37866382-37866394(+) aggaggaaggaC >mm10_chr10:38785446-38785457(+)::chr10:38785445-38785457(+) cataggaagtgg >mm10_chr10:38805295-38805306(-)::chr10:38805294-38805306(-) TCCAGGAAGTAG >mm10_chr10:38880174-38880185(+)::chr10:38880173-38880185(+) aggaggaagtgg >mm10_chr10:38880198-38880209(+)::chr10:38880197-38880209(+) aggaggaagagg >mm10_chr10:38880219-38880230(+)::chr10:38880218-38880230(+) aggaggaagagg >mm10_chr10:38905724-38905735(-)::chr10:38905723-38905735(-) GGAAGGAAGGAT >mm10_chr10:38905728-38905739(-)::chr10:38905727-38905739(-) ACAAGGAAGGAA >mm10_chr10:38914008-38914019(+)::chr10:38914007-38914019(+) ACAGGGAAGTGA >mm10_chr10:38964916-38964927(+)::chr10:38964915-38964927(+) AAGAGGAAGAGG >mm10_chr10:38981027-38981038(+)::chr10:38981026-38981038(+) AGCAGGAAGTTT >mm10_chr10:39023766-39023777(-)::chr10:39023765-39023777(-) GGCAGGAAGAGG >mm10_chr10:39122496-39122507(-)::chr10:39122495-39122507(-) AGCAGGAAGACT >mm10_chr10:39122515-39122526(-)::chr10:39122514-39122526(-) AAGAGGAAATGG >mm10_chr10:39134182-39134193(-)::chr10:39134181-39134193(-) GCGAGGAAGAGA >mm10_chr10:39155276-39155287(-)::chr10:39155275-39155287(-) TTAAGGAATTAA >mm10_chr10:39155298-39155309(-)::chr10:39155297-39155309(-) TGAAGGAAATTC >mm10_chr10:39172310-39172321(-)::chr10:39172309-39172321(-) CAAAGGAAGATG >mm10_chr10:39172782-39172793(-)::chr10:39172781-39172793(-) GAAAGGAAGTCT >mm10_chr10:39233789-39233800(+)::chr10:39233788-39233800(+) gccaggaagcaa >mm10_chr10:39239045-39239056(+)::chr10:39239044-39239056(+) GACAGGAAGTTT >mm10_chr10:39247046-39247057(+)::chr10:39247045-39247057(+) ttaaggaagcca >mm10_chr10:39253334-39253345(+)::chr10:39253333-39253345(+) TCAGGGAAGTTG >mm10_chr10:39253373-39253384(-)::chr10:39253372-39253384(-) CGGAGGAAGAGA >mm10_chr10:39253406-39253417(-)::chr10:39253405-39253417(-) AGAAGGAAGAAG >mm10_chr10:39266719-39266730(+)::chr10:39266718-39266730(+) AGGAGGAAGAGC >mm10_chr10:39266746-39266757(+)::chr10:39266745-39266757(+) GACAGGAAGGGA >mm10_chr10:39291584-39291595(-)::chr10:39291583-39291595(-) GAAAGGAAGTGA >mm10_chr10:39292908-39292919(-)::chr10:39292907-39292919(-) aggaggaagctg >mm10_chr10:39317735-39317746(+)::chr10:39317734-39317746(+) aggaggaagagt >mm10_chr10:39317750-39317761(+)::chr10:39317749-39317761(+) agaaggaagagg >mm10_chr10:39317756-39317767(+)::chr10:39317755-39317767(+) aagaggaagagg >mm10_chr10:39317768-39317779(+)::chr10:39317767-39317779(+) aggaggaagagg >mm10_chr10:39338041-39338052(+)::chr10:39338040-39338052(+) AACAGGAAGGAG >mm10_chr10:39451129-39451140(-)::chr10:39451128-39451140(-) ATAAGGAAGAGC >mm10_chr10:39451160-39451171(-)::chr10:39451159-39451171(-) ATAGGGAAGGTA >mm10_chr10:39538384-39538395(+)::chr10:39538383-39538395(+) GTAAGGAAGTTC >mm10_chr10:39538415-39538426(-)::chr10:39538414-39538426(-) CTAAGGAAGGGA >mm10_chr10:39551898-39551909(+)::chr10:39551897-39551909(+) GGCAGGAAGATG >mm10_chr10:39559478-39559489(+)::chr10:39559477-39559489(+) TGAAGGAAGAGT >mm10_chr10:39559514-39559525(+)::chr10:39559513-39559525(+) AGAAGGAAGCCA >mm10_chr10:39559561-39559572(+)::chr10:39559560-39559572(+) GTCAGGAAGTGA >mm10_chr10:39586884-39586895(-)::chr10:39586883-39586895(-) ATGAGGAAGTGT >mm10_chr10:39613210-39613221(-)::chr10:39613209-39613221(-) AGCAGGAAGTGG >mm10_chr10:39626081-39626092(+)::chr10:39626080-39626092(+) TGGAGGAAGGTG >mm10_chr10:39626974-39626985(-)::chr10:39626973-39626985(-) AAAAGGAAGAAC >mm10_chr10:39645969-39645980(+)::chr10:39645968-39645980(+) GGCAGGAAATAA >mm10_chr10:39646804-39646815(-)::chr10:39646803-39646815(-) AGCAGGAAGCAT >mm10_chr10:39647635-39647646(+)::chr10:39647634-39647646(+) TGGAGGAAATAT >mm10_chr10:39659673-39659684(+)::chr10:39659672-39659684(+) GGGAGGAAGGAG >mm10_chr10:39672737-39672748(-)::chr10:39672736-39672748(-) TACAGGAAATGC >mm10_chr10:39732375-39732386(+)::chr10:39732374-39732386(+) AGGAGGAAGGGG >mm10_chr10:39793562-39793573(+)::chr10:39793561-39793573(+) TGAAGGAACTAG >mm10_chr10:39850234-39850245(-)::chr10:39850233-39850245(-) gtgaggaagtct >mm10_chr10:39863872-39863883(+)::chr10:39863871-39863883(+) CAGAGGAAGTTT >mm10_chr10:39891974-39891985(-)::chr10:39891973-39891985(-) ACAAGGAAGAGA >mm10_chr10:39926368-39926379(+)::chr10:39926367-39926379(+) ACCAGGAAGAGG >mm10_chr10:39955478-39955489(+)::chr10:39955477-39955489(+) aataggaagtat >mm10_chr10:39960224-39960235(+)::chr10:39960223-39960235(+) AAGAGGAAGACG >mm10_chr10:39976577-39976588(+)::chr10:39976576-39976588(+) agaaggaaaatg >mm10_chr10:39976617-39976628(+)::chr10:39976616-39976628(+) aggaggaaatca >mm10_chr10:39986078-39986089(-)::chr10:39986077-39986089(-) AGTAGGAAGTGA >mm10_chr10:40082871-40082882(+)::chr10:40082870-40082882(+) ACACGGAAGAGC >mm10_chr10:40095541-40095552(-)::chr10:40095540-40095552(-) AACAGGAAGTTT >mm10_chr10:40096280-40096291(-)::chr10:40096279-40096291(-) AGAAGGAAGGTT >mm10_chr10:40096303-40096314(+)::chr10:40096302-40096314(+) ATCAGGAAGTAC >mm10_chr10:40152111-40152122(-)::chr10:40152110-40152122(-) ATAAGGAAATAA >mm10_chr10:40152667-40152678(-)::chr10:40152666-40152678(-) GTAAGCAAGTGA >mm10_chr10:40247012-40247023(-)::chr10:40247011-40247023(-) TCCCGGAAGTAA >mm10_chr10:40247051-40247062(+)::chr10:40247050-40247062(+) TGCCGGAAGTGC >mm10_chr10:40349340-40349351(+)::chr10:40349339-40349351(+) ACAAGGAAATGA >mm10_chr10:40363280-40363291(+)::chr10:40363279-40363291(+) AGCAGGAAGGAA >mm10_chr10:40365821-40365832(+)::chr10:40365820-40365832(+) aacaggaagagg >mm10_chr10:40365827-40365838(+)::chr10:40365826-40365838(+) aagaggaagagg >mm10_chr10:40365840-40365851(+)::chr10:40365839-40365851(+) aaaaggaaggaa >mm10_chr10:40365863-40365874(+)::chr10:40365862-40365874(+) gaaaggaagagg >mm10_chr10:40387450-40387461(-)::chr10:40387449-40387461(-) TGGAGGAAGCGC >mm10_chr10:40442327-40442338(-)::chr10:40442326-40442338(-) ttagggaagtca >mm10_chr10:40472780-40472791(-)::chr10:40472779-40472791(-) ACAAGGAAAAGG >mm10_chr10:40472804-40472815(-)::chr10:40472803-40472815(-) GGAAGGAAGAAC >mm10_chr10:40476727-40476738(-)::chr10:40476726-40476738(-) ATAAGGAAGGAG >mm10_chr10:40476784-40476795(+)::chr10:40476783-40476795(+) GTAAGGAAGAGG >mm10_chr10:40631147-40631158(-)::chr10:40631146-40631158(-) AACAGGAAGAAA >mm10_chr10:40636116-40636127(+)::chr10:40636115-40636127(+) ACAAGGAAAAAG >mm10_chr10:40636146-40636157(-)::chr10:40636145-40636157(-) AGGAGGAAGTAT >mm10_chr10:40683825-40683836(+)::chr10:40683824-40683836(+) AGAATGAAGTGG >mm10_chr10:40806973-40806984(-)::chr10:40806972-40806984(-) GGAAGGAAGTCA >mm10_chr10:40806977-40806988(-)::chr10:40806976-40806988(-) GGAAGGAAGGAA >mm10_chr10:40806981-40806992(-)::chr10:40806980-40806992(-) ACAAGGAAGGAA >mm10_chr10:40806997-40807008(-)::chr10:40806996-40807008(-) ATGAGGAAATAA >mm10_chr10:40851248-40851259(-)::chr10:40851247-40851259(-) aggaggaagagg >mm10_chr10:40851275-40851286(-)::chr10:40851274-40851286(-) aggaggaagaag >mm10_chr10:40851304-40851315(+)::chr10:40851303-40851315(+) CCCAGGAAGTAA >mm10_chr10:40855779-40855790(+)::chr10:40855778-40855790(+) AGCAGGAAGCAG >mm10_chr10:40855790-40855801(+)::chr10:40855789-40855801(+) GACAGGAAGAGA >mm10_chr10:40883166-40883177(-)::chr10:40883165-40883177(-) TAAAGGAGGTAA >mm10_chr10:41014437-41014448(-)::chr10:41014436-41014448(-) TTCAGGAAGTGT >mm10_chr10:41014449-41014460(-)::chr10:41014448-41014460(-) AAGAGGAAGTGT >mm10_chr10:41026245-41026256(-)::chr10:41026244-41026256(-) aggaggaagaCA >mm10_chr10:41026254-41026265(-)::chr10:41026253-41026265(-) aagaggaagagg >mm10_chr10:41026260-41026271(-)::chr10:41026259-41026271(-) aagaggaagagg >mm10_chr10:41026266-41026277(-)::chr10:41026265-41026277(-) aagaggaagagg >mm10_chr10:41028895-41028906(+)::chr10:41028894-41028906(+) agaaggaagtcc >mm10_chr10:41291950-41291961(+)::chr10:41291949-41291961(+) TGGAGGAAGAAC >mm10_chr10:41340951-41340962(+)::chr10:41340950-41340962(+) AAGAGGAAATGA >mm10_chr10:41347331-41347342(+)::chr10:41347330-41347342(+) ggcaggaagaag >mm10_chr10:41347338-41347349(+)::chr10:41347337-41347349(+) agaaggaaggaa >mm10_chr10:41347342-41347353(+)::chr10:41347341-41347353(+) ggaaggaagaag >mm10_chr10:41406919-41406930(+)::chr10:41406918-41406930(+) ATGAGGAAGAGA >mm10_chr10:41406949-41406960(+)::chr10:41406948-41406960(+) AACAGGAAGCAG >mm10_chr10:41454425-41454436(-)::chr10:41454424-41454436(-) ACGAGGAAGTCC >mm10_chr10:41454470-41454481(+)::chr10:41454469-41454481(+) TCCAGGAAATAC >mm10_chr10:41518872-41518883(+)::chr10:41518871-41518883(+) ACAAGGAAGCGT >mm10_chr10:41523398-41523409(-)::chr10:41523397-41523409(-) AGCAGGAAATGA >mm10_chr10:41543586-41543597(+)::chr10:41543585-41543597(+) GACAGGAAATAC >mm10_chr10:41551604-41551615(+)::chr10:41551603-41551615(+) aggaggaagaaa >mm10_chr10:41565141-41565152(+)::chr10:41565140-41565152(+) ACCAGGAAGCTA >mm10_chr10:41584724-41584735(-)::chr10:41584723-41584735(-) agaaggaagagg >mm10_chr10:41595793-41595804(-)::chr10:41595792-41595804(-) GAGAGGAAGTTG >mm10_chr10:41595856-41595867(-)::chr10:41595855-41595867(-) AACAGGAAGGAC >mm10_chr10:41599483-41599494(-)::chr10:41599482-41599494(-) GGTAGGAAGAAG >mm10_chr10:41639715-41639726(-)::chr10:41639714-41639726(-) acaaggtagtca >mm10_chr10:41688893-41688904(-)::chr10:41688892-41688904(-) GAGAGGAAGGGT >mm10_chr10:41688957-41688968(+)::chr10:41688956-41688968(+) AGAaggaaggga >mm10_chr10:41688978-41688989(+)::chr10:41688977-41688989(+) ggaaggaagcag >mm10_chr10:41707101-41707112(-)::chr10:41707100-41707112(-) gggaggaaggca >mm10_chr10:41707112-41707123(-)::chr10:41707111-41707123(-) agcaggaactag >mm10_chr10:41707135-41707146(-)::chr10:41707134-41707146(-) tgagggaagtcg >mm10_chr10:41740342-41740353(-)::chr10:41740341-41740353(-) GTAAGAAAGTAA >mm10_chr10:41740409-41740420(-)::chr10:41740408-41740420(-) TTCAGGAAGTCA >mm10_chr10:41763841-41763852(+)::chr10:41763840-41763852(+) ataaggaagtaa >mm10_chr10:41763889-41763900(+)::chr10:41763888-41763900(+) gcagggaagtag >mm10_chr10:41834360-41834371(-)::chr10:41834359-41834371(-) agcaggaagtgc >mm10_chr10:41870870-41870881(-)::chr10:41870869-41870881(-) GGAAGGAAGGAC >mm10_chr10:42089303-42089314(-)::chr10:42089302-42089314(-) AAAAGGAAGCAA >mm10_chr10:42092684-42092695(-)::chr10:42092683-42092695(-) ttcaggaagtgc >mm10_chr10:42093747-42093758(-)::chr10:42093746-42093758(-) agaaggaaggaa >mm10_chr10:42131379-42131390(+)::chr10:42131378-42131390(+) CCCAGGAAGTAA >mm10_chr10:42131422-42131433(+)::chr10:42131421-42131433(+) GGCAGGAAGAAG >mm10_chr10:42140863-42140874(+)::chr10:42140862-42140874(+) TTAAGGAACTGT >mm10_chr10:42150086-42150097(+)::chr10:42150085-42150097(+) AGAAGGAAGTAG >mm10_chr10:42165924-42165935(-)::chr10:42165923-42165935(-) ACCAGGAAGTAT >mm10_chr10:42165956-42165967(+)::chr10:42165955-42165967(+) AGCAGGAAGAAG >mm10_chr10:42165969-42165980(+)::chr10:42165968-42165980(+) AGTAGGAAGCCG >mm10_chr10:42168277-42168288(+)::chr10:42168276-42168288(+) GGCAGGAAGAAG >mm10_chr10:42178537-42178548(-)::chr10:42178536-42178548(-) ACAAGGAAGTCC >mm10_chr10:42205349-42205360(-)::chr10:42205348-42205360(-) GGGAGGAAGAGG >mm10_chr10:42206731-42206742(+)::chr10:42206730-42206742(+) TGAAGGAAGAAC >mm10_chr10:42206803-42206814(+)::chr10:42206802-42206814(+) AGCAGGAAGCTG >mm10_chr10:42216402-42216413(+)::chr10:42216401-42216413(+) GGGAGGAAGTCT >mm10_chr10:42235013-42235024(+)::chr10:42235012-42235024(+) ATAAGGAAGTCA >mm10_chr10:42251456-42251467(+)::chr10:42251455-42251467(+) GAAAGGAAGTAA >mm10_chr10:42258178-42258189(+)::chr10:42258177-42258189(+) GATAGGAAGAGG >mm10_chr10:42258213-42258224(-)::chr10:42258212-42258224(-) GGAAGGAACTGG >mm10_chr10:42258217-42258228(-)::chr10:42258216-42258228(-) AAGAGGAAGGAA >mm10_chr10:42273863-42273874(+)::chr10:42273862-42273874(+) TGCAGGAAGTCA >mm10_chr10:42276242-42276253(+)::chr10:42276241-42276253(+) AGGAGGAAGCAA >mm10_chr10:42276246-42276257(+)::chr10:42276245-42276257(+) GGAAGCAAGTGG >mm10_chr10:42301062-42301073(-)::chr10:42301061-42301073(-) GGCAGGAAGGGC >mm10_chr10:42301089-42301100(-)::chr10:42301088-42301100(-) AAGAGGAAGATC >mm10_chr10:42301095-42301106(-)::chr10:42301094-42301106(-) CCAAGGAAGAGG >mm10_chr10:42464398-42464409(+)::chr10:42464397-42464409(+) gtcaggaagtta >mm10_chr10:42464407-42464418(+)::chr10:42464406-42464418(+) ttaaggaagggg >mm10_chr10:42479762-42479773(+)::chr10:42479761-42479773(+) AACAGGAAATGG >mm10_chr10:42483370-42483381(-)::chr10:42483369-42483381(-) ACAGGGAAGTTC >mm10_chr10:42501847-42501858(-)::chr10:42501846-42501858(-) TGAAGGCAGTCG >mm10_chr10:42504488-42504499(-)::chr10:42504487-42504499(-) GACAGGAAGCAG >mm10_chr10:42504521-42504532(-)::chr10:42504520-42504532(-) TGGAGGAAGTGA >mm10_chr10:42524171-42524182(+)::chr10:42524170-42524182(+) AGCAGGAAGTGC >mm10_chr10:42531224-42531235(+)::chr10:42531223-42531235(+) AGGAGGAAGCAC >mm10_chr10:42554440-42554451(-)::chr10:42554439-42554451(-) acaaggaagtag >mm10_chr10:42784711-42784722(-)::chr10:42784710-42784722(-) ataaggtagtga >mm10_chr10:42799428-42799439(+)::chr10:42799427-42799439(+) aaaaGGAAGAAG >mm10_chr10:42828964-42828975(+)::chr10:42828963-42828975(+) ACCAGGAAGACA >mm10_chr10:42829009-42829020(+)::chr10:42829008-42829020(+) AGGAGGAAGAGG >mm10_chr10:42849397-42849408(+)::chr10:42849396-42849408(+) ATGAGGAAGCAG >mm10_chr10:42860336-42860347(+)::chr10:42860335-42860347(+) ACAAGGAAGTAA >mm10_chr10:42863176-42863187(+)::chr10:42863175-42863187(+) AGGAGGAAGACA >mm10_chr10:42868183-42868194(-)::chr10:42868182-42868194(-) AAGAGGAAGAGA >mm10_chr10:42887128-42887139(-)::chr10:42887127-42887139(-) AGCAGGAAGAAG >mm10_chr10:42888484-42888495(+)::chr10:42888483-42888495(+) AACAGGAAGGGG >mm10_chr10:42891434-42891445(-)::chr10:42891433-42891445(-) AGGAGGAAGGAC >mm10_chr10:42891441-42891452(-)::chr10:42891440-42891452(-) GAGAGGAAGGAG >mm10_chr10:42892398-42892409(-)::chr10:42892397-42892409(-) GTAAGGACGTCT >mm10_chr10:42894168-42894179(-)::chr10:42894167-42894179(-) TTAAGGAAGAGA >mm10_chr10:42908786-42908797(+)::chr10:42908785-42908797(+) GGCAGGAAGTAG >mm10_chr10:42908834-42908845(+)::chr10:42908833-42908845(+) AAAAGGAGGTAA >mm10_chr10:42931543-42931554(-)::chr10:42931542-42931554(-) TTAAGGAAGGGC >mm10_chr10:42933159-42933170(-)::chr10:42933158-42933170(-) GGAAGGAAGGCT >mm10_chr10:42942059-42942070(+)::chr10:42942058-42942070(+) GGAAGGAAGGTG >mm10_chr10:42997914-42997925(-)::chr10:42997913-42997925(-) AACAGGAAGAGT >mm10_chr10:42997925-42997936(+)::chr10:42997924-42997936(+) TCCAGGAAATAT >mm10_chr10:43002570-43002581(-)::chr10:43002569-43002581(-) TGCAGGAAGCAG >mm10_chr10:43252501-43252512(-)::chr10:43252500-43252512(-) tacaggaagaca >mm10_chr10:43271164-43271175(-)::chr10:43271163-43271175(-) ggaaggaaggaa >mm10_chr10:43271168-43271179(-)::chr10:43271167-43271179(-) ggaaggaaggaa >mm10_chr10:43271172-43271183(-)::chr10:43271171-43271183(-) ggaaggaaggaa >mm10_chr10:43271176-43271187(-)::chr10:43271175-43271187(-) tgaaggaaggaa >mm10_chr10:43271188-43271199(-)::chr10:43271187-43271199(-) ggaaggaaggaa >mm10_chr10:43271192-43271203(-)::chr10:43271191-43271203(-) agaaggaaggaa >mm10_chr10:43468100-43468111(-)::chr10:43468099-43468111(-) GAGAGGAAGTCA >mm10_chr10:43475544-43475555(+)::chr10:43475543-43475555(+) ataaggaagttc >mm10_chr10:43489724-43489735(+)::chr10:43489723-43489735(+) ACCGGGAAGTGG >mm10_chr10:43492215-43492226(-)::chr10:43492214-43492226(-) AGAAGGAAATCC >mm10_chr10:43492227-43492238(-)::chr10:43492226-43492238(-) ACACGGAAGGAC >mm10_chr10:43492245-43492256(+)::chr10:43492244-43492256(+) TGAAGGAAGCAC >mm10_chr10:43526990-43527001(+)::chr10:43526989-43527001(+) aggaggaagagg >mm10_chr10:43527007-43527018(+)::chr10:43527006-43527018(+) aagaggaaggag >mm10_chr10:43527043-43527054(+)::chr10:43527042-43527054(+) GAAAGGAAGGAA >mm10_chr10:43527047-43527058(+)::chr10:43527046-43527058(+) GGAAGGAAGTCA >mm10_chr10:43577111-43577122(+)::chr10:43577110-43577122(+) ACGGGGAAGGTA >mm10_chr10:43577611-43577622(+)::chr10:43577610-43577622(+) TGGAGGAAGGAG >mm10_chr10:43591578-43591589(+)::chr10:43591577-43591589(+) GAAAGGAACTGT >mm10_chr10:43602429-43602440(+)::chr10:43602428-43602440(+) GAAGGGAAGCGG >mm10_chr10:43621747-43621758(-)::chr10:43621746-43621758(-) AATAGGAAATGT >mm10_chr10:43621766-43621777(-)::chr10:43621765-43621777(-) AGGAGGAAGGCA >mm10_chr10:43622717-43622728(-)::chr10:43622716-43622728(-) ctgaggaagtag >mm10_chr10:43641737-43641748(-)::chr10:43641736-43641748(-) ACAAGGAAAAAT >mm10_chr10:43642162-43642173(+)::chr10:43642161-43642173(+) aagaggaagagg >mm10_chr10:43642187-43642198(+)::chr10:43642186-43642198(+) agaaggaagagg >mm10_chr10:43642193-43642204(+)::chr10:43642192-43642204(+) aagaggaaggag >mm10_chr10:43642205-43642216(+)::chr10:43642204-43642216(+) aagaggaagaag >mm10_chr10:43655212-43655223(-)::chr10:43655211-43655223(-) TGGAGGAAGTGT >mm10_chr10:43655848-43655859(+)::chr10:43655847-43655859(+) AGAGGGAAGTGT >mm10_chr10:43657700-43657711(+)::chr10:43657699-43657711(+) GCCAGGAAGGAA >mm10_chr10:43657704-43657715(+)::chr10:43657703-43657715(+) GGAAGGAAGGCT >mm10_chr10:43672560-43672571(+)::chr10:43672559-43672571(+) TAGAGGAAGTTC >mm10_chr10:43672621-43672632(+)::chr10:43672620-43672632(+) AGAAGGAAGTTC >mm10_chr10:43689237-43689248(-)::chr10:43689236-43689248(-) ctaaggaaatga >mm10_chr10:43727922-43727933(-)::chr10:43727921-43727933(-) TCCAGGAAGTGT >mm10_chr10:43729172-43729183(+)::chr10:43729171-43729183(+) ATCAGGAAGCAG >mm10_chr10:43757279-43757290(+)::chr10:43757278-43757290(+) AGGAGGAAGTAG >mm10_chr10:43757337-43757348(+)::chr10:43757336-43757348(+) AACAGGAAGCAC >mm10_chr10:43758277-43758288(+)::chr10:43758276-43758288(+) ACCAGGAAGCAG >mm10_chr10:43758335-43758346(-)::chr10:43758334-43758346(-) GTGAGGAAGTTT >mm10_chr10:43765523-43765534(-)::chr10:43765522-43765534(-) TTCAGGAAGTAC >mm10_chr10:43815286-43815297(-)::chr10:43815285-43815297(-) AAGAGGAAATGT >mm10_chr10:43856528-43856539(+)::chr10:43856527-43856539(+) atgaggaagtaa >mm10_chr10:43856578-43856589(+)::chr10:43856577-43856589(+) atgaggaagtgg >mm10_chr10:43954142-43954153(+)::chr10:43954141-43954153(+) ACAAGAAAGTTG >mm10_chr10:43973146-43973157(-)::chr10:43973145-43973157(-) AGGCGGAAGTGA >mm10_chr10:43977415-43977426(-)::chr10:43977414-43977426(-) AAGAGGAAGAAG >mm10_chr10:43977466-43977477(+)::chr10:43977465-43977477(+) CACAGGAAGTGA >mm10_chr10:43977587-43977598(-)::chr10:43977586-43977598(-) GGCAGGAAATAC >mm10_chr10:43993079-43993090(+)::chr10:43993078-43993090(+) aggaggaagatg >mm10_chr10:43993091-43993102(+)::chr10:43993090-43993102(+) aggaggaagaag >mm10_chr10:43993121-43993132(+)::chr10:43993120-43993132(+) AAaaggaaggaa >mm10_chr10:43993125-43993136(+)::chr10:43993124-43993136(+) ggaaggaaggga >mm10_chr10:43993137-43993148(+)::chr10:43993136-43993148(+) agaaggaaggaa >mm10_chr10:43993141-43993152(+)::chr10:43993140-43993152(+) ggaaggaagaaa >mm10_chr10:44011581-44011592(-)::chr10:44011580-44011592(-) ACACGGAAGGGA >mm10_chr10:44011599-44011610(-)::chr10:44011598-44011610(-) AAGAGGAAGGGT >mm10_chr10:44011635-44011646(-)::chr10:44011634-44011646(-) AGGAGGAAGTGA >mm10_chr10:44013628-44013639(-)::chr10:44013627-44013639(-) TAAAGGAAATTC >mm10_chr10:44025015-44025026(+)::chr10:44025014-44025026(+) AGAAGGAAGATG >mm10_chr10:44025034-44025045(+)::chr10:44025033-44025045(+) GGAAGGAAGAAC >mm10_chr10:44027338-44027349(+)::chr10:44027337-44027349(+) agaaggaagctc >mm10_chr10:44031114-44031125(-)::chr10:44031113-44031125(-) GGAAGGAAGTGA >mm10_chr10:44031118-44031129(-)::chr10:44031117-44031129(-) AGAAGGAAGGAA >mm10_chr10:44031166-44031177(+)::chr10:44031165-44031177(+) AATAGGAAGCAC >mm10_chr10:44038321-44038332(+)::chr10:44038320-44038332(+) GGCAGGAAATTG >mm10_chr10:44050675-44050686(+)::chr10:44050674-44050686(+) AGCAGGAAATAT >mm10_chr10:44051794-44051805(-)::chr10:44051793-44051805(-) AGACGGAAGTTA >mm10_chr10:44051818-44051829(+)::chr10:44051817-44051829(+) AGCAGGAAGTAG >mm10_chr10:44061587-44061598(+)::chr10:44061586-44061598(+) CCAAGGAAGAGC >mm10_chr10:44068764-44068775(+)::chr10:44068763-44068775(+) ATGAGGAAATAT >mm10_chr10:44069305-44069316(+)::chr10:44069304-44069316(+) AACAGGAAGTGC >mm10_chr10:44069373-44069384(-)::chr10:44069372-44069384(-) AAAGGGAAGTCA >mm10_chr10:44095999-44096010(-)::chr10:44095998-44096010(-) AAGAGGAAGAGG >mm10_chr10:44125335-44125346(+)::chr10:44125334-44125346(+) AAAAGAAAGTGG >mm10_chr10:44125373-44125384(-)::chr10:44125372-44125384(-) GGACGGAAGATT >mm10_chr10:44125399-44125410(-)::chr10:44125398-44125410(-) ACCAGGAAATCC >mm10_chr10:44152747-44152758(-)::chr10:44152746-44152758(-) accaggaagctg >mm10_chr10:44343621-44343632(-)::chr10:44343620-44343632(-) AAAGGGAAGTGC >mm10_chr10:44345221-44345232(-)::chr10:44345220-44345232(-) aggaggaagagg >mm10_chr10:44345245-44345256(-)::chr10:44345244-44345256(-) aggaggaagagg >mm10_chr10:44345252-44345263(-)::chr10:44345251-44345263(-) aagaggaaggag >mm10_chr10:44345258-44345269(-)::chr10:44345257-44345269(-) aggaggaagagg >mm10_chr10:44345265-44345276(-)::chr10:44345264-44345276(-) aagaggaaggag >mm10_chr10:44345271-44345282(-)::chr10:44345270-44345282(-) aggaggaagagg >mm10_chr10:44345278-44345289(-)::chr10:44345277-44345289(-) aagaggaaggag >mm10_chr10:44345284-44345295(-)::chr10:44345283-44345295(-) aagaggaagagg >mm10_chr10:44380575-44380586(-)::chr10:44380574-44380586(-) AGGAGGAAGAAA >mm10_chr10:44380660-44380671(-)::chr10:44380659-44380671(-) AGGAGGAAGGCA >mm10_chr10:44380927-44380938(-)::chr10:44380926-44380938(-) AGCAGGAAGCAG >mm10_chr10:44381680-44381691(+)::chr10:44381679-44381691(+) GAAAGGAACTGC >mm10_chr10:44383233-44383244(-)::chr10:44383232-44383244(-) ggaaggaagaca >mm10_chr10:44383237-44383248(-)::chr10:44383236-44383248(-) tgcaggaaggaa >mm10_chr10:44388891-44388902(-)::chr10:44388890-44388902(-) AGGAGGAAGAAC >mm10_chr10:44388924-44388935(-)::chr10:44388923-44388935(-) GGGAGGAAGACG >mm10_chr10:44390504-44390515(-)::chr10:44390503-44390515(-) AACCGGAAGTAG >mm10_chr10:44431900-44431911(-)::chr10:44431899-44431911(-) ACCAGGAAGTAG >mm10_chr10:44431918-44431929(-)::chr10:44431917-44431929(-) GGAAGTAAGTAC >mm10_chr10:44459287-44459298(-)::chr10:44459286-44459298(-) AGGAGGAAGTTA >mm10_chr10:44459552-44459563(+)::chr10:44459551-44459563(+) AGAAGGAAGGAC >mm10_chr10:44539304-44539315(+)::chr10:44539303-44539315(+) ATGAGGAAGTTG >mm10_chr10:44553870-44553881(+)::chr10:44553869-44553881(+) aagaggaagttg >mm10_chr10:44553882-44553893(-)::chr10:44553881-44553893(-) gtaaggatgtca >mm10_chr10:44605372-44605383(-)::chr10:44605371-44605383(-) ACAAGGAAGTTA >mm10_chr10:44676674-44676685(-)::chr10:44676673-44676685(-) AGAAGGAAGCCG >mm10_chr10:44691874-44691885(-)::chr10:44691873-44691885(-) AAAGGGAAGTGT >mm10_chr10:44691887-44691898(-)::chr10:44691886-44691898(-) ACGAGGAACTAG >mm10_chr10:44721792-44721803(-)::chr10:44721791-44721803(-) AGCAGGAAGCAG >mm10_chr10:44730937-44730948(+)::chr10:44730936-44730948(+) TTCAGGAAGTAC >mm10_chr10:44733299-44733310(+)::chr10:44733298-44733310(+) AAAAGGAAGTCT >mm10_chr10:44746672-44746683(-)::chr10:44746671-44746683(-) ACCAGGAAGCAT >mm10_chr10:44749286-44749297(-)::chr10:44749285-44749297(-) ATAAGGAAGCTT >mm10_chr10:44874170-44874181(+)::chr10:44874169-44874181(+) AGAAGGAAGTGC >mm10_chr10:44924720-44924731(+)::chr10:44924719-44924731(+) tcaaggatgtga >mm10_chr10:44924731-44924742(+)::chr10:44924730-44924742(+) accaggaagcca >mm10_chr10:44924748-44924759(-)::chr10:44924747-44924759(-) aggaggaagggt >mm10_chr10:44937035-44937046(+)::chr10:44937034-44937046(+) AGAAGGAAGTCG >mm10_chr10:44937062-44937073(+)::chr10:44937061-44937073(+) AGCAGGAAGGGT >mm10_chr10:44937599-44937610(+)::chr10:44937598-44937610(+) AGAAGGAAATCA >mm10_chr10:44937643-44937654(-)::chr10:44937642-44937654(-) AAAAGGAAGTTC >mm10_chr10:44945279-44945290(-)::chr10:44945278-44945290(-) GAAAGGAAGTAA >mm10_chr10:44996837-44996848(+)::chr10:44996836-44996848(+) ATCAGGAAGGAG >mm10_chr10:44996844-44996855(+)::chr10:44996843-44996855(+) AGGAGGAAGGTA >mm10_chr10:45003709-45003720(+)::chr10:45003708-45003720(+) TCAAGGACGTCC >mm10_chr10:45066836-45066847(-)::chr10:45066835-45066847(-) TGAAGGAAGTAC >mm10_chr10:45067185-45067196(-)::chr10:45067184-45067196(-) AGCAGGAAGACG >mm10_chr10:45092357-45092368(-)::chr10:45092356-45092368(-) ATGAGGAAGAAA >mm10_chr10:45335597-45335608(+)::chr10:45335596-45335608(+) ACAAGGAAGCGC >mm10_chr10:45469222-45469233(+)::chr10:45469221-45469233(+) ACACGGAAGAGG >mm10_chr10:45636498-45636509(-)::chr10:45636497-45636509(-) agaaggaaggag >mm10_chr10:45636505-45636516(-)::chr10:45636504-45636516(-) acaaggaagaag >mm10_chr10:45636518-45636529(-)::chr10:45636517-45636529(-) gggaggaaggca >mm10_chr10:45684933-45684944(+)::chr10:45684932-45684944(+) AGAAGGAAACTA >mm10_chr10:45684957-45684968(-)::chr10:45684956-45684968(-) aagaggaaGCAA >mm10_chr10:45703490-45703501(-)::chr10:45703489-45703501(-) aggaggaagaaa >mm10_chr10:45703502-45703513(-)::chr10:45703501-45703513(-) aggaggaagagg >mm10_chr10:45703658-45703669(-)::chr10:45703657-45703669(-) aggaggaagaac >mm10_chr10:45703670-45703681(-)::chr10:45703669-45703681(-) AGGAGGAAgagg >mm10_chr10:45773278-45773289(+)::chr10:45773277-45773289(+) aggaggaaggat >mm10_chr10:45888866-45888877(-)::chr10:45888865-45888877(-) ACAAGGAAAGAA >mm10_chr10:46306750-46306761(+)::chr10:46306749-46306761(+) ctaaggaactaa >mm10_chr10:47633375-47633386(-)::chr10:47633374-47633386(-) aacaggaagaag >mm10_chr10:50581064-50581075(-)::chr10:50581063-50581075(-) agaagcaagtag >mm10_chr10:50581135-50581146(+)::chr10:50581134-50581146(+) gagaggaagggt >mm10_chr10:50634307-50634318(-)::chr10:50634306-50634318(-) aagaggaagaga >mm10_chr10:50634388-50634399(-)::chr10:50634387-50634399(-) atagggaagtat >mm10_chr10:50711577-50711588(-)::chr10:50711576-50711588(-) caaaggaaatca >mm10_chr10:50712660-50712671(-)::chr10:50712659-50712671(-) TAGAGGAAATGA >mm10_chr10:50826499-50826510(-)::chr10:50826498-50826510(-) aaaaggaagtac >mm10_chr10:51697872-51697883(+)::chr10:51697871-51697883(+) TAGAGGAAGTTG >mm10_chr10:52169943-52169954(+)::chr10:52169942-52169954(+) ATAAGGAAGTAG >mm10_chr10:52177348-52177359(-)::chr10:52177347-52177359(-) accaggaagcca >mm10_chr10:52204089-52204100(-)::chr10:52204088-52204100(-) GGAAGGAAGACA >mm10_chr10:52204093-52204104(-)::chr10:52204092-52204104(-) GAACGGAAGGAA >mm10_chr10:52204098-52204109(-)::chr10:52204097-52204109(-) TAAAGGAACGGA >mm10_chr10:52218234-52218245(-)::chr10:52218233-52218245(-) GTATGGAAGTGT >mm10_chr10:52218258-52218269(-)::chr10:52218257-52218269(-) tacaggaagaag >mm10_chr10:52256003-52256014(+)::chr10:52256002-52256014(+) TTAAGGAAGTTA >mm10_chr10:52256016-52256027(-)::chr10:52256015-52256027(-) GAAAGGAAGACA >mm10_chr10:52279055-52279066(+)::chr10:52279054-52279066(+) AGAAGGAAGTAA >mm10_chr10:52309379-52309390(+)::chr10:52309378-52309390(+) TAAAGGAAATAG >mm10_chr10:52309424-52309435(-)::chr10:52309423-52309435(-) CTGAGGAAGTGG >mm10_chr10:52309456-52309467(+)::chr10:52309455-52309467(+) ATCAGGAAGAAG >mm10_chr10:52419567-52419578(+)::chr10:52419566-52419578(+) AGTAGGAAGGAA >mm10_chr10:52419636-52419647(-)::chr10:52419635-52419647(-) ACAAGGAAGTGT >mm10_chr10:52452610-52452621(-)::chr10:52452609-52452621(-) ataaggaaagtg >mm10_chr10:52813093-52813104(-)::chr10:52813092-52813104(-) aacaggaagcac >mm10_chr10:52884199-52884210(+)::chr10:52884198-52884210(+) GGAAGGAAGACA >mm10_chr10:52897042-52897053(-)::chr10:52897041-52897053(-) agtaggaagaag >mm10_chr10:52897049-52897060(-)::chr10:52897048-52897060(-) agaaggaagtag >mm10_chr10:52929255-52929266(+)::chr10:52929254-52929266(+) aggaggaagaga >mm10_chr10:52929275-52929286(+)::chr10:52929274-52929286(+) aataggaagtag >mm10_chr10:52931652-52931663(-)::chr10:52931651-52931663(-) AGCAGGAAGAAA >mm10_chr10:52934864-52934875(+)::chr10:52934863-52934875(+) TCCAGGAAGTGA >mm10_chr10:52952811-52952822(+)::chr10:52952810-52952822(+) ACAAGGAAGTGT >mm10_chr10:53260270-53260281(+)::chr10:53260269-53260281(+) GGAAGGATGTGT >mm10_chr10:53260285-53260296(+)::chr10:53260284-53260296(+) ctcaggaagtag >mm10_chr10:53260303-53260314(+)::chr10:53260302-53260314(+) aacaggaagtgg >mm10_chr10:53348485-53348496(-)::chr10:53348484-53348496(-) GCAAGGAAGTGA >mm10_chr10:53356811-53356822(+)::chr10:53356810-53356822(+) GAAAGGATGTCA >mm10_chr10:53461572-53461583(-)::chr10:53461571-53461583(-) AACAGGAAGTAG >mm10_chr10:53505753-53505764(-)::chr10:53505752-53505764(-) TGGAGGAAGTAG >mm10_chr10:53519732-53519743(-)::chr10:53519731-53519743(-) TAAAGGAAGTTC >mm10_chr10:53616078-53616089(+)::chr10:53616077-53616089(+) AGCAGGAAGATC >mm10_chr10:53665805-53665816(-)::chr10:53665804-53665816(-) ggaaggaattat >mm10_chr10:53665809-53665820(-)::chr10:53665808-53665820(-) gaaaggaaggaa >mm10_chr10:53807651-53807662(-)::chr10:53807650-53807662(-) agaagaaagtaa >mm10_chr10:53807667-53807678(-)::chr10:53807666-53807678(-) agaaggaagtgg >mm10_chr10:53807685-53807696(-)::chr10:53807684-53807696(-) acaaggaagaag >mm10_chr10:53807710-53807721(-)::chr10:53807709-53807721(-) agcaggaagaag >mm10_chr10:53813035-53813046(+)::chr10:53813034-53813046(+) AACAGGAAGCCC >mm10_chr10:54032535-54032546(+)::chr10:54032534-54032546(+) GGCAGGAAGCAG >mm10_chr10:54032556-54032567(-)::chr10:54032555-54032567(-) AGAGGGAAGTGA >mm10_chr10:54112512-54112523(-)::chr10:54112511-54112523(-) AGGAGGAAATAA >mm10_chr10:54117960-54117971(-)::chr10:54117959-54117971(-) GGGAGGAAGATC >mm10_chr10:54118009-54118020(-)::chr10:54118008-54118020(-) TCAAGGAAGCTG >mm10_chr10:54187446-54187457(-)::chr10:54187445-54187457(-) ACAAGGAAGGCT >mm10_chr10:54187461-54187472(-)::chr10:54187460-54187472(-) ACAAGGAAGCCG >mm10_chr10:54407878-54407889(+)::chr10:54407877-54407889(+) GGAAGGAAGTAG >mm10_chr10:55651008-55651019(-)::chr10:55651007-55651019(-) AGTAGGAAATAT >mm10_chr10:55731869-55731880(-)::chr10:55731868-55731880(-) ACCAGGAAGTCA >mm10_chr10:55978001-55978012(+)::chr10:55978000-55978012(+) tacaggaagcca >mm10_chr10:56106790-56106801(-)::chr10:56106789-56106801(-) tggaggaaatgg >mm10_chr10:56115299-56115310(+)::chr10:56115298-56115310(+) GACGGGAAGCGG >mm10_chr10:56145115-56145126(+)::chr10:56145114-56145126(+) ATGAGGAAGTTC >mm10_chr10:56165784-56165795(+)::chr10:56165783-56165795(+) AGAAGGAAGTTT >mm10_chr10:56219272-56219283(+)::chr10:56219271-56219283(+) TGGAGGAAGCAA >mm10_chr10:56229033-56229044(+)::chr10:56229032-56229044(+) TGCAGGAAGTAG >mm10_chr10:56229040-56229051(+)::chr10:56229039-56229051(+) AGTAGGAAGGGG >mm10_chr10:56229085-56229096(+)::chr10:56229084-56229096(+) CAAAGGAAGCCA >mm10_chr10:56381953-56381964(+)::chr10:56381952-56381964(+) AACAGGAAATTC >mm10_chr10:56381989-56382000(-)::chr10:56381988-56382000(-) AGCAGGAACTAT >mm10_chr10:56426452-56426463(-)::chr10:56426451-56426463(-) acaaggaagagc >mm10_chr10:56518406-56518417(+)::chr10:56518405-56518417(+) agaaggaagctt >mm10_chr10:56535521-56535532(+)::chr10:56535520-56535532(+) AACAGGAAGAGA >mm10_chr10:56538897-56538908(-)::chr10:56538896-56538908(-) ACAAGGAAGCAA >mm10_chr10:56538910-56538921(-)::chr10:56538909-56538921(-) CTAAGGAAGCAG >mm10_chr10:56560669-56560680(+)::chr10:56560668-56560680(+) GGGAGGAAGGTC >mm10_chr10:56566584-56566595(-)::chr10:56566583-56566595(-) TGGAGGAAGGAC >mm10_chr10:56936046-56936057(+)::chr10:56936045-56936057(+) AGAAGGAAATGA >mm10_chr10:56963452-56963463(+)::chr10:56963451-56963463(+) atgaggaagtgg >mm10_chr10:57001459-57001470(-)::chr10:57001458-57001470(-) ATAAGGAAGGGT >mm10_chr10:57034823-57034834(+)::chr10:57034822-57034834(+) aaaaggaagagg >mm10_chr10:57034829-57034840(+)::chr10:57034828-57034840(+) aagaggaagaag >mm10_chr10:57034845-57034856(+)::chr10:57034844-57034856(+) aggaggaagaag >mm10_chr10:57034852-57034863(+)::chr10:57034851-57034863(+) agaaggaaagga >mm10_chr10:57122755-57122766(-)::chr10:57122754-57122766(-) AGCAGGAAGGAG >mm10_chr10:57122762-57122773(-)::chr10:57122761-57122773(-) AACAGGAAGCAG >mm10_chr10:57435519-57435530(+)::chr10:57435518-57435530(+) AAAAGGAAGAAG >mm10_chr10:57435526-57435537(+)::chr10:57435525-57435537(+) AGAAGGAagaag >mm10_chr10:57435533-57435544(+)::chr10:57435532-57435544(+) agaaggaagagg >mm10_chr10:57631929-57631940(+)::chr10:57631928-57631940(+) AGCAGGAACTAC >mm10_chr10:57677928-57677939(-)::chr10:57677927-57677939(-) AGCAGGAAGCGT >mm10_chr10:57677935-57677946(-)::chr10:57677934-57677946(-) AGAAGGAAGCAG >mm10_chr10:57677949-57677960(+)::chr10:57677948-57677960(+) CCCAGGAAGTAG >mm10_chr10:57734120-57734131(+)::chr10:57734119-57734131(+) aggaggaaggat >mm10_chr10:57734124-57734135(+)::chr10:57734123-57734135(+) ggaaggatgtcc >mm10_chr10:57734151-57734162(+)::chr10:57734150-57734162(+) gaaaggatgtac >mm10_chr10:58255389-58255400(-)::chr10:58255388-58255400(-) GGGAGGAAGTCG >mm10_chr10:58255409-58255420(+)::chr10:58255408-58255420(+) AGGAGGAAGCGG >mm10_chr10:58255415-58255426(+)::chr10:58255414-58255426(+) AAGCGGAAGTGC >mm10_chr10:58256078-58256089(-)::chr10:58256077-58256089(-) AGCAGGAAGTAA >mm10_chr10:58323539-58323550(-)::chr10:58323538-58323550(-) GGCAGGAAGGGG >mm10_chr10:58341866-58341877(+)::chr10:58341865-58341877(+) GGGAGGAAGAGC >mm10_chr10:58343781-58343792(+)::chr10:58343780-58343792(+) ATTAGGAAGTAG >mm10_chr10:58354974-58354985(+)::chr10:58354973-58354985(+) ATAAGGAAATGT >mm10_chr10:58360794-58360805(+)::chr10:58360793-58360805(+) AGGAGGAAGTTT >mm10_chr10:58371428-58371439(+)::chr10:58371427-58371439(+) AACAGGAAGCTG >mm10_chr10:58382029-58382040(-)::chr10:58382028-58382040(-) ATGAGGAAGCAC >mm10_chr10:58385637-58385648(-)::chr10:58385636-58385648(-) ATAAGGAAGTAG >mm10_chr10:58393246-58393257(-)::chr10:58393245-58393257(-) AACAGGAAGGAC >mm10_chr10:58405535-58405546(-)::chr10:58405534-58405546(-) TAGAGGAAATGA >mm10_chr10:58406107-58406118(-)::chr10:58406106-58406118(-) agcaggaagctg >mm10_chr10:58406134-58406145(-)::chr10:58406133-58406145(-) gcagggaaggcg >mm10_chr10:58406190-58406201(-)::chr10:58406189-58406201(-) tcaaggaagaaa >mm10_chr10:58432101-58432112(-)::chr10:58432100-58432112(-) ggaagcaagtga >mm10_chr10:58432132-58432143(+)::chr10:58432131-58432143(+) tcaAGGAAGAGG >mm10_chr10:58432167-58432178(+)::chr10:58432166-58432178(+) acaaggaagtcc >mm10_chr10:58446610-58446621(+)::chr10:58446609-58446621(+) AGAAGGAAATCA >mm10_chr10:58498237-58498248(+)::chr10:58498236-58498248(+) GCACGGAAGACA >mm10_chr10:58498251-58498262(-)::chr10:58498250-58498262(-) AGAAGGAACTAC >mm10_chr10:58529945-58529956(+)::chr10:58529944-58529956(+) tggaggaagagc >mm10_chr10:58540061-58540072(+)::chr10:58540060-58540072(+) AGGAGGAAGAGA >mm10_chr10:58564584-58564595(-)::chr10:58564583-58564595(-) ccaaggaagaag >mm10_chr10:58575428-58575439(-)::chr10:58575427-58575439(-) ACAAGGAAGCCC >mm10_chr10:58594814-58594825(+)::chr10:58594813-58594825(+) TTCAGGAAGTCT >mm10_chr10:58601626-58601637(+)::chr10:58601625-58601637(+) GAAAGGAAGCAT >mm10_chr10:58657413-58657424(-)::chr10:58657412-58657424(-) TGCAGGAAATGC >mm10_chr10:58675837-58675848(-)::chr10:58675836-58675848(-) GGCAGGAAGTCC >mm10_chr10:58676649-58676660(-)::chr10:58676648-58676660(-) agaaggaagaag >mm10_chr10:58676668-58676679(-)::chr10:58676667-58676679(-) agaaggaagatg >mm10_chr10:58676680-58676691(-)::chr10:58676679-58676691(-) aggaggaagaag >mm10_chr10:58676692-58676703(-)::chr10:58676691-58676703(-) gtaaggaagagg >mm10_chr10:58714255-58714266(-)::chr10:58714254-58714266(-) GGAAGGAAGAGG >mm10_chr10:58714259-58714270(-)::chr10:58714258-58714270(-) GCAAGGAAGGAA >mm10_chr10:58714608-58714619(-)::chr10:58714607-58714619(-) AAACGGAAGGGG >mm10_chr10:58950144-58950155(+)::chr10:58950143-58950155(+) TCAAGGAAGAAC >mm10_chr10:58965563-58965574(-)::chr10:58965562-58965574(-) aagaggaagagg >mm10_chr10:58965569-58965580(-)::chr10:58965568-58965580(-) aagaggaagagg >mm10_chr10:58965575-58965586(-)::chr10:58965574-58965586(-) aagaggaagagg >mm10_chr10:58965581-58965592(-)::chr10:58965580-58965592(-) aggaggaagagg >mm10_chr10:58967398-58967409(-)::chr10:58967397-58967409(-) gaaaggaaggag >mm10_chr10:58967447-58967458(-)::chr10:58967446-58967458(-) gcaagtaagtaa >mm10_chr10:58967451-58967462(-)::chr10:58967450-58967462(-) ggaagcaagtaa >mm10_chr10:58967455-58967466(-)::chr10:58967454-58967466(-) ggaaggaagcaa >mm10_chr10:58967459-58967470(-)::chr10:58967458-58967470(-) ggaaggaaggaa >mm10_chr10:58985934-58985945(-)::chr10:58985933-58985945(-) ggaaggaagaga >mm10_chr10:58985938-58985949(-)::chr10:58985937-58985949(-) ggaaggaaggaa >mm10_chr10:58985942-58985953(-)::chr10:58985941-58985953(-) aggaggaaggaa >mm10_chr10:58997871-58997882(+)::chr10:58997870-58997882(+) ttcaggaagtct >mm10_chr10:59004881-59004892(+)::chr10:59004880-59004892(+) ttaaggaagtcc >mm10_chr10:59012632-59012643(+)::chr10:59012631-59012643(+) GAAAGGAAGAGG >mm10_chr10:59150061-59150072(+)::chr10:59150060-59150072(+) AAAAGGAAGTGC >mm10_chr10:59150406-59150417(-)::chr10:59150405-59150417(-) GCCAGGAAGGCA >mm10_chr10:59166646-59166657(-)::chr10:59166645-59166657(-) ATCAGGAAGAGA >mm10_chr10:59221785-59221796(+)::chr10:59221784-59221796(+) GCCCGGAAGTGG >mm10_chr10:59240657-59240668(-)::chr10:59240656-59240668(-) ggaaggaaggaa >mm10_chr10:59240661-59240672(-)::chr10:59240660-59240672(-) tgaaggaaggaa >mm10_chr10:59240677-59240688(-)::chr10:59240676-59240688(-) ggaaggaagcaa >mm10_chr10:59241370-59241381(+)::chr10:59241369-59241381(+) TACAGGAAGGAC >mm10_chr10:59243597-59243608(+)::chr10:59243596-59243608(+) aagaggaagagg >mm10_chr10:59243603-59243614(+)::chr10:59243602-59243614(+) aagaggaagagg >mm10_chr10:59262234-59262245(-)::chr10:59262233-59262245(-) ATAAGGAGGTTG >mm10_chr10:59310092-59310103(+)::chr10:59310091-59310103(+) tgaaggaagtgg >mm10_chr10:59375040-59375051(-)::chr10:59375039-59375051(-) aggaggaagaca >mm10_chr10:59375047-59375058(-)::chr10:59375046-59375058(-) agcaggaaggag >mm10_chr10:59433075-59433086(+)::chr10:59433074-59433086(+) CCAAGGAAGTTG >mm10_chr10:59433088-59433099(+)::chr10:59433087-59433099(+) AGGAGGAAGGCA >mm10_chr10:59439626-59439637(+)::chr10:59439625-59439637(+) GGCAGGAAATAA >mm10_chr10:59465975-59465986(+)::chr10:59465974-59465986(+) agcaggaaggtc >mm10_chr10:59487719-59487730(-)::chr10:59487718-59487730(-) ACAAGGAAAATG >mm10_chr10:59604095-59604106(-)::chr10:59604094-59604106(-) AGCAGGAAGTAC >mm10_chr10:59636830-59636841(+)::chr10:59636829-59636841(+) GTAAGGAAGAGC >mm10_chr10:59667456-59667467(+)::chr10:59667455-59667467(+) AAGAGGAAGTAA >mm10_chr10:59667515-59667526(+)::chr10:59667514-59667526(+) AGAAGGGAGTGC >mm10_chr10:59702729-59702740(+)::chr10:59702728-59702740(+) GAGGGGAAGTCG >mm10_chr10:59724125-59724136(-)::chr10:59724124-59724136(-) AGAAGGAAAGGG >mm10_chr10:59879761-59879772(-)::chr10:59879760-59879772(-) TCCAGGAAGCGC >mm10_chr10:59903785-59903796(-)::chr10:59903784-59903796(-) GGAAGGAAGGAG >mm10_chr10:59903789-59903800(-)::chr10:59903788-59903800(-) AGGAGGAAGGAA >mm10_chr10:59921426-59921437(+)::chr10:59921425-59921437(+) GTCAGGAAGACG >mm10_chr10:59924810-59924821(+)::chr10:59924809-59924821(+) tccaggaagtcc >mm10_chr10:59924818-59924829(-)::chr10:59924817-59924829(-) aggaggaaggac >mm10_chr10:59924866-59924877(-)::chr10:59924865-59924877(-) agaaggaaaata >mm10_chr10:59932662-59932673(+)::chr10:59932661-59932673(+) GCCAGGAAGGGC >mm10_chr10:59943087-59943098(-)::chr10:59943086-59943098(-) AGCAGGAAGCAA >mm10_chr10:59979255-59979266(-)::chr10:59979254-59979266(-) AGGAGGAAGCAA >mm10_chr10:60002740-60002751(-)::chr10:60002739-60002751(-) GGTAGGAAGCGT >mm10_chr10:60002762-60002773(+)::chr10:60002761-60002773(+) GGGAGGAAGGGA >mm10_chr10:60009989-60010000(-)::chr10:60009988-60010000(-) AGGAGGAAATGT >mm10_chr10:60087629-60087640(-)::chr10:60087628-60087640(-) GGAAGGAAGAAA >mm10_chr10:60087633-60087644(-)::chr10:60087632-60087644(-) GGAAGGAAGGAA >mm10_chr10:60087637-60087648(-)::chr10:60087636-60087648(-) CCAAGGAAGGAA >mm10_chr10:60088528-60088539(-)::chr10:60088527-60088539(-) TAAAGGAAGGCT >mm10_chr10:60088589-60088600(-)::chr10:60088588-60088600(-) ACCAGGAAGGGC >mm10_chr10:60148320-60148331(+)::chr10:60148319-60148331(+) ACCAGGAAGAGC >mm10_chr10:60287501-60287512(-)::chr10:60287500-60287512(-) AAAGGGAAGTGA >mm10_chr10:60327079-60327090(+)::chr10:60327078-60327090(+) GCAAGGAAGATG >mm10_chr10:60337152-60337163(+)::chr10:60337151-60337163(+) ATCAGGAAGTCT >mm10_chr10:60341398-60341409(-)::chr10:60341397-60341409(-) ACAAGGAAGAAG >mm10_chr10:60389759-60389770(+)::chr10:60389758-60389770(+) AACAGGAAGTAC >mm10_chr10:60405906-60405917(-)::chr10:60405905-60405917(-) AAAAGGAAGAGC >mm10_chr10:60405920-60405931(-)::chr10:60405919-60405931(-) GGAAGGAAGCAG >mm10_chr10:60730579-60730590(+)::chr10:60730578-60730590(+) AGCAGGAAGTTA >mm10_chr10:60730663-60730674(+)::chr10:60730662-60730674(+) ACAAGGAAGGAG >mm10_chr10:60752913-60752924(-)::chr10:60752912-60752924(-) GCCAGGAAGAGG >mm10_chr10:60760602-60760613(-)::chr10:60760601-60760613(-) ACCAGGAAGGCT >mm10_chr10:60807918-60807929(+)::chr10:60807917-60807929(+) AGCAGGAAGCGA >mm10_chr10:60815940-60815951(+)::chr10:60815939-60815951(+) AGAAGGAAATGC >mm10_chr10:60817015-60817026(+)::chr10:60817014-60817026(+) AGGCGGAAGTGG >mm10_chr10:60852803-60852814(+)::chr10:60852802-60852814(+) AAGAGGAAGGAT >mm10_chr10:60934520-60934531(+)::chr10:60934519-60934531(+) TGCAGGAAGTGA >mm10_chr10:61053840-61053851(+)::chr10:61053839-61053851(+) ACAAGGAAGACT >mm10_chr10:61086673-61086684(+)::chr10:61086672-61086684(+) GCAAGGAAAGCG >mm10_chr10:61130710-61130721(+)::chr10:61130709-61130721(+) AGAAGGAAGaag >mm10_chr10:61203840-61203851(+)::chr10:61203839-61203851(+) agaaggaagagg >mm10_chr10:61203846-61203857(+)::chr10:61203845-61203857(+) aagaggaagaag >mm10_chr10:61203855-61203866(+)::chr10:61203854-61203866(+) aagaggaagagg >mm10_chr10:61203867-61203878(+)::chr10:61203866-61203878(+) aggaggaagaag >mm10_chr10:61203882-61203893(+)::chr10:61203881-61203893(+) aggaggaagaga >mm10_chr10:61203894-61203905(+)::chr10:61203893-61203905(+) aggaggaagagg >mm10_chr10:61242327-61242338(+)::chr10:61242326-61242338(+) GGCAGGAAGTCT >mm10_chr10:61242963-61242974(+)::chr10:61242962-61242974(+) AGCAGGAAGCTG >mm10_chr10:61243018-61243029(-)::chr10:61243017-61243029(-) GCAAGGAAGCTA >mm10_chr10:61346599-61346610(-)::chr10:61346598-61346610(-) AGAGGGAAGTTT >mm10_chr10:61346606-61346617(-)::chr10:61346605-61346617(-) ATGAGGAAGAGG >mm10_chr10:61346616-61346627(-)::chr10:61346615-61346627(-) CGCGGGAAGGAT >mm10_chr10:61372707-61372718(-)::chr10:61372706-61372718(-) AACAGGAAGAGG >mm10_chr10:61374317-61374328(-)::chr10:61374316-61374328(-) AACAGGAAGTGA >mm10_chr10:61391572-61391583(-)::chr10:61391571-61391583(-) aggaggaAGGTG >mm10_chr10:61391587-61391598(-)::chr10:61391586-61391598(-) aggaggaagagg >mm10_chr10:61392331-61392342(+)::chr10:61392330-61392342(+) TGCAGGAAGGAG >mm10_chr10:61392702-61392713(-)::chr10:61392701-61392713(-) TCCAGGAAGCGA >mm10_chr10:61394258-61394269(-)::chr10:61394257-61394269(-) GAGAGGAAGAAT >mm10_chr10:61403222-61403233(+)::chr10:61403221-61403233(+) CAAAGGAAGATA >mm10_chr10:61426695-61426706(-)::chr10:61426694-61426706(-) GCCAGGAAGGCA >mm10_chr10:61451776-61451787(-)::chr10:61451775-61451787(-) AGGAGGAAGCGC >mm10_chr10:61500916-61500927(+)::chr10:61500915-61500927(+) TGGAGGAAGGAA >mm10_chr10:61500920-61500931(+)::chr10:61500919-61500931(+) GGAAGGAACTAA >mm10_chr10:61626094-61626105(-)::chr10:61626093-61626105(-) CGGCGGAAGTTC >mm10_chr10:61626778-61626789(+)::chr10:61626777-61626789(+) TCCAGGAAGTGC >mm10_chr10:61654859-61654870(+)::chr10:61654858-61654870(+) ATCAGGAAGTTC >mm10_chr10:61658181-61658192(-)::chr10:61658180-61658192(-) ATAAGGAACTCG >mm10_chr10:61658189-61658200(-)::chr10:61658188-61658200(-) GGGAGGAAATAA >mm10_chr10:61702869-61702880(-)::chr10:61702868-61702880(-) ACAAGGAAATGA >mm10_chr10:62024372-62024383(+)::chr10:62024371-62024383(+) AGGAGGAAGCCG >mm10_chr10:62027444-62027455(-)::chr10:62027443-62027455(-) AGAAGGAAAAAG >mm10_chr10:62050016-62050027(+)::chr10:62050015-62050027(+) GACAGGAAGAGG >mm10_chr10:62050022-62050033(+)::chr10:62050021-62050033(+) AAGAGGAAATGC >mm10_chr10:62071241-62071252(+)::chr10:62071240-62071252(+) AGAAGGAAGACT >mm10_chr10:62111019-62111030(+)::chr10:62111018-62111030(+) CACAGGAAGCGC >mm10_chr10:62182643-62182654(-)::chr10:62182642-62182654(-) TAGAGGAAGTCT >mm10_chr10:62192461-62192472(+)::chr10:62192460-62192472(+) ACAAGGAAAAGA >mm10_chr10:62218990-62219001(+)::chr10:62218989-62219001(+) GGCAGGAAGCGG >mm10_chr10:62230485-62230496(-)::chr10:62230484-62230496(-) GGAAGGAAATGC >mm10_chr10:62230498-62230509(+)::chr10:62230497-62230509(+) ACAAGGAAGAAA >mm10_chr10:62261199-62261210(-)::chr10:62261198-62261210(-) GGCAGGAAGTAG >mm10_chr10:62279894-62279905(+)::chr10:62279893-62279905(+) AAAAGGAAGAAA >mm10_chr10:62300153-62300164(-)::chr10:62300152-62300164(-) TGCCGGAAGTAC >mm10_chr10:62311612-62311623(-)::chr10:62311611-62311623(-) AAGAGGAAGTTG >mm10_chr10:62313876-62313887(-)::chr10:62313875-62313887(-) GAGAGGAAGAAG >mm10_chr10:62313899-62313910(-)::chr10:62313898-62313910(-) ACCAGGAAGGAG >mm10_chr10:62318482-62318493(+)::chr10:62318481-62318493(+) GAAAGGAAGTGT >mm10_chr10:62329898-62329909(+)::chr10:62329897-62329909(+) CACAGGAAGTAA >mm10_chr10:62336085-62336096(+)::chr10:62336084-62336096(+) ATAAGGAACAGA >mm10_chr10:62337216-62337227(-)::chr10:62337215-62337227(-) GGAAGGAAATTG >mm10_chr10:62337220-62337231(-)::chr10:62337219-62337231(-) AGGAGGAAGGAA >mm10_chr10:62427315-62427326(+)::chr10:62427314-62427326(+) CCAAGGAAGTTG >mm10_chr10:62427366-62427377(+)::chr10:62427365-62427377(+) AAGAGGAAGAGA >mm10_chr10:62486115-62486126(+)::chr10:62486114-62486126(+) GGAAGGAAGTGA >mm10_chr10:62487026-62487037(-)::chr10:62487025-62487037(-) AAAAGGAAATGG >mm10_chr10:62511624-62511635(+)::chr10:62511623-62511635(+) TGGAGGAAGAAG >mm10_chr10:62511631-62511642(+)::chr10:62511630-62511642(+) AGAAGGAAGTTC >mm10_chr10:62530389-62530400(+)::chr10:62530388-62530400(+) TACAGGAAGGGC >mm10_chr10:62530409-62530420(+)::chr10:62530408-62530420(+) AAAAGGAAGAAG >mm10_chr10:62592216-62592227(-)::chr10:62592215-62592227(-) AACAGGAAGTCG >mm10_chr10:62602337-62602348(+)::chr10:62602336-62602348(+) CGGCGGAAGTAG >mm10_chr10:62773754-62773765(+)::chr10:62773753-62773765(+) ATAAGGAAAAAG >mm10_chr10:62773766-62773777(+)::chr10:62773765-62773777(+) GACAGGAAGAAG >mm10_chr10:62773773-62773784(+)::chr10:62773772-62773784(+) AGAAGGAAAAAG >mm10_chr10:62813552-62813563(-)::chr10:62813551-62813563(-) AGAAGGAAGAGG >mm10_chr10:62829695-62829706(+)::chr10:62829694-62829706(+) agcaggaaatac >mm10_chr10:62829714-62829725(+)::chr10:62829713-62829725(+) ggcaggaagtga >mm10_chr10:62844283-62844294(-)::chr10:62844282-62844294(-) ACAAGGAAAAAG >mm10_chr10:62844293-62844304(-)::chr10:62844292-62844304(-) TAGAGGAAGTAC >mm10_chr10:62851523-62851534(+)::chr10:62851522-62851534(+) GTGAGGAAGACA >mm10_chr10:62855019-62855030(-)::chr10:62855018-62855030(-) ggaaggaaggaa >mm10_chr10:62855023-62855034(-)::chr10:62855022-62855034(-) ggaaggaaggaa >mm10_chr10:62855027-62855038(-)::chr10:62855026-62855038(-) ggaaggaaggaa >mm10_chr10:62855031-62855042(-)::chr10:62855030-62855042(-) ggaaggaaggaa >mm10_chr10:62855035-62855046(-)::chr10:62855034-62855046(-) ggaaggaaggaa >mm10_chr10:62855039-62855050(-)::chr10:62855038-62855050(-) ggaaggaaggaa >mm10_chr10:62855043-62855054(-)::chr10:62855042-62855054(-) ggaaggaaggaa >mm10_chr10:62855047-62855058(-)::chr10:62855046-62855058(-) aggaggaaggaa >mm10_chr10:62903065-62903076(+)::chr10:62903064-62903076(+) gggaggaaggaa >mm10_chr10:62903069-62903080(+)::chr10:62903068-62903080(+) ggaaggaagtgg >mm10_chr10:62945360-62945371(-)::chr10:62945359-62945371(-) AAAAGGAAGTCA >mm10_chr10:63010565-63010576(-)::chr10:63010564-63010576(-) GTAAGGAAGAGA >mm10_chr10:63048771-63048782(+)::chr10:63048770-63048782(+) atgaggaagttt >mm10_chr10:63172639-63172650(+)::chr10:63172638-63172650(+) aagaggaaggag >mm10_chr10:63172646-63172657(+)::chr10:63172645-63172657(+) aggaggaaggag >mm10_chr10:63272565-63272576(-)::chr10:63272564-63272576(-) aggaggaagagg >mm10_chr10:63272595-63272606(-)::chr10:63272594-63272606(-) aggaggaagagg >mm10_chr10:63276295-63276306(-)::chr10:63276294-63276306(-) aggaggaagggg >mm10_chr10:63276335-63276346(-)::chr10:63276334-63276346(-) aggaggaagagg >mm10_chr10:63276352-63276363(-)::chr10:63276351-63276363(-) atgaggaagaaa >mm10_chr10:63276361-63276372(-)::chr10:63276360-63276372(-) aggaggaagatg >mm10_chr10:63345915-63345926(-)::chr10:63345914-63345926(-) aagaggaagagg >mm10_chr10:63345921-63345932(-)::chr10:63345920-63345932(-) aggaggaagagg >mm10_chr10:63373413-63373424(+)::chr10:63373412-63373424(+) aggaggaagagg >mm10_chr10:63373419-63373430(+)::chr10:63373418-63373430(+) aagaggaagaag >mm10_chr10:63373437-63373448(+)::chr10:63373436-63373448(+) agaagAAAGTAG >mm10_chr10:63383312-63383323(+)::chr10:63383311-63383323(+) agaaggaaaaga >mm10_chr10:63383339-63383350(+)::chr10:63383338-63383350(+) aggaggaagaga >mm10_chr10:63383351-63383362(+)::chr10:63383350-63383362(+) aggaggaagagg >mm10_chr10:63455510-63455521(+)::chr10:63455509-63455521(+) ATAGGGAAGGGA >mm10_chr10:63511512-63511523(-)::chr10:63511511-63511523(-) aagaggaaggaa >mm10_chr10:63810799-63810810(-)::chr10:63810798-63810810(-) TGAAGGAAGCAA >mm10_chr10:63931633-63931644(+)::chr10:63931632-63931644(+) TCAAGGAAGCAT >mm10_chr10:64076937-64076948(+)::chr10:64076936-64076948(+) AGCAGGAAGCAT >mm10_chr10:64093345-64093356(-)::chr10:64093344-64093356(-) AGAAAGAAGTTA >mm10_chr10:64093381-64093392(-)::chr10:64093380-64093392(-) AGCAGGAAATTC >mm10_chr10:64093394-64093405(-)::chr10:64093393-64093405(-) AGGAGGAAGGGT >mm10_chr10:64747563-64747574(-)::chr10:64747562-64747574(-) GTGAGGAAGGAA >mm10_chr10:65699628-65699639(-)::chr10:65699627-65699639(-) tggaggaagtgt >mm10_chr10:66084591-66084602(+)::chr10:66084590-66084602(+) TGAGGGAAGTCA >mm10_chr10:66124048-66124059(+)::chr10:66124047-66124059(+) agcaggaagcag >mm10_chr10:66124055-66124066(+)::chr10:66124054-66124066(+) agcaggaagcag >mm10_chr10:66124062-66124073(+)::chr10:66124061-66124073(+) agcaggaagcag >mm10_chr10:66124069-66124080(+)::chr10:66124068-66124080(+) agcaggaagcag >mm10_chr10:66124076-66124087(+)::chr10:66124075-66124087(+) agcaggaagcag >mm10_chr10:66124083-66124094(+)::chr10:66124082-66124094(+) agcaggaagcag >mm10_chr10:66124090-66124101(+)::chr10:66124089-66124101(+) agcaggaagcag >mm10_chr10:66124097-66124108(+)::chr10:66124096-66124108(+) agcaggaagcag >mm10_chr10:66124104-66124115(+)::chr10:66124103-66124115(+) agcaggaagcag >mm10_chr10:66124111-66124122(+)::chr10:66124110-66124122(+) agcaggaaggtc >mm10_chr10:66233790-66233801(+)::chr10:66233789-66233801(+) cacaggaagtaa >mm10_chr10:66575319-66575330(-)::chr10:66575318-66575330(-) TTAATGAAGTGA >mm10_chr10:66631164-66631175(-)::chr10:66631163-66631175(-) GTCAGGAAGAGG >mm10_chr10:66814568-66814579(+)::chr10:66814567-66814579(+) ATGAGGAAATAC >mm10_chr10:66817099-66817110(-)::chr10:66817098-66817110(-) GGGAGGAAGAGA >mm10_chr10:66848938-66848949(+)::chr10:66848937-66848949(+) TGAAGGAAGTGT >mm10_chr10:66864668-66864679(+)::chr10:66864667-66864679(+) TTCAGGAAGTGG >mm10_chr10:66897732-66897743(+)::chr10:66897731-66897743(+) AACAGGAAGCCA >mm10_chr10:66899459-66899470(+)::chr10:66899458-66899470(+) TTCAGGAAGTGG >mm10_chr10:66899482-66899493(+)::chr10:66899481-66899493(+) TCCAGGAAGTAG >mm10_chr10:66905274-66905285(+)::chr10:66905273-66905285(+) ATAAGGATGTAG >mm10_chr10:66911529-66911540(+)::chr10:66911528-66911540(+) CACAGGAAGTGG >mm10_chr10:66911716-66911727(-)::chr10:66911715-66911727(-) acaaagaagtgt >mm10_chr10:66911728-66911739(+)::chr10:66911727-66911739(+) tgagggaagtct >mm10_chr10:66920264-66920275(+)::chr10:66920263-66920275(+) atacggaagaga >mm10_chr10:66928269-66928280(+)::chr10:66928268-66928280(+) ATGGGGAAGTGA >mm10_chr10:66928325-66928336(-)::chr10:66928324-66928336(-) CGAAGGACGTGA >mm10_chr10:66944124-66944135(+)::chr10:66944123-66944135(+) GACAGGAAGATG >mm10_chr10:67004294-67004305(+)::chr10:67004293-67004305(+) ATGAGGAAGCAA >mm10_chr10:67004593-67004604(-)::chr10:67004592-67004604(-) GACAGGAAGGCA >mm10_chr10:67025701-67025712(-)::chr10:67025700-67025712(-) ggaaggaactga >mm10_chr10:67025726-67025737(-)::chr10:67025725-67025737(-) taaaggaagtcc >mm10_chr10:67026043-67026054(+)::chr10:67026042-67026054(+) TCCAGGAAGTTA >mm10_chr10:67026319-67026330(+)::chr10:67026318-67026330(+) AAAAGGAGGTAT >mm10_chr10:67043350-67043361(+)::chr10:67043349-67043361(+) AAGAGGAAGGAA >mm10_chr10:67043375-67043386(+)::chr10:67043374-67043386(+) GTGAGGAAGCGG >mm10_chr10:67062045-67062056(+)::chr10:67062044-67062056(+) GCAAGGAAGTGT >mm10_chr10:67084170-67084181(-)::chr10:67084169-67084181(-) AGAAGGAAAGAG >mm10_chr10:67084188-67084199(-)::chr10:67084187-67084199(-) GGGAGGAAGGGG >mm10_chr10:67095093-67095104(-)::chr10:67095092-67095104(-) AGAAGGAAAAGA >mm10_chr10:67095100-67095111(-)::chr10:67095099-67095111(-) AAGAGGAAGAAG >mm10_chr10:67097977-67097988(+)::chr10:67097976-67097988(+) ACCAGGAAGAGA >mm10_chr10:67100465-67100476(-)::chr10:67100464-67100476(-) AGGAGGAAGTGG >mm10_chr10:67107200-67107211(-)::chr10:67107199-67107211(-) TGGAGGAAGAGG >mm10_chr10:67115305-67115316(-)::chr10:67115304-67115316(-) AAAAGGAAGTCA >mm10_chr10:67115642-67115653(-)::chr10:67115641-67115653(-) ACGAGGAAGGAG >mm10_chr10:67115697-67115708(-)::chr10:67115696-67115708(-) AAGAGGAAGAAA >mm10_chr10:67182778-67182789(+)::chr10:67182777-67182789(+) AAAAGGAAGGAA >mm10_chr10:67182820-67182831(-)::chr10:67182819-67182831(-) AAAAGGAAGCTA >mm10_chr10:67187050-67187061(-)::chr10:67187049-67187061(-) ATGAGGAAGAGG >mm10_chr10:67187116-67187127(-)::chr10:67187115-67187127(-) TATAGGAAGAAT >mm10_chr10:67187373-67187384(+)::chr10:67187372-67187384(+) CTGAGGAAGTTC >mm10_chr10:67193207-67193218(+)::chr10:67193206-67193218(+) GAAAGGAAATAA >mm10_chr10:67194771-67194782(-)::chr10:67194770-67194782(-) ggaaggaagagg >mm10_chr10:67194782-67194793(-)::chr10:67194781-67194793(-) agtaggaagggg >mm10_chr10:67194795-67194806(-)::chr10:67194794-67194806(-) aacaggaagatt >mm10_chr10:67205139-67205150(-)::chr10:67205138-67205150(-) AACAGGAAGTTA >mm10_chr10:67214739-67214750(-)::chr10:67214738-67214750(-) gaaaggaagggA >mm10_chr10:67214780-67214791(-)::chr10:67214779-67214791(-) agcaggaagaga >mm10_chr10:67278788-67278799(-)::chr10:67278787-67278799(-) aacaggaagctg >mm10_chr10:67285273-67285284(-)::chr10:67285272-67285284(-) AGGCGGAAGTGG >mm10_chr10:67311699-67311710(+)::chr10:67311698-67311710(+) gaagggaagtca >mm10_chr10:67315431-67315442(-)::chr10:67315430-67315442(-) AGAAGGAAGTGG >mm10_chr10:67331147-67331158(-)::chr10:67331146-67331158(-) aagaggaagtga >mm10_chr10:67336117-67336128(+)::chr10:67336116-67336128(+) TCAAGGAAGATA >mm10_chr10:67336139-67336150(-)::chr10:67336138-67336150(-) AGCAGGAAGTTC >mm10_chr10:67430974-67430985(-)::chr10:67430973-67430985(-) tacaggaagtct >mm10_chr10:67431059-67431070(+)::chr10:67431058-67431070(+) acaaggaagtgt >mm10_chr10:67459346-67459357(-)::chr10:67459345-67459357(-) TAAAGGCAGTGG >mm10_chr10:67459840-67459851(-)::chr10:67459839-67459851(-) AGGAGGAACTAC >mm10_chr10:67464182-67464193(+)::chr10:67464181-67464193(+) ctagggaagtgc >mm10_chr10:67487901-67487912(-)::chr10:67487900-67487912(-) TCAAGGAAGCAC >mm10_chr10:67489757-67489768(-)::chr10:67489756-67489768(-) aggaggaaggga >mm10_chr10:67489789-67489800(-)::chr10:67489788-67489800(-) agaaggaaaagg >mm10_chr10:67489910-67489921(+)::chr10:67489909-67489921(+) ATCGGGAAGATA >mm10_chr10:67566372-67566383(+)::chr10:67566371-67566383(+) AAGAGGAAGAAC >mm10_chr10:67598769-67598780(-)::chr10:67598768-67598780(-) AAGAGGAAGTTT >mm10_chr10:67615988-67615999(-)::chr10:67615987-67615999(-) ttcgggaagtac >mm10_chr10:67616013-67616024(+)::chr10:67616012-67616024(+) ACACGGAAGTAA >mm10_chr10:67616048-67616059(+)::chr10:67616047-67616059(+) AAAAGAAAGTAA >mm10_chr10:67644387-67644398(-)::chr10:67644386-67644398(-) GGAAGGAAGTGA >mm10_chr10:67644391-67644402(-)::chr10:67644390-67644402(-) TCCAGGAAGGAA >mm10_chr10:67644425-67644436(-)::chr10:67644424-67644436(-) GAAAGCAAGTAT >mm10_chr10:67696046-67696057(-)::chr10:67696045-67696057(-) CTCAGGAAGTAG >mm10_chr10:67696168-67696179(-)::chr10:67696167-67696179(-) TGGAGGAAGTAA >mm10_chr10:67845839-67845850(-)::chr10:67845838-67845850(-) GCCAGGAAGAGT >mm10_chr10:67856996-67857007(+)::chr10:67856995-67857007(+) ttaaggaaggga >mm10_chr10:67858441-67858452(-)::chr10:67858440-67858452(-) GTCGGGAAGTAC >mm10_chr10:67858449-67858460(-)::chr10:67858448-67858460(-) AGTCGGAAGTCG >mm10_chr10:67858456-67858467(-)::chr10:67858455-67858467(-) agTCGGAAGTCG >mm10_chr10:67858463-67858474(-)::chr10:67858462-67858474(-) agcaggaagTCG >mm10_chr10:67858470-67858481(-)::chr10:67858469-67858481(-) agcaggaagcag >mm10_chr10:67858477-67858488(-)::chr10:67858476-67858488(-) agcaggaagcag >mm10_chr10:67866544-67866555(-)::chr10:67866543-67866555(-) AAAAGGAAGTAC >mm10_chr10:67873643-67873654(+)::chr10:67873642-67873654(+) aaaaggaaggag >mm10_chr10:67998220-67998231(-)::chr10:67998219-67998231(-) TGGAGGAAATGA >mm10_chr10:68093647-68093658(-)::chr10:68093646-68093658(-) TAAAGGAAATTG >mm10_chr10:68105358-68105369(-)::chr10:68105357-68105369(-) AAGCGGAAGTCG >mm10_chr10:68105371-68105382(-)::chr10:68105370-68105382(-) TCAAGGAAGTCA >mm10_chr10:68122002-68122013(+)::chr10:68122001-68122013(+) TCAAGGAAGATG >mm10_chr10:68157099-68157110(-)::chr10:68157098-68157110(-) ATAAGGAAATGA >mm10_chr10:68252864-68252875(-)::chr10:68252863-68252875(-) ATGAGGAAGTAG >mm10_chr10:68260222-68260233(+)::chr10:68260221-68260233(+) AAAAGGAAGGAG >mm10_chr10:68260229-68260240(+)::chr10:68260228-68260240(+) AGGAGGAAATAA >mm10_chr10:68268831-68268842(+)::chr10:68268830-68268842(+) ATGAGGAAGGAA >mm10_chr10:68268835-68268846(+)::chr10:68268834-68268846(+) GGAAGGAAGATG >mm10_chr10:68277970-68277981(+)::chr10:68277969-68277981(+) GGGAGGAAATAA >mm10_chr10:68279093-68279104(-)::chr10:68279092-68279104(-) ACGAGGAACTGA >mm10_chr10:68302760-68302771(-)::chr10:68302759-68302771(-) aagaggaAGTTG >mm10_chr10:68302766-68302777(-)::chr10:68302765-68302777(-) aggaggaagagg >mm10_chr10:68302815-68302826(-)::chr10:68302814-68302826(-) agaaggaaaaga >mm10_chr10:68302825-68302836(-)::chr10:68302824-68302836(-) aggaggaaggag >mm10_chr10:68302834-68302845(-)::chr10:68302833-68302845(-) aagaggaagagg >mm10_chr10:68374360-68374371(+)::chr10:68374359-68374371(+) AGACGGAAGCAT >mm10_chr10:68442950-68442961(+)::chr10:68442949-68442961(+) AGGAGGAACTAC >mm10_chr10:68494104-68494115(+)::chr10:68494103-68494115(+) aggaggaagagg >mm10_chr10:68494110-68494121(+)::chr10:68494109-68494121(+) aagaggaagaac >mm10_chr10:68494122-68494133(+)::chr10:68494121-68494133(+) agaaggaagagg >mm10_chr10:68494155-68494166(+)::chr10:68494154-68494166(+) aagaggaagaaa >mm10_chr10:68494167-68494178(+)::chr10:68494166-68494178(+) aggaggaaggac >mm10_chr10:68529034-68529045(+)::chr10:68529033-68529045(+) ACAAGGAACTGT >mm10_chr10:68557431-68557442(-)::chr10:68557430-68557442(-) aggaggaagaag >mm10_chr10:68557443-68557454(-)::chr10:68557442-68557454(-) aggaggaagggg >mm10_chr10:68557458-68557469(-)::chr10:68557457-68557469(-) gggaggaagaga >mm10_chr10:68557470-68557481(-)::chr10:68557469-68557481(-) aggaggaagaga >mm10_chr10:68557488-68557499(-)::chr10:68557487-68557499(-) ggaaggaagaag >mm10_chr10:68557492-68557503(-)::chr10:68557491-68557503(-) ggaaggaaggaa >mm10_chr10:68557496-68557507(-)::chr10:68557495-68557507(-) ggaaggaaggaa >mm10_chr10:68557500-68557511(-)::chr10:68557499-68557511(-) ggaaggaaggaa >mm10_chr10:68557504-68557515(-)::chr10:68557503-68557515(-) ggaaggaaggaa >mm10_chr10:68557508-68557519(-)::chr10:68557507-68557519(-) agaaggaaggaa >mm10_chr10:68558578-68558589(+)::chr10:68558577-68558589(+) AAAAGGAAGGAG >mm10_chr10:68732382-68732393(+)::chr10:68732381-68732393(+) GAGAGGAAGAGG >mm10_chr10:68732388-68732399(+)::chr10:68732387-68732399(+) AAGAGGAAGAAG >mm10_chr10:68795639-68795650(-)::chr10:68795638-68795650(-) GGAGGGAAGCGG >mm10_chr10:68827553-68827564(-)::chr10:68827552-68827564(-) agaaggaagtca >mm10_chr10:68827560-68827571(-)::chr10:68827559-68827571(-) ataaggaagaag >mm10_chr10:68827629-68827640(-)::chr10:68827628-68827640(-) gtaaggaagcaa >mm10_chr10:68916305-68916316(-)::chr10:68916304-68916316(-) agcaggaaatgc >mm10_chr10:68916485-68916496(+)::chr10:68916484-68916496(+) tagaggaagttg >mm10_chr10:68916526-68916537(+)::chr10:68916525-68916537(+) actaggaagtag >mm10_chr10:69185527-69185538(+)::chr10:69185526-69185538(+) ACAGGGAAGTTT >mm10_chr10:69220652-69220663(-)::chr10:69220651-69220663(-) AGAAGGAAGAAA >mm10_chr10:69254607-69254618(+)::chr10:69254606-69254618(+) tacaggaagggc >mm10_chr10:69254655-69254666(-)::chr10:69254654-69254666(-) accaggaaggaa >mm10_chr10:69312049-69312060(+)::chr10:69312048-69312060(+) ACCCGGAAGTGA >mm10_chr10:69357088-69357099(-)::chr10:69357087-69357099(-) aggaggaagtgt >mm10_chr10:69362121-69362132(+)::chr10:69362120-69362132(+) aggaggaagtgt >mm10_chr10:69362171-69362182(-)::chr10:69362170-69362182(-) tgaaggaagcag >mm10_chr10:69362196-69362207(-)::chr10:69362195-69362207(-) accaggaagcaa >mm10_chr10:69388474-69388485(-)::chr10:69388473-69388485(-) agaaggaagaag >mm10_chr10:69388481-69388492(-)::chr10:69388480-69388492(-) agaaggaagaag >mm10_chr10:69388488-69388499(-)::chr10:69388487-69388499(-) agaaggaagaag >mm10_chr10:69388859-69388870(-)::chr10:69388858-69388870(-) AGAAGGAAGTGA >mm10_chr10:69396027-69396038(-)::chr10:69396026-69396038(-) aggaggaagagg >mm10_chr10:69396042-69396053(-)::chr10:69396041-69396053(-) aagaggaagagg >mm10_chr10:69396048-69396059(-)::chr10:69396047-69396059(-) aggaggaagagg >mm10_chr10:69396058-69396069(-)::chr10:69396057-69396069(-) aagaggaaggag >mm10_chr10:69396064-69396075(-)::chr10:69396063-69396075(-) aagaggaagagg >mm10_chr10:69396070-69396081(-)::chr10:69396069-69396081(-) aggaggaagagg >mm10_chr10:69396080-69396091(-)::chr10:69396079-69396091(-) aggaggaaggag >mm10_chr10:69396087-69396098(-)::chr10:69396086-69396098(-) aggaggaaggag >mm10_chr10:69396094-69396105(-)::chr10:69396093-69396105(-) aggaggaaggag >mm10_chr10:69495842-69495853(-)::chr10:69495841-69495853(-) AGAAGGAAACAG >mm10_chr10:69612055-69612066(+)::chr10:69612054-69612066(+) CAGAGGAAGTTG >mm10_chr10:69612086-69612097(-)::chr10:69612085-69612097(-) TGCAGGAAGCAG >mm10_chr10:69617113-69617124(-)::chr10:69617112-69617124(-) CCAAGGAAGTGA >mm10_chr10:69630797-69630808(-)::chr10:69630796-69630808(-) acaaggaaacaa >mm10_chr10:69652800-69652811(-)::chr10:69652799-69652811(-) GGCAGGAAATAC >mm10_chr10:69652873-69652884(-)::chr10:69652872-69652884(-) AGCAGGAAGTTA >mm10_chr10:69664328-69664339(-)::chr10:69664327-69664339(-) agaaggaaattg >mm10_chr10:69664342-69664353(-)::chr10:69664341-69664353(-) caacggaagtca >mm10_chr10:69670886-69670897(-)::chr10:69670885-69670897(-) ATAAGGAAGCAC >mm10_chr10:69710773-69710784(+)::chr10:69710772-69710784(+) AGGAGGAAGCAG >mm10_chr10:69870985-69870996(-)::chr10:69870984-69870996(-) ACACGGAAGGGG >mm10_chr10:70029530-70029541(-)::chr10:70029529-70029541(-) ACAAGGAAATTA >mm10_chr10:70040012-70040023(+)::chr10:70040011-70040023(+) tagaggaagtga >mm10_chr10:70051215-70051226(-)::chr10:70051214-70051226(-) AGAAGGAAGCCT >mm10_chr10:70051677-70051688(-)::chr10:70051676-70051688(-) AGCAGGAAATGG >mm10_chr10:70061007-70061018(-)::chr10:70061006-70061018(-) AGAAGGAAAGGG >mm10_chr10:70064184-70064195(+)::chr10:70064183-70064195(+) ACAAGGAAGTCC >mm10_chr10:70067402-70067413(-)::chr10:70067401-70067413(-) ACAAGGAAGCTG >mm10_chr10:70072776-70072787(-)::chr10:70072775-70072787(-) acaaggaagaag >mm10_chr10:70072825-70072836(+)::chr10:70072824-70072836(+) tagaggaagttc >mm10_chr10:70074296-70074307(-)::chr10:70074295-70074307(-) TCCAGGAAGTTT >mm10_chr10:70109802-70109813(+)::chr10:70109801-70109813(+) ACTAGGAAATGA >mm10_chr10:70131503-70131514(+)::chr10:70131502-70131514(+) GTAAGGAAATGA >mm10_chr10:70131545-70131556(-)::chr10:70131544-70131556(-) AGAAGGAAATAG >mm10_chr10:70132065-70132076(-)::chr10:70132064-70132076(-) AACAGGAAGAAT >mm10_chr10:70166238-70166249(-)::chr10:70166237-70166249(-) AACAGGAAGCAT >mm10_chr10:70172681-70172692(-)::chr10:70172680-70172692(-) ATGAGGAAGGAG >mm10_chr10:70186835-70186846(-)::chr10:70186834-70186846(-) AGGAGGACGTGA >mm10_chr10:70186855-70186866(+)::chr10:70186854-70186866(+) TGCAGGAAGAAC >mm10_chr10:70198206-70198217(+)::chr10:70198205-70198217(+) TAAAGGAAGGGC >mm10_chr10:70201014-70201025(-)::chr10:70201013-70201025(-) TGCAGGAAGATG >mm10_chr10:70255349-70255360(-)::chr10:70255348-70255360(-) GCCAGGAAATGG >mm10_chr10:70269280-70269291(+)::chr10:70269279-70269291(+) ACGAGGAAGTCA >mm10_chr10:70292175-70292186(+)::chr10:70292174-70292186(+) AGAAGGAAACTA >mm10_chr10:70293907-70293918(+)::chr10:70293906-70293918(+) TGGAGGAAGAGG >mm10_chr10:70294173-70294184(+)::chr10:70294172-70294184(+) AACAGGAAGGAG >mm10_chr10:70299642-70299653(+)::chr10:70299641-70299653(+) AAAAGGAAGAGA >mm10_chr10:70307839-70307850(-)::chr10:70307838-70307850(-) ataaggaaatgg >mm10_chr10:70750451-70750462(-)::chr10:70750450-70750462(-) TCAGGGAAGTAC >mm10_chr10:70783758-70783769(-)::chr10:70783757-70783769(-) ataaggaaatgt >mm10_chr10:70789315-70789326(+)::chr10:70789314-70789326(+) AGAAGGAAAAGA >mm10_chr10:70844083-70844094(-)::chr10:70844082-70844094(-) atatggaagtca >mm10_chr10:70969242-70969253(+)::chr10:70969241-70969253(+) ATAAGGAAGAGG >mm10_chr10:70969286-70969297(+)::chr10:70969285-70969297(+) GCCAGGAAGAAA >mm10_chr10:70983573-70983584(-)::chr10:70983572-70983584(-) AAACGGAAGAGG >mm10_chr10:71062332-71062343(+)::chr10:71062331-71062343(+) TCCAGGAAGAAA >mm10_chr10:71271928-71271939(+)::chr10:71271927-71271939(+) aagaggaagagg >mm10_chr10:71271934-71271945(+)::chr10:71271933-71271945(+) aagaggaagaga >mm10_chr10:71318998-71319009(+)::chr10:71318997-71319009(+) ATAGGGAAGAGG >mm10_chr10:71325784-71325795(-)::chr10:71325783-71325795(-) agcaggaagggc >mm10_chr10:71339423-71339434(+)::chr10:71339422-71339434(+) TAAAGGAAGCTT >mm10_chr10:71339443-71339454(-)::chr10:71339442-71339454(-) AGGAGGAAGCAC >mm10_chr10:71356342-71356353(-)::chr10:71356341-71356353(-) atgaggaagaca >mm10_chr10:71356369-71356380(+)::chr10:71356368-71356380(+) agaaggaactgt >mm10_chr10:71369660-71369671(-)::chr10:71369659-71369671(-) TATAGGAAGTAC >mm10_chr10:71369681-71369692(-)::chr10:71369680-71369692(-) AGGAGGAAGAGA >mm10_chr10:71499480-71499491(-)::chr10:71499479-71499491(-) acaaggaagtat >mm10_chr10:71499523-71499534(-)::chr10:71499522-71499534(-) acaaggaaatgg >mm10_chr10:72051311-72051322(+)::chr10:72051310-72051322(+) agaaggaagaga >mm10_chr10:72163061-72163072(-)::chr10:72163060-72163072(-) GAAAGGAAGCAT >mm10_chr10:72620705-72620716(-)::chr10:72620704-72620716(-) AACAGGAAGTGA >mm10_chr10:72634462-72634473(-)::chr10:72634461-72634473(-) GTGAGGAAGTTC >mm10_chr10:73162413-73162424(+)::chr10:73162412-73162424(+) AATAGGAAGCAA >mm10_chr10:73370319-73370330(-)::chr10:73370318-73370330(-) attaggaaggaa >mm10_chr10:73514966-73514977(-)::chr10:73514965-73514977(-) ACAAGGAACTTA >mm10_chr10:74394413-74394424(-)::chr10:74394412-74394424(-) TGGAGGAAGTTC >mm10_chr10:74848415-74848426(-)::chr10:74848414-74848426(-) TCAAGGAAGTGT >mm10_chr10:74954282-74954293(+)::chr10:74954281-74954293(+) TCGGGGAAGTGA >mm10_chr10:75013538-75013549(-)::chr10:75013537-75013549(-) AGGAGGAAGCAG >mm10_chr10:75059490-75059501(+)::chr10:75059489-75059501(+) GGAAGGAAGGAA >mm10_chr10:75070385-75070396(+)::chr10:75070384-75070396(+) GAAAGGAAGGAA >mm10_chr10:75070389-75070400(+)::chr10:75070388-75070400(+) GGAAGGAAGGAA >mm10_chr10:75070393-75070404(+)::chr10:75070392-75070404(+) GGAAGGAAGGAA >mm10_chr10:75070397-75070408(+)::chr10:75070396-75070408(+) GGAAGGAAGAAA >mm10_chr10:75111169-75111180(-)::chr10:75111168-75111180(-) AGCAGGAAGCCG >mm10_chr10:75111706-75111717(+)::chr10:75111705-75111717(+) ATGAGGAAGTCA >mm10_chr10:75121281-75121292(+)::chr10:75121280-75121292(+) TGCAGGAAGGAC >mm10_chr10:75121311-75121322(+)::chr10:75121310-75121322(+) GGAAGCAAGTAG >mm10_chr10:75145788-75145799(-)::chr10:75145787-75145799(-) AGGAGGAAGTGG >mm10_chr10:75146246-75146257(-)::chr10:75146245-75146257(-) AAGAGGAAGAAG >mm10_chr10:75146277-75146288(-)::chr10:75146276-75146288(-) GGAAGGAAGAGT >mm10_chr10:75169519-75169530(+)::chr10:75169518-75169530(+) aagaggaaatgc >mm10_chr10:75170726-75170737(+)::chr10:75170725-75170737(+) TGGAGGAAGGAA >mm10_chr10:75170730-75170741(+)::chr10:75170729-75170741(+) GGAAGGAAGCAG >mm10_chr10:75177824-75177835(+)::chr10:75177823-75177835(+) AACAGGAAGGGA >mm10_chr10:75221687-75221698(-)::chr10:75221686-75221698(-) tgaaggaaatcc >mm10_chr10:75228511-75228522(+)::chr10:75228510-75228522(+) aggaggaagaga >mm10_chr10:75246618-75246629(+)::chr10:75246617-75246629(+) ACAAGGAAAAGG >mm10_chr10:75246651-75246662(+)::chr10:75246650-75246662(+) TGCAGGAAGATC >mm10_chr10:75246819-75246830(-)::chr10:75246818-75246830(-) GTAAGGTAGTGC >mm10_chr10:75255353-75255364(-)::chr10:75255352-75255364(-) AGGAGGAAGTAT >mm10_chr10:75258443-75258454(-)::chr10:75258442-75258454(-) ATAAGGAACTGA >mm10_chr10:75300013-75300024(+)::chr10:75300012-75300024(+) ATACGGAAGTAG >mm10_chr10:75406758-75406769(+)::chr10:75406757-75406769(+) AGGAGGAAGAAG >mm10_chr10:75495699-75495710(+)::chr10:75495698-75495710(+) agcaggaagtaa >mm10_chr10:75504890-75504901(+)::chr10:75504889-75504901(+) aagaggaagtca >mm10_chr10:75510919-75510930(+)::chr10:75510918-75510930(+) AGAAGGAAGAAA >mm10_chr10:75545749-75545760(+)::chr10:75545748-75545760(+) tcaaggaaggga >mm10_chr10:75545787-75545798(+)::chr10:75545786-75545798(+) acgaggaagcta >mm10_chr10:75545800-75545811(+)::chr10:75545799-75545811(+) tacaggaagatt >mm10_chr10:75551146-75551157(-)::chr10:75551145-75551157(-) AGAAGGAAGCGT >mm10_chr10:75551153-75551164(-)::chr10:75551152-75551164(-) GGAAGGAAGAAG >mm10_chr10:75551157-75551168(-)::chr10:75551156-75551168(-) ACCAGGAAGGAA >mm10_chr10:75563131-75563142(-)::chr10:75563130-75563142(-) gcaaggaaggat >mm10_chr10:75588058-75588069(-)::chr10:75588057-75588069(-) TAGAGGAAGAGG >mm10_chr10:75592563-75592574(-)::chr10:75592562-75592574(-) ACAAGGAAGGTC >mm10_chr10:75592597-75592608(+)::chr10:75592596-75592608(+) ACCAGGAAGTGA >mm10_chr10:75662402-75662413(+)::chr10:75662401-75662413(+) GAAAGGAAGAGG >mm10_chr10:75662431-75662442(+)::chr10:75662430-75662442(+) AGAGGGAAGTAC >mm10_chr10:75668784-75668795(+)::chr10:75668783-75668795(+) TACAGGAACTAG >mm10_chr10:75668812-75668823(-)::chr10:75668811-75668823(-) GGCAGGAAGAGC >mm10_chr10:75686956-75686967(+)::chr10:75686955-75686967(+) GGCAGGAAGAAG >mm10_chr10:75704482-75704493(+)::chr10:75704481-75704493(+) TAAAGGAATTGC >mm10_chr10:75710404-75710415(-)::chr10:75710403-75710415(-) TAAAGGAAACGA >mm10_chr10:75710413-75710424(+)::chr10:75710412-75710424(+) TTAGGGAAGTGA >mm10_chr10:75741990-75742001(-)::chr10:75741989-75742001(-) ATGAGGAAGAAG >mm10_chr10:75773080-75773091(+)::chr10:75773079-75773091(+) ACCAGGAAGGGA >mm10_chr10:75773111-75773122(+)::chr10:75773110-75773122(+) ACACGGAAGGTC >mm10_chr10:75781424-75781435(+)::chr10:75781423-75781435(+) GAAAGGAAGAAG >mm10_chr10:75781431-75781442(+)::chr10:75781430-75781442(+) AGAAGGAAGTGA >mm10_chr10:75827859-75827870(+)::chr10:75827858-75827870(+) CCTAGGAAGTTG >mm10_chr10:75827891-75827902(+)::chr10:75827890-75827902(+) CTGAGGAAGTAA >mm10_chr10:75860376-75860387(+)::chr10:75860375-75860387(+) TGTAGGAAGTAT >mm10_chr10:75868037-75868048(+)::chr10:75868036-75868048(+) aTAGGGAAGGAA >mm10_chr10:75868041-75868052(+)::chr10:75868040-75868052(+) GGAAGGAAGAGC >mm10_chr10:75868101-75868112(+)::chr10:75868100-75868112(+) AGCAGGAAGCCC >mm10_chr10:75897142-75897153(-)::chr10:75897141-75897153(-) AAGAGGAAGACA >mm10_chr10:75897700-75897711(-)::chr10:75897699-75897711(-) ACAAGGAAGTGG >mm10_chr10:75923416-75923427(+)::chr10:75923415-75923427(+) GGGAGGAAGCAG >mm10_chr10:75967465-75967476(-)::chr10:75967464-75967476(-) tagaggaagcta >mm10_chr10:76025615-76025626(+)::chr10:76025614-76025626(+) GTTAGGAAGTCT >mm10_chr10:76025653-76025664(+)::chr10:76025652-76025664(+) ATCCGGAAGTGG >mm10_chr10:76246108-76246119(+)::chr10:76246107-76246119(+) taaaggaagttc >mm10_chr10:76246166-76246177(-)::chr10:76246165-76246177(-) caaaggaagatc >mm10_chr10:76266684-76266695(-)::chr10:76266683-76266695(-) AGAAGGAAGAAG >mm10_chr10:76266701-76266712(-)::chr10:76266700-76266712(-) AGAAGGAAGAAG >mm10_chr10:76290413-76290424(-)::chr10:76290412-76290424(-) ggaaggaaggtt >mm10_chr10:76290417-76290428(-)::chr10:76290416-76290428(-) aacaggaaggaa >mm10_chr10:76301584-76301595(-)::chr10:76301583-76301595(-) ACCAGGAAGTGG >mm10_chr10:76336569-76336580(+)::chr10:76336568-76336580(+) TAAAGGAAACGT >mm10_chr10:76346284-76346295(+)::chr10:76346283-76346295(+) CTAAGGAAGTGT >mm10_chr10:76363469-76363480(-)::chr10:76363468-76363480(-) agcaggaagaga >mm10_chr10:76389928-76389939(-)::chr10:76389927-76389939(-) ACAAGGAAGCTC >mm10_chr10:76397845-76397856(+)::chr10:76397844-76397856(+) TCAAGGAAGAAT >mm10_chr10:76430322-76430333(-)::chr10:76430321-76430333(-) ggaaggcagtta >mm10_chr10:76443419-76443430(+)::chr10:76443418-76443430(+) ATAAGGAAATAA >mm10_chr10:76443484-76443495(+)::chr10:76443483-76443495(+) TACAGGAAATTA >mm10_chr10:76465622-76465633(-)::chr10:76465621-76465633(-) taaaggaaataa >mm10_chr10:76514836-76514847(+)::chr10:76514835-76514847(+) CTAAGGAAATTA >mm10_chr10:76531181-76531192(-)::chr10:76531180-76531192(-) GTACGGAAGAGG >mm10_chr10:76808925-76808936(-)::chr10:76808924-76808936(-) GGGAGGAAGGAC >mm10_chr10:76808936-76808947(-)::chr10:76808935-76808947(-) TAGAGGAAGGAG >mm10_chr10:76865914-76865925(-)::chr10:76865913-76865925(-) aacaggaagtct >mm10_chr10:76929168-76929179(+)::chr10:76929167-76929179(+) agaaggaaatca >mm10_chr10:77033930-77033941(-)::chr10:77033929-77033941(-) AAAGGGAAGTTG >mm10_chr10:77069993-77070004(+)::chr10:77069992-77070004(+) ACCAGGAAATAC >mm10_chr10:77103266-77103277(+)::chr10:77103265-77103277(+) atgaggaaggac >mm10_chr10:77103274-77103285(-)::chr10:77103273-77103285(-) tccaggaagtcc >mm10_chr10:77128686-77128697(+)::chr10:77128685-77128697(+) TACAGGAAGTCC >mm10_chr10:77128724-77128735(-)::chr10:77128723-77128735(-) TGAAGGAAGACA >mm10_chr10:77130757-77130768(-)::chr10:77130756-77130768(-) CTAAGGAAGCTG >mm10_chr10:77131776-77131787(-)::chr10:77131775-77131787(-) AGCAGGAAATGT >mm10_chr10:77136181-77136192(+)::chr10:77136180-77136192(+) GCCAGGAAGAGG >mm10_chr10:77136217-77136228(-)::chr10:77136216-77136228(-) GGCAGGAAGTAG >mm10_chr10:77151205-77151216(+)::chr10:77151204-77151216(+) AGGAGGAAGTTG >mm10_chr10:77183045-77183056(-)::chr10:77183044-77183056(-) aagaggaagggg >mm10_chr10:77183054-77183065(-)::chr10:77183053-77183065(-) gggaggaagaag >mm10_chr10:77206866-77206877(+)::chr10:77206865-77206877(+) AGAAGGAAGTGG >mm10_chr10:77215890-77215901(+)::chr10:77215889-77215901(+) GGGAGGAAGGAA >mm10_chr10:77215894-77215905(+)::chr10:77215893-77215905(+) GGAAGGAAGTGG >mm10_chr10:77242004-77242015(-)::chr10:77242003-77242015(-) GAGAGGAAGACA >mm10_chr10:77243835-77243846(-)::chr10:77243834-77243846(-) ATGAGGAAATGT >mm10_chr10:77266465-77266476(-)::chr10:77266464-77266476(-) AGGAGGAAGTAC >mm10_chr10:77398290-77398301(-)::chr10:77398289-77398301(-) GGAAGGAAGCAG >mm10_chr10:77504283-77504294(-)::chr10:77504282-77504294(-) ACAAGGAAGAGA >mm10_chr10:77509777-77509788(+)::chr10:77509776-77509788(+) AGAAGGAAGTCG >mm10_chr10:77509818-77509829(+)::chr10:77509817-77509829(+) GGAAGGAAATGA >mm10_chr10:77515959-77515970(+)::chr10:77515958-77515970(+) CCAGGGAAGTAA >mm10_chr10:77517211-77517222(-)::chr10:77517210-77517222(-) GGCAGGAAGAAG >mm10_chr10:77605012-77605023(+)::chr10:77605011-77605023(+) ACCAGGAAGTGG >mm10_chr10:77605040-77605051(-)::chr10:77605039-77605051(-) CCAAGGAAGGGC >mm10_chr10:77613616-77613627(-)::chr10:77613615-77613627(-) AGCAGGAAGGAA >mm10_chr10:77613636-77613647(-)::chr10:77613635-77613647(-) ACCAGGAAGGCT >mm10_chr10:77613697-77613708(+)::chr10:77613696-77613708(+) AGGAGGAAATGG >mm10_chr10:77621643-77621654(+)::chr10:77621642-77621654(+) CGAAGGCAGTGG >mm10_chr10:77621699-77621710(+)::chr10:77621698-77621710(+) ATGAGGAAGTAC >mm10_chr10:77623307-77623318(+)::chr10:77623306-77623318(+) atacggaactga >mm10_chr10:77635065-77635076(+)::chr10:77635064-77635076(+) AGCAGGAAGGGA >mm10_chr10:77721280-77721291(-)::chr10:77721279-77721291(-) ACCAGGAAGGTA >mm10_chr10:77736232-77736243(-)::chr10:77736231-77736243(-) GGCAGGAAGTCA >mm10_chr10:77750985-77750996(+)::chr10:77750984-77750996(+) AGGAGGAAGCGC >mm10_chr10:77763160-77763171(+)::chr10:77763159-77763171(+) tgaaggaagtgc >mm10_chr10:77795229-77795240(-)::chr10:77795228-77795240(-) GACAGGAAGAGG >mm10_chr10:77827152-77827163(-)::chr10:77827151-77827163(-) ACCAGGAAGGAG >mm10_chr10:77832582-77832593(-)::chr10:77832581-77832593(-) ccaaggaagcaa >mm10_chr10:77832620-77832631(-)::chr10:77832619-77832631(-) aggaggaagagt >mm10_chr10:77832627-77832638(-)::chr10:77832626-77832638(-) aagaggaaggag >mm10_chr10:77850954-77850965(+)::chr10:77850953-77850965(+) agtaggaagtga >mm10_chr10:77850972-77850983(+)::chr10:77850971-77850983(+) AACAGGAAGTGA >mm10_chr10:77978520-77978531(+)::chr10:77978519-77978531(+) TCACGGAAGTCG >mm10_chr10:77998551-77998562(-)::chr10:77998550-77998562(-) aggaggaagatg >mm10_chr10:78006302-78006313(-)::chr10:78006301-78006313(-) AGAAGGAAGCTT >mm10_chr10:78007701-78007712(+)::chr10:78007700-78007712(+) AACAGGAAGGGA >mm10_chr10:78007736-78007747(-)::chr10:78007735-78007747(-) GGCAGGAAGACC >mm10_chr10:78011002-78011013(+)::chr10:78011001-78011013(+) AGAAGGAAGGGG >mm10_chr10:78015587-78015598(-)::chr10:78015586-78015598(-) ATTAGGAAATAG >mm10_chr10:78108872-78108883(+)::chr10:78108871-78108883(+) AGAAGGAAGAAG >mm10_chr10:78127189-78127200(-)::chr10:78127188-78127200(-) acacggaaggaa >mm10_chr10:78129536-78129547(-)::chr10:78129535-78129547(-) CTAAGGAAATGG >mm10_chr10:78158228-78158239(+)::chr10:78158227-78158239(+) AGAAGGAAGCCG >mm10_chr10:78207930-78207941(-)::chr10:78207929-78207941(-) ACAAGGAAGCAG >mm10_chr10:78222347-78222358(+)::chr10:78222346-78222358(+) ACAAGGAAGGGA >mm10_chr10:78222375-78222386(-)::chr10:78222374-78222386(-) GGAAGGAAGTTG >mm10_chr10:78223098-78223109(+)::chr10:78223097-78223109(+) CCGAGGAAGAGC >mm10_chr10:78236780-78236791(-)::chr10:78236779-78236791(-) AGAAGGAAATAA >mm10_chr10:78236850-78236861(-)::chr10:78236849-78236861(-) GTGAGGAAGTGG >mm10_chr10:78295202-78295213(+)::chr10:78295201-78295213(+) ATGAGGAAGAAC >mm10_chr10:78295233-78295244(+)::chr10:78295232-78295244(+) GCAGGGAAGTAG >mm10_chr10:78306231-78306242(+)::chr10:78306230-78306242(+) GTAAAGAAGTCG >mm10_chr10:78306255-78306266(+)::chr10:78306254-78306266(+) AATAGGAAGCAG >mm10_chr10:78377588-78377599(-)::chr10:78377587-78377599(-) gagaggaaggaa >mm10_chr10:78425535-78425546(+)::chr10:78425534-78425546(+) GAAAGGACGTGA >mm10_chr10:78457913-78457924(-)::chr10:78457912-78457924(-) CGCAGGAAGTAG >mm10_chr10:78464062-78464073(+)::chr10:78464061-78464073(+) AGCAGGAAGCAC >mm10_chr10:78470520-78470531(+)::chr10:78470519-78470531(+) aagaGGAAGGAG >mm10_chr10:78470568-78470579(+)::chr10:78470567-78470579(+) GAGAGGAAGGAG >mm10_chr10:78470582-78470593(+)::chr10:78470581-78470593(+) AAGAGGAAGAAG >mm10_chr10:78471248-78471259(+)::chr10:78471247-78471259(+) AACCGGAAGTGA >mm10_chr10:78471886-78471897(+)::chr10:78471885-78471897(+) aggaggaagagg >mm10_chr10:78471892-78471903(+)::chr10:78471891-78471903(+) aagaggaagaag >mm10_chr10:78471935-78471946(+)::chr10:78471934-78471946(+) aggaggaagagg >mm10_chr10:78471941-78471952(+)::chr10:78471940-78471952(+) aagaggaagaag >mm10_chr10:78482951-78482962(-)::chr10:78482950-78482962(-) GCAAGGAAGCAC >mm10_chr10:78483713-78483724(-)::chr10:78483712-78483724(-) aagaggaagagg >mm10_chr10:78575878-78575889(-)::chr10:78575877-78575889(-) GCACGGAAGTGA >mm10_chr10:78785496-78785507(-)::chr10:78785495-78785507(-) aggaggaagtag >mm10_chr10:78785517-78785528(-)::chr10:78785516-78785528(-) tagaggaagagg >mm10_chr10:79539039-79539050(+)::chr10:79539038-79539050(+) GAGAGGAAGAAA >mm10_chr10:79539049-79539060(+)::chr10:79539048-79539060(+) AACAGGAAGCAA >mm10_chr10:79539070-79539081(+)::chr10:79539069-79539081(+) TGCAGGAAGTGA >mm10_chr10:79543498-79543509(+)::chr10:79543497-79543509(+) ACAAGGAAGTAA >mm10_chr10:79543532-79543543(+)::chr10:79543531-79543543(+) ACAGGGAAGTGA >mm10_chr10:79681931-79681942(-)::chr10:79681930-79681942(-) ACCGGGAAGTGT >mm10_chr10:79696225-79696236(+)::chr10:79696224-79696236(+) AACAGGAAGTCT >mm10_chr10:79747035-79747046(-)::chr10:79747034-79747046(-) aggaggaagagg >mm10_chr10:79779283-79779294(+)::chr10:79779282-79779294(+) AGGAGGAAGCTG >mm10_chr10:79779326-79779337(+)::chr10:79779325-79779337(+) ATAGGGAAGGAG >mm10_chr10:79807920-79807931(+)::chr10:79807919-79807931(+) CGAAGGAAGGGA >mm10_chr10:79808256-79808267(-)::chr10:79808255-79808267(-) ACAAGGAAATCC >mm10_chr10:79857688-79857699(+)::chr10:79857687-79857699(+) TGCAGGAAGTAA >mm10_chr10:79857692-79857703(+)::chr10:79857691-79857703(+) GGAAGTAAGTAC >mm10_chr10:79865629-79865640(-)::chr10:79865628-79865640(-) aagaggaAGGGC >mm10_chr10:79865635-79865646(-)::chr10:79865634-79865646(-) aggaggaagagg >mm10_chr10:79865644-79865655(-)::chr10:79865643-79865655(-) aggaggaagagg >mm10_chr10:79865656-79865667(-)::chr10:79865655-79865667(-) aggaggaagaag >mm10_chr10:79865674-79865685(-)::chr10:79865673-79865685(-) aagaggaagagg >mm10_chr10:79865680-79865691(-)::chr10:79865679-79865691(-) aggaggaagagg >mm10_chr10:79877882-79877893(+)::chr10:79877881-79877893(+) AGCAGGAAGGGG >mm10_chr10:79915400-79915411(-)::chr10:79915399-79915411(-) ATGGGGAAGTGG >mm10_chr10:79915410-79915421(+)::chr10:79915409-79915421(+) ATCAGGAAGCAG >mm10_chr10:79931761-79931772(+)::chr10:79931760-79931772(+) TCCAGGAAGTCC >mm10_chr10:79931807-79931818(+)::chr10:79931806-79931818(+) aggaggaagagg >mm10_chr10:79931819-79931830(+)::chr10:79931818-79931830(+) aggaggaagagg >mm10_chr10:79931825-79931836(+)::chr10:79931824-79931836(+) aagaggaagatg >mm10_chr10:79932867-79932878(+)::chr10:79932866-79932878(+) TGGAGGAAGTGG >mm10_chr10:79936493-79936504(+)::chr10:79936492-79936504(+) ACAAGGAAATGG >mm10_chr10:80063690-80063701(+)::chr10:80063689-80063701(+) GAAGGGAAGTGC >mm10_chr10:80075439-80075450(-)::chr10:80075438-80075450(-) TAGGGGAAGTCG >mm10_chr10:80084998-80085009(+)::chr10:80084997-80085009(+) atagggaaataa >mm10_chr10:80085389-80085400(-)::chr10:80085388-80085400(-) GGAAGTAAGTGG >mm10_chr10:80085393-80085404(-)::chr10:80085392-80085404(-) CACAGGAAGTAA >mm10_chr10:80102672-80102683(-)::chr10:80102671-80102683(-) ACCCGGAAGTGA >mm10_chr10:80102710-80102721(-)::chr10:80102709-80102721(-) AAGCGGAAGTGC >mm10_chr10:80106162-80106173(-)::chr10:80106161-80106173(-) AGGAGGAAGGCC >mm10_chr10:80116962-80116973(-)::chr10:80116961-80116973(-) AGGAGGAAGGGC >mm10_chr10:80117014-80117025(-)::chr10:80117013-80117025(-) ggaaggaaatga >mm10_chr10:80117018-80117029(-)::chr10:80117017-80117029(-) aggaggaaggaa >mm10_chr10:80127452-80127463(+)::chr10:80127451-80127463(+) AACAGGAAGCGT >mm10_chr10:80129917-80129928(+)::chr10:80129916-80129928(+) AAGAGGAAGTGG >mm10_chr10:80149189-80149200(-)::chr10:80149188-80149200(-) AACAGGAAATCG >mm10_chr10:80149195-80149206(-)::chr10:80149194-80149206(-) ATAAGGAACAGG >mm10_chr10:80151322-80151333(-)::chr10:80151321-80151333(-) acgcggaagcgg >mm10_chr10:80151357-80151368(-)::chr10:80151356-80151368(-) Ggaaggaagagg >mm10_chr10:80151361-80151372(-)::chr10:80151360-80151372(-) GGTAGgaaggaa >mm10_chr10:80151903-80151914(-)::chr10:80151902-80151914(-) AAGAGGAAGCGG >mm10_chr10:80156151-80156162(-)::chr10:80156150-80156162(-) AGCAGGAAGTCA >mm10_chr10:80158518-80158529(-)::chr10:80158517-80158529(-) AGCAGGAAGTGG >mm10_chr10:80158541-80158552(+)::chr10:80158540-80158552(+) GGACGGAAGTAC >mm10_chr10:80159766-80159777(-)::chr10:80159765-80159777(-) GGAAGGAAGTGA >mm10_chr10:80167555-80167566(+)::chr10:80167554-80167566(+) ACGCGGAAGTGG >mm10_chr10:80173151-80173162(-)::chr10:80173150-80173162(-) ATGAGGAAGTAA >mm10_chr10:80173216-80173227(+)::chr10:80173215-80173227(+) AGGAGGAAATGG >mm10_chr10:80215281-80215292(+)::chr10:80215280-80215292(+) ATGAGGAAGTCT >mm10_chr10:80215302-80215313(+)::chr10:80215301-80215313(+) ACAAGGCAGTAG >mm10_chr10:80292453-80292464(-)::chr10:80292452-80292464(-) AAAAGGAAGTAC >mm10_chr10:80320536-80320547(-)::chr10:80320535-80320547(-) AGCAGGAAGCGG >mm10_chr10:80320567-80320578(-)::chr10:80320566-80320578(-) GACCGGAAGTGC >mm10_chr10:80329541-80329552(-)::chr10:80329540-80329552(-) GGAAGGAAGCGC >mm10_chr10:80329545-80329556(-)::chr10:80329544-80329556(-) GGGAGGAAGGAA >mm10_chr10:80339826-80339837(-)::chr10:80339825-80339837(-) aaAAGGAAGGCC >mm10_chr10:80348222-80348233(+)::chr10:80348221-80348233(+) CGTAGGAAGTGC >mm10_chr10:80401086-80401097(+)::chr10:80401085-80401097(+) GGCAGGAAGGGA >mm10_chr10:80401121-80401132(+)::chr10:80401120-80401132(+) GCAAGGAAGTTC >mm10_chr10:80500983-80500994(+)::chr10:80500982-80500994(+) ACAAGGAAGTAT >mm10_chr10:80557942-80557953(+)::chr10:80557941-80557953(+) ACAGGGAAATAT >mm10_chr10:80578508-80578519(+)::chr10:80578507-80578519(+) GCGCGGAAGTAG >mm10_chr10:80590304-80590315(+)::chr10:80590303-80590315(+) GGGCGGAAGTGA >mm10_chr10:80596287-80596298(-)::chr10:80596286-80596298(-) AAAAGGAAGTGT >mm10_chr10:80608109-80608120(-)::chr10:80608108-80608120(-) ggatggaagTAC >mm10_chr10:80608117-80608128(-)::chr10:80608116-80608128(-) agaaggaaggat >mm10_chr10:80619826-80619837(+)::chr10:80619825-80619837(+) TGCAGGAAGAAC >mm10_chr10:80623493-80623504(-)::chr10:80623492-80623504(-) ATCAGGAAGAAG >mm10_chr10:80656717-80656728(+)::chr10:80656716-80656728(+) gagaggaagatg >mm10_chr10:80657285-80657296(+)::chr10:80657284-80657296(+) AAAAGGAAGAAG >mm10_chr10:80678709-80678720(+)::chr10:80678708-80678720(+) AGAGGGAAGAAA >mm10_chr10:80678721-80678732(+)::chr10:80678720-80678732(+) AACAGGAAGTGA >mm10_chr10:80693004-80693015(+)::chr10:80693003-80693015(+) ATCAGGAAGTCA >mm10_chr10:80696032-80696043(+)::chr10:80696031-80696043(+) AGAAGGAAGACT >mm10_chr10:80701912-80701923(-)::chr10:80701911-80701923(-) TAAGGGAAGTAA >mm10_chr10:80774310-80774321(-)::chr10:80774309-80774321(-) ACCAGGAAGCCA >mm10_chr10:80798603-80798614(+)::chr10:80798602-80798614(+) AGACGGAAGTCC >mm10_chr10:80901032-80901043(+)::chr10:80901031-80901043(+) GAAAGGAAGGAA >mm10_chr10:80901073-80901084(-)::chr10:80901072-80901084(-) CGCGGGAAGTTG >mm10_chr10:80914393-80914404(-)::chr10:80914392-80914404(-) TACAGGAAGTTG >mm10_chr10:80933838-80933849(-)::chr10:80933837-80933849(-) ACCAGGAAGTAA >mm10_chr10:80937800-80937811(+)::chr10:80937799-80937811(+) TCCAGGAAGTGC >mm10_chr10:80948014-80948025(-)::chr10:80948013-80948025(-) tccaggaagaac >mm10_chr10:80957073-80957084(-)::chr10:80957072-80957084(-) ACAAGGAAACGA >mm10_chr10:80961420-80961431(+)::chr10:80961419-80961431(+) AGAAGGAAGCCA >mm10_chr10:80963955-80963966(+)::chr10:80963954-80963966(+) TGAAGGAAGGGA >mm10_chr10:81005570-81005581(-)::chr10:81005569-81005581(-) AGAGGGAAGTTC >mm10_chr10:81008742-81008753(-)::chr10:81008741-81008753(-) ACAGGGAAGTGA >mm10_chr10:81008754-81008765(-)::chr10:81008753-81008765(-) GGAAGGAAGTTG >mm10_chr10:81011084-81011095(+)::chr10:81011083-81011095(+) GGGAGGAAGGAA >mm10_chr10:81037503-81037514(+)::chr10:81037502-81037514(+) TTCCGGAAGTAG >mm10_chr10:81060362-81060373(+)::chr10:81060361-81060373(+) AACCGGAAGTTA >mm10_chr10:81114204-81114215(-)::chr10:81114203-81114215(-) AGCAGGAAGTCT >mm10_chr10:81127670-81127681(+)::chr10:81127669-81127681(+) AGCCGGAAGTGC >mm10_chr10:81167820-81167831(-)::chr10:81167819-81167831(-) TGGAGGAAGGAG >mm10_chr10:81168932-81168943(-)::chr10:81168931-81168943(-) AAACGGAAGCAA >mm10_chr10:81168997-81169008(-)::chr10:81168996-81169008(-) AGCAGGAAGCAC >mm10_chr10:81232975-81232986(-)::chr10:81232974-81232986(-) TTAGGGAAGTCG >mm10_chr10:81266917-81266928(-)::chr10:81266916-81266928(-) ACACGGAAGTCT >mm10_chr10:81283330-81283341(+)::chr10:81283329-81283341(+) ACCAGGAAGTGT >mm10_chr10:81286906-81286917(+)::chr10:81286905-81286917(+) TAGAGGAAGAAG >mm10_chr10:81286913-81286924(+)::chr10:81286912-81286924(+) AGAAGGAAGGGA >mm10_chr10:81290000-81290011(+)::chr10:81289999-81290011(+) AGGAGGAAGAAC >mm10_chr10:81290055-81290066(+)::chr10:81290054-81290066(+) ACACGGAAGTGC >mm10_chr10:81294552-81294563(-)::chr10:81294551-81294563(-) AAAAGGAACTTC >mm10_chr10:81298066-81298077(-)::chr10:81298065-81298077(-) GAAAGGAAGTCA >mm10_chr10:81300938-81300949(-)::chr10:81300937-81300949(-) aggaggaagggg >mm10_chr10:81300953-81300964(-)::chr10:81300952-81300964(-) agaaggaagggg >mm10_chr10:81300960-81300971(-)::chr10:81300959-81300971(-) tggaggaagaag >mm10_chr10:81300969-81300980(-)::chr10:81300968-81300980(-) aggaggacgtgg >mm10_chr10:81358574-81358585(+)::chr10:81358573-81358585(+) TCCAGGAAGAAT >mm10_chr10:81365865-81365876(+)::chr10:81365864-81365876(+) ACAGGGAAGCGG >mm10_chr10:81365901-81365912(-)::chr10:81365900-81365912(-) ACCAGGAAGTAA >mm10_chr10:81384321-81384332(+)::chr10:81384320-81384332(+) CGGAGGAAGACC >mm10_chr10:81384329-81384340(+)::chr10:81384328-81384340(+) GACCGGAAGTTG >mm10_chr10:81389504-81389515(-)::chr10:81389503-81389515(-) AGAAGGAAGATG >mm10_chr10:81409042-81409053(-)::chr10:81409041-81409053(-) AGCAGGAAACGG >mm10_chr10:81409079-81409090(-)::chr10:81409078-81409090(-) AACAGGAAATCC >mm10_chr10:81411095-81411106(-)::chr10:81411094-81411106(-) GACAGGAAGGAG >mm10_chr10:81424833-81424844(+)::chr10:81424832-81424844(+) TCATGGAAGTAA >mm10_chr10:81434175-81434186(+)::chr10:81434174-81434186(+) GACAGGAAGTTG >mm10_chr10:81452845-81452856(-)::chr10:81452844-81452856(-) GGAAGGAAGCTT >mm10_chr10:81452849-81452860(-)::chr10:81452848-81452860(-) TGGAGGAAGGAA >mm10_chr10:81463844-81463855(-)::chr10:81463843-81463855(-) ACCAGGAAGGCC >mm10_chr10:81502741-81502752(+)::chr10:81502740-81502752(+) AGTAGGAAGCAG >mm10_chr10:81510373-81510384(-)::chr10:81510372-81510384(-) TGGAGGAAGGGA >mm10_chr10:81519753-81519764(+)::chr10:81519752-81519764(+) GACAGGAAGTGT >mm10_chr10:81519765-81519776(-)::chr10:81519764-81519776(-) AGAAGGAAGCAG >mm10_chr10:81519786-81519797(+)::chr10:81519785-81519797(+) AGGAGGAAGTGC >mm10_chr10:81524431-81524442(+)::chr10:81524430-81524442(+) GGAGGGAAGTCT >mm10_chr10:81524443-81524454(-)::chr10:81524442-81524454(-) ACACGGAAGCAC >mm10_chr10:81569051-81569062(-)::chr10:81569050-81569062(-) aggaggaagaga >mm10_chr10:81585174-81585185(-)::chr10:81585173-81585185(-) aagaggaagtaa >mm10_chr10:81600771-81600782(+)::chr10:81600770-81600782(+) CGCAGGAAGCGG >mm10_chr10:81606267-81606278(-)::chr10:81606266-81606278(-) AACCGGAAGTGA >mm10_chr10:81606288-81606299(+)::chr10:81606287-81606299(+) AGCCGGAAGTTC >mm10_chr10:81627333-81627344(-)::chr10:81627332-81627344(-) GGCGGGAAGTGA >mm10_chr10:81633836-81633847(+)::chr10:81633835-81633847(+) GGCGGGAAGTGG >mm10_chr10:82048102-82048113(-)::chr10:82048101-82048113(-) TGGCGGAAGTGC >mm10_chr10:82241290-82241301(+)::chr10:82241289-82241301(+) GACCGGAAGTGC >mm10_chr10:82697475-82697486(-)::chr10:82697474-82697486(-) AGGAGGAAGTGT >mm10_chr10:82697511-82697522(+)::chr10:82697510-82697522(+) TAAAGGAAATGG >mm10_chr10:82714524-82714535(+)::chr10:82714523-82714535(+) atgaggaagtat >mm10_chr10:82753038-82753049(+)::chr10:82753037-82753049(+) GGCAGGAAGGTC >mm10_chr10:82753091-82753102(+)::chr10:82753090-82753102(+) TCAGGGAAGTAC >mm10_chr10:82764134-82764145(-)::chr10:82764133-82764145(-) AGAGGGAAGCGG >mm10_chr10:82764549-82764560(-)::chr10:82764548-82764560(-) TGGAGGAAGGGG >mm10_chr10:82796998-82797009(+)::chr10:82796997-82797009(+) AGAAGGAAGGAG >mm10_chr10:82837587-82837598(-)::chr10:82837586-82837598(-) aagaggaagaAA >mm10_chr10:82837593-82837604(-)::chr10:82837592-82837604(-) aggaggaagagg >mm10_chr10:82837620-82837631(-)::chr10:82837619-82837631(-) ataaggaagaag >mm10_chr10:82838326-82838337(-)::chr10:82838325-82838337(-) AGAAGGAAACAA >mm10_chr10:82858602-82858613(+)::chr10:82858601-82858613(+) AGGAGGAAGTAT >mm10_chr10:82860822-82860833(+)::chr10:82860821-82860833(+) GTCAGGAAATAC >mm10_chr10:82860837-82860848(-)::chr10:82860836-82860848(-) ATGAGGAAGGGG >mm10_chr10:82866798-82866809(+)::chr10:82866797-82866809(+) aggaggaagagg >mm10_chr10:82866816-82866827(+)::chr10:82866815-82866827(+) agaaggaagaaa >mm10_chr10:82866828-82866839(+)::chr10:82866827-82866839(+) aggaggaagggg >mm10_chr10:82866849-82866860(+)::chr10:82866848-82866860(+) aggaggaAGGCT >mm10_chr10:82958103-82958114(+)::chr10:82958102-82958114(+) atgaggaagaag >mm10_chr10:82958581-82958592(+)::chr10:82958580-82958592(+) aacaggaagtag >mm10_chr10:82964984-82964995(-)::chr10:82964983-82964995(-) aggaggaagaag >mm10_chr10:82964991-82965002(-)::chr10:82964990-82965002(-) aaaaggaaggag >mm10_chr10:82965003-82965014(-)::chr10:82965002-82965014(-) agaaggaagaga >mm10_chr10:82965016-82965027(-)::chr10:82965015-82965027(-) gggaggaagaag >mm10_chr10:82991261-82991272(-)::chr10:82991260-82991272(-) ACAAGGAAGTGA >mm10_chr10:83032349-83032360(+)::chr10:83032348-83032360(+) GGGAGGAAGGGA >mm10_chr10:83063530-83063541(+)::chr10:83063529-83063541(+) AGGAGGAAGGGA >mm10_chr10:83063538-83063549(+)::chr10:83063537-83063549(+) GGGAGGAAGTTG >mm10_chr10:83099592-83099603(-)::chr10:83099591-83099603(-) AGCAGGAAGTGT >mm10_chr10:83140306-83140317(+)::chr10:83140305-83140317(+) AGGAGGAAGGCC >mm10_chr10:83184065-83184076(+)::chr10:83184064-83184076(+) AACAGGAAGTGA >mm10_chr10:83184081-83184092(-)::chr10:83184080-83184092(-) CCACGGAAGTAG >mm10_chr10:83200969-83200980(+)::chr10:83200968-83200980(+) aagaggaagaag >mm10_chr10:83200981-83200992(+)::chr10:83200980-83200992(+) aggaggaagagg >mm10_chr10:83261548-83261559(-)::chr10:83261547-83261559(-) aaaaggaaaata >mm10_chr10:83321771-83321782(-)::chr10:83321770-83321782(-) ATGAGGAAGTAA >mm10_chr10:83333288-83333299(+)::chr10:83333287-83333299(+) ACAAGGAAGAGG >mm10_chr10:83344065-83344076(+)::chr10:83344064-83344076(+) aagaggaagggg >mm10_chr10:83344082-83344093(+)::chr10:83344081-83344093(+) agaaggaaaagg >mm10_chr10:83353754-83353765(+)::chr10:83353753-83353765(+) ATGAGGAAGAGG >mm10_chr10:83354163-83354174(+)::chr10:83354162-83354174(+) AGGAGGAAGAAA >mm10_chr10:83397528-83397539(+)::chr10:83397527-83397539(+) gccaggaaggag >mm10_chr10:83397540-83397551(-)::chr10:83397539-83397551(-) atgaggaagttc >mm10_chr10:83543949-83543960(+)::chr10:83543948-83543960(+) AGCCGGAAGTCA >mm10_chr10:83631028-83631039(+)::chr10:83631027-83631039(+) AGCAGGAAGTGT >mm10_chr10:83637613-83637624(+)::chr10:83637612-83637624(+) GTAAGGAAGTGT >mm10_chr10:83643343-83643354(+)::chr10:83643342-83643354(+) AGCAGGAAGAAC >mm10_chr10:83648782-83648793(-)::chr10:83648781-83648793(-) GGACGGAAGCGT >mm10_chr10:83757794-83757805(+)::chr10:83757793-83757805(+) ATGAGGAAGTGA >mm10_chr10:83958610-83958621(-)::chr10:83958609-83958621(-) gacaggaagagg >mm10_chr10:83996067-83996078(-)::chr10:83996066-83996078(-) AGGAGGAAGCCA >mm10_chr10:83996074-83996085(-)::chr10:83996073-83996085(-) TGGAGGAAGGAG >mm10_chr10:83996083-83996094(-)::chr10:83996082-83996094(-) TGCAGGAAGTGG >mm10_chr10:84019540-84019551(+)::chr10:84019539-84019551(+) ACCAGGAAGCAG >mm10_chr10:84060781-84060792(+)::chr10:84060780-84060792(+) GACAGGAAGGGG >mm10_chr10:84060792-84060803(+)::chr10:84060791-84060803(+) GGCAGGAAGTCA >mm10_chr10:84060829-84060840(+)::chr10:84060828-84060840(+) GGCAGGAAGCAG >mm10_chr10:84183502-84183513(+)::chr10:84183501-84183513(+) ACAAGGAAGGAA >mm10_chr10:84412641-84412652(-)::chr10:84412640-84412652(-) TTAAGGAAGAGA >mm10_chr10:84416834-84416845(+)::chr10:84416833-84416845(+) GCCAGGAAGCAT >mm10_chr10:84460856-84460867(+)::chr10:84460855-84460867(+) ACCAGGAAGTGA >mm10_chr10:84460927-84460938(+)::chr10:84460926-84460938(+) ACCAGGAAGTCA >mm10_chr10:84483917-84483928(-)::chr10:84483916-84483928(-) aagaggaagaaa >mm10_chr10:84484695-84484706(+)::chr10:84484694-84484706(+) aggaggaagaat >mm10_chr10:84484713-84484724(+)::chr10:84484712-84484724(+) aggaggaaggaa >mm10_chr10:84484717-84484728(+)::chr10:84484716-84484728(+) ggaaggaagagg >mm10_chr10:84484723-84484734(+)::chr10:84484722-84484734(+) aagaggaagaCA >mm10_chr10:84499002-84499013(+)::chr10:84499001-84499013(+) cagaggaagtcc >mm10_chr10:84499046-84499057(-)::chr10:84499045-84499057(-) agaaggaagctt >mm10_chr10:84516572-84516583(+)::chr10:84516571-84516583(+) TAGAGGAAGTGC >mm10_chr10:84549371-84549382(+)::chr10:84549370-84549382(+) GGCAGGAAGAAA >mm10_chr10:84563000-84563011(-)::chr10:84562999-84563011(-) agagggaagtgc >mm10_chr10:84622284-84622295(-)::chr10:84622283-84622295(-) ACCCGGAAGTGA >mm10_chr10:84647368-84647379(+)::chr10:84647367-84647379(+) agcaggaagcag >mm10_chr10:84647381-84647392(+)::chr10:84647380-84647392(+) agcaggaagctg >mm10_chr10:84647415-84647426(+)::chr10:84647414-84647426(+) caaaggaagtgg >mm10_chr10:84647433-84647444(+)::chr10:84647432-84647444(+) agcaggaattcg >mm10_chr10:84671519-84671530(-)::chr10:84671518-84671530(-) AACAGGAAGTAG >mm10_chr10:84686270-84686281(+)::chr10:84686269-84686281(+) ACTAGGAAGGAA >mm10_chr10:84686274-84686285(+)::chr10:84686273-84686285(+) GGAAGGAAATCG >mm10_chr10:84785538-84785549(-)::chr10:84785537-84785549(-) gacaggaagtga >mm10_chr10:84785582-84785593(+)::chr10:84785581-84785593(+) AGGAGGAAGATG >mm10_chr10:84805742-84805753(+)::chr10:84805741-84805753(+) gtaaggaagctg >mm10_chr10:84805781-84805792(-)::chr10:84805780-84805792(-) ggagggaagttc >mm10_chr10:84805817-84805828(-)::chr10:84805816-84805828(-) ggcaggaagtca >mm10_chr10:84805830-84805841(-)::chr10:84805829-84805841(-) tgagggaagtca >mm10_chr10:84823745-84823756(+)::chr10:84823744-84823756(+) TAGAGGAAGAGG >mm10_chr10:84823779-84823790(-)::chr10:84823778-84823790(-) GGAAGGAAGGAG >mm10_chr10:84829423-84829434(+)::chr10:84829422-84829434(+) agaaggaactAT >mm10_chr10:84829478-84829489(+)::chr10:84829477-84829489(+) agcaggaagtct >mm10_chr10:84854250-84854261(-)::chr10:84854249-84854261(-) ACCAGGAAGCTG >mm10_chr10:84905723-84905734(-)::chr10:84905722-84905734(-) ACCAGGAAGTCG >mm10_chr10:84905745-84905756(-)::chr10:84905744-84905756(-) TCCAGGAAGTAG >mm10_chr10:84917169-84917180(+)::chr10:84917168-84917180(+) AGAGGGAAGTCT >mm10_chr10:84931226-84931237(-)::chr10:84931225-84931237(-) GGAAGGAAATTC >mm10_chr10:84931230-84931241(-)::chr10:84931229-84931241(-) TGGAGGAAGGAA >mm10_chr10:84963441-84963452(-)::chr10:84963440-84963452(-) AGAGGGAAGTGA >mm10_chr10:84963452-84963463(-)::chr10:84963451-84963463(-) TCAAGGAAGAAA >mm10_chr10:84969027-84969038(+)::chr10:84969026-84969038(+) ACCAGGAAGTCC >mm10_chr10:85008834-85008845(+)::chr10:85008833-85008845(+) ACAAGGATGTGT >mm10_chr10:85032608-85032619(+)::chr10:85032607-85032619(+) aggaggaagagg >mm10_chr10:85032614-85032625(+)::chr10:85032613-85032625(+) aagaggaagagg >mm10_chr10:85032620-85032631(+)::chr10:85032619-85032631(+) aagaggaagagg >mm10_chr10:85032626-85032637(+)::chr10:85032625-85032637(+) aagaggaagagg >mm10_chr10:85032632-85032643(+)::chr10:85032631-85032643(+) aagaggaagagg >mm10_chr10:85032638-85032649(+)::chr10:85032637-85032649(+) aagaggaagagg >mm10_chr10:85032644-85032655(+)::chr10:85032643-85032655(+) aagaggaagagg >mm10_chr10:85032658-85032669(+)::chr10:85032657-85032669(+) aggaggaagagg >mm10_chr10:85032670-85032681(+)::chr10:85032669-85032681(+) aggaggaagagg >mm10_chr10:85032684-85032695(+)::chr10:85032683-85032695(+) aggaggaagagg >mm10_chr10:85108973-85108984(-)::chr10:85108972-85108984(-) agaaggaagagA >mm10_chr10:85108988-85108999(-)::chr10:85108987-85108999(-) aggaggaagagg >mm10_chr10:85109003-85109014(-)::chr10:85109002-85109014(-) agaaggaagagg >mm10_chr10:85109018-85109029(-)::chr10:85109017-85109029(-) aagaggaagagg >mm10_chr10:85109024-85109035(-)::chr10:85109023-85109035(-) aggaggaagagg >mm10_chr10:85128116-85128127(+)::chr10:85128115-85128127(+) TGCAGGAAGTCA >mm10_chr10:85221685-85221696(-)::chr10:85221684-85221696(-) ACAAGCAAGTGT >mm10_chr10:85298490-85298501(-)::chr10:85298489-85298501(-) AACAGGAAGGGG >mm10_chr10:85354208-85354219(-)::chr10:85354207-85354219(-) TACAGGAAATAT >mm10_chr10:85354442-85354453(-)::chr10:85354441-85354453(-) AACAGGAAGTAG >mm10_chr10:85354460-85354471(-)::chr10:85354459-85354471(-) AACAGGAAGTGT >mm10_chr10:85354478-85354489(-)::chr10:85354477-85354489(-) AACAGGAAGTAT >mm10_chr10:85354496-85354507(-)::chr10:85354495-85354507(-) AACAGGAAGTGT >mm10_chr10:85371735-85371746(-)::chr10:85371734-85371746(-) ggaaggatgtgt >mm10_chr10:85372845-85372856(-)::chr10:85372844-85372856(-) TGAAGGAAGTGA >mm10_chr10:85398931-85398942(-)::chr10:85398930-85398942(-) AAACGGAAGGCA >mm10_chr10:85421001-85421012(-)::chr10:85421000-85421012(-) AGGAGGAAGTGA >mm10_chr10:85421026-85421037(+)::chr10:85421025-85421037(+) GTGAGGAAATAC >mm10_chr10:85473655-85473666(-)::chr10:85473654-85473666(-) acacggaaggcc >mm10_chr10:85473667-85473678(-)::chr10:85473666-85473678(-) TAATGGAAGTgg >mm10_chr10:85517550-85517561(-)::chr10:85517549-85517561(-) TGGAGGAAGTTA >mm10_chr10:85517561-85517572(-)::chr10:85517560-85517572(-) AGGAGGAAGCGT >mm10_chr10:85523794-85523805(+)::chr10:85523793-85523805(+) ACAAGGAAAAGA >mm10_chr10:85524103-85524114(+)::chr10:85524102-85524114(+) ACCAGGAAGAGG >mm10_chr10:85539221-85539232(-)::chr10:85539220-85539232(-) AGCAGGAAGTGG >mm10_chr10:85557282-85557293(+)::chr10:85557281-85557293(+) AACAGGAAGTTA >mm10_chr10:85589352-85589363(-)::chr10:85589351-85589363(-) aggaggaagagg >mm10_chr10:85589370-85589381(-)::chr10:85589369-85589381(-) agaaggaaaagg >mm10_chr10:85589382-85589393(-)::chr10:85589381-85589393(-) aggaggaagagg >mm10_chr10:85589406-85589417(-)::chr10:85589405-85589417(-) aggaggaagaaa >mm10_chr10:85590062-85590073(-)::chr10:85590061-85590073(-) atgaggaagaac >mm10_chr10:85674185-85674196(-)::chr10:85674184-85674196(-) aagaggaagaag >mm10_chr10:85674191-85674202(-)::chr10:85674190-85674202(-) aagaggaagagg >mm10_chr10:85961145-85961156(-)::chr10:85961144-85961156(-) tacaggaaatag >mm10_chr10:85986309-85986320(+)::chr10:85986308-85986320(+) accaggaaatta >mm10_chr10:86021860-86021871(+)::chr10:86021859-86021871(+) ATAGGGAAGCGC >mm10_chr10:86062865-86062876(-)::chr10:86062864-86062876(-) AGTAGGAAGTTG >mm10_chr10:86062896-86062907(-)::chr10:86062895-86062907(-) TAGAGGAAGCGT >mm10_chr10:86098241-86098252(+)::chr10:86098240-86098252(+) AAGAGGAAATGT >mm10_chr10:86183227-86183238(-)::chr10:86183226-86183238(-) AGCAGGAAGGTG >mm10_chr10:86183283-86183294(-)::chr10:86183282-86183294(-) GCAAGGAAGTTG >mm10_chr10:86183621-86183632(-)::chr10:86183620-86183632(-) aggaggaagagg >mm10_chr10:86183639-86183650(-)::chr10:86183638-86183650(-) aggaggaagagg >mm10_chr10:86204215-86204226(-)::chr10:86204214-86204226(-) tacaggaagatc >mm10_chr10:86223445-86223456(-)::chr10:86223444-86223456(-) AACAGGAAGCCC >mm10_chr10:86273478-86273489(-)::chr10:86273477-86273489(-) TGAAGGAAGGAC >mm10_chr10:86273520-86273531(-)::chr10:86273519-86273531(-) ACCAGGAAGCAG >mm10_chr10:86286769-86286780(-)::chr10:86286768-86286780(-) tacaggaagcta >mm10_chr10:86330661-86330672(-)::chr10:86330660-86330672(-) agaagaAAGTGA >mm10_chr10:86330668-86330679(-)::chr10:86330667-86330679(-) agaaggaagaag >mm10_chr10:86330685-86330696(-)::chr10:86330684-86330696(-) aaaaggaagaag >mm10_chr10:86330712-86330723(-)::chr10:86330711-86330723(-) aggaggaagaga >mm10_chr10:86330722-86330733(-)::chr10:86330721-86330733(-) aggaggaaggag >mm10_chr10:86332110-86332121(+)::chr10:86332109-86332121(+) TGTAGGAAGTGC >mm10_chr10:86346329-86346340(+)::chr10:86346328-86346340(+) ATAAGGAAGTTG >mm10_chr10:86411035-86411046(+)::chr10:86411034-86411046(+) CAAAGGAAGTTG >mm10_chr10:86426215-86426226(-)::chr10:86426214-86426226(-) GAAAGGAAGTGG >mm10_chr10:86685457-86685468(-)::chr10:86685456-86685468(-) TGACGGAAGTGG >mm10_chr10:86705809-86705820(-)::chr10:86705808-86705820(-) AGCCGGAAGTGG >mm10_chr10:86727935-86727946(-)::chr10:86727934-86727946(-) ACTAGGAAGTCA >mm10_chr10:86781324-86781335(-)::chr10:86781323-86781335(-) GAGAGGAAGAAA >mm10_chr10:86781378-86781389(-)::chr10:86781377-86781389(-) aagaggaagaag >mm10_chr10:86781384-86781395(-)::chr10:86781383-86781395(-) aagaggaagagg >mm10_chr10:86781393-86781404(-)::chr10:86781392-86781404(-) aagaggaagaag >mm10_chr10:86781399-86781410(-)::chr10:86781398-86781410(-) aggaggaagagg >mm10_chr10:86804522-86804533(-)::chr10:86804521-86804533(-) aggaggaagaCA >mm10_chr10:86804546-86804557(-)::chr10:86804545-86804557(-) aggaggaagagg >mm10_chr10:86804567-86804578(-)::chr10:86804566-86804578(-) aggaggaagagg >mm10_chr10:86804588-86804599(-)::chr10:86804587-86804599(-) aggaggaagagg >mm10_chr10:86905638-86905649(-)::chr10:86905637-86905649(-) AGAAGGAAGACA >mm10_chr10:86905661-86905672(+)::chr10:86905660-86905672(+) ACAAGGAAAAGT >mm10_chr10:86913859-86913870(+)::chr10:86913858-86913870(+) CAGAGGAAGTAT >mm10_chr10:86913885-86913896(+)::chr10:86913884-86913896(+) CCGAGGAAGGTG >mm10_chr10:86915529-86915540(-)::chr10:86915528-86915540(-) actaggaagtat >mm10_chr10:86919368-86919379(+)::chr10:86919367-86919379(+) ccaaggaagtag >mm10_chr10:86935733-86935744(+)::chr10:86935732-86935744(+) TAGAGGAAGTCG >mm10_chr10:87189355-87189366(+)::chr10:87189354-87189366(+) GTGAGGAAGAAA >mm10_chr10:87207345-87207356(+)::chr10:87207344-87207356(+) ATGAGGAAATGA >mm10_chr10:87552932-87552943(-)::chr10:87552931-87552943(-) AGAAGGAAGTAA >mm10_chr10:87552944-87552955(-)::chr10:87552943-87552955(-) GTGAGGAAGGAC >mm10_chr10:87598237-87598248(-)::chr10:87598236-87598248(-) aggaggaaggga >mm10_chr10:87598272-87598283(-)::chr10:87598271-87598283(-) gagaggaagaga >mm10_chr10:87598280-87598291(-)::chr10:87598279-87598291(-) gagaggaagaga >mm10_chr10:87675206-87675217(-)::chr10:87675205-87675217(-) CAGAGGAAGTCC >mm10_chr10:87675232-87675243(-)::chr10:87675231-87675243(-) TTAAGGAAGCTC >mm10_chr10:87679764-87679775(+)::chr10:87679763-87679775(+) AACAGGAAGTGC >mm10_chr10:87798319-87798330(+)::chr10:87798318-87798330(+) GAAAGGAAGCTG >mm10_chr10:87798367-87798378(+)::chr10:87798366-87798378(+) GAAAGGTAGTAC >mm10_chr10:87863430-87863441(+)::chr10:87863429-87863441(+) GGCAGGAAGAGA >mm10_chr10:87876039-87876050(+)::chr10:87876038-87876050(+) CCAGGGAAGTGA >mm10_chr10:87890062-87890073(-)::chr10:87890061-87890073(-) GGAAGGAACTCA >mm10_chr10:87890077-87890088(-)::chr10:87890076-87890088(-) GCAAGGAAGGTT >mm10_chr10:87890108-87890119(-)::chr10:87890107-87890119(-) AGAAGGAAGTTC >mm10_chr10:87890126-87890137(-)::chr10:87890125-87890137(-) ATAAGGAAAATA >mm10_chr10:87925388-87925399(+)::chr10:87925387-87925399(+) CAAAGGAAGAAG >mm10_chr10:87925444-87925455(+)::chr10:87925443-87925455(+) AGGAGGAAGAAA >mm10_chr10:87935050-87935061(-)::chr10:87935049-87935061(-) ATAAGGAAATAC >mm10_chr10:87974379-87974390(-)::chr10:87974378-87974390(-) ATCAGGAAGTGC >mm10_chr10:88017748-88017759(-)::chr10:88017747-88017759(-) TGAGGGAAGTAA >mm10_chr10:88289970-88289981(-)::chr10:88289969-88289981(-) agaaggaagtgc >mm10_chr10:88358790-88358801(+)::chr10:88358789-88358801(+) AGACGGAAGGGT >mm10_chr10:88372611-88372622(-)::chr10:88372610-88372622(-) TCGAGGAAGTCT >mm10_chr10:88405942-88405953(-)::chr10:88405941-88405953(-) AGGAGGAAGCCG >mm10_chr10:88406256-88406267(-)::chr10:88406255-88406267(-) ggaaggaaggaT >mm10_chr10:88406260-88406271(-)::chr10:88406259-88406271(-) ggaaggaaggaa >mm10_chr10:88406264-88406275(-)::chr10:88406263-88406275(-) ggaaggaaggaa >mm10_chr10:88406268-88406279(-)::chr10:88406267-88406279(-) aaaaggaaggaa >mm10_chr10:88406278-88406289(-)::chr10:88406277-88406289(-) ggaaggaaggaa >mm10_chr10:88406282-88406293(-)::chr10:88406281-88406293(-) GAaaggaaggaa >mm10_chr10:88408384-88408395(-)::chr10:88408383-88408395(-) aggaggaaaTCC >mm10_chr10:88408428-88408439(+)::chr10:88408427-88408439(+) tgaaggaaggac >mm10_chr10:88505665-88505676(-)::chr10:88505664-88505676(-) ACAAGGAAATGG >mm10_chr10:88514178-88514189(+)::chr10:88514177-88514189(+) gacaggaaatag >mm10_chr10:88514219-88514230(+)::chr10:88514218-88514230(+) gagaggaaggag >mm10_chr10:88740128-88740139(-)::chr10:88740127-88740139(-) accaggaagtgc >mm10_chr10:88802419-88802430(+)::chr10:88802418-88802430(+) aacaggaactat >mm10_chr10:88826861-88826872(-)::chr10:88826860-88826872(-) ATCAGGAAGAGC >mm10_chr10:88828642-88828653(+)::chr10:88828641-88828653(+) atgaggaagcta >mm10_chr10:88828694-88828705(+)::chr10:88828693-88828705(+) ataaggaagtca >mm10_chr10:88928087-88928098(-)::chr10:88928086-88928098(-) TACAGGAAGTTG >mm10_chr10:89029323-89029334(+)::chr10:89029322-89029334(+) ACAAGGAAGCCC >mm10_chr10:89029393-89029404(-)::chr10:89029392-89029404(-) TCCAGGAAGTGT >mm10_chr10:89075511-89075522(+)::chr10:89075510-89075522(+) TCAAGGAAGAAG >mm10_chr10:89111702-89111713(-)::chr10:89111701-89111713(-) GTAAGGAAGCAG >mm10_chr10:89111753-89111764(-)::chr10:89111752-89111764(-) ATAAGGAAGCAG >mm10_chr10:89329030-89329041(+)::chr10:89329029-89329041(+) gagaggaagaga >mm10_chr10:89330396-89330407(+)::chr10:89330395-89330407(+) AGAAGGAAGGCA >mm10_chr10:89330428-89330439(+)::chr10:89330427-89330439(+) TCCAGGAAGTGC >mm10_chr10:89345862-89345873(-)::chr10:89345861-89345873(-) AAAGGGAAGTGG >mm10_chr10:89346326-89346337(-)::chr10:89346325-89346337(-) AAAAGGAAGAAG >mm10_chr10:89436100-89436111(+)::chr10:89436099-89436111(+) GGGAGGAAGTAC >mm10_chr10:89436123-89436134(+)::chr10:89436122-89436134(+) ACAAGGAAGGTA >mm10_chr10:89436157-89436168(+)::chr10:89436156-89436168(+) AGGAGGAAGACT >mm10_chr10:89462004-89462015(-)::chr10:89462003-89462015(-) AGAGGGAAGCCG >mm10_chr10:89530711-89530722(+)::chr10:89530710-89530722(+) aggaggaagagg >mm10_chr10:89530732-89530743(+)::chr10:89530731-89530743(+) aagaggaagagg >mm10_chr10:89530753-89530764(+)::chr10:89530752-89530764(+) tggaggaagtag >mm10_chr10:89530765-89530776(+)::chr10:89530764-89530776(+) tgcaggaagagg >mm10_chr10:89530789-89530800(+)::chr10:89530788-89530800(+) Tagaggaagaag >mm10_chr10:89611120-89611131(-)::chr10:89611119-89611131(-) agcaggaagcag >mm10_chr10:89611127-89611138(-)::chr10:89611126-89611138(-) agcaggaagcag >mm10_chr10:89611134-89611145(-)::chr10:89611133-89611145(-) agcaggaagcag >mm10_chr10:89611141-89611152(-)::chr10:89611140-89611152(-) agcaggaagcag >mm10_chr10:89662950-89662961(+)::chr10:89662949-89662961(+) AGCAGGAAGAGG >mm10_chr10:89680808-89680819(+)::chr10:89680807-89680819(+) ACAAGGAAAGGA >mm10_chr10:89684288-89684299(+)::chr10:89684287-89684299(+) TCCAGGAAGTAT >mm10_chr10:89732348-89732359(-)::chr10:89732347-89732359(-) AACCGGAAGTTT >mm10_chr10:89856512-89856523(-)::chr10:89856511-89856523(-) actaggaagtct >mm10_chr10:89856535-89856546(+)::chr10:89856534-89856546(+) atcaggaaGAGC >mm10_chr10:89869029-89869040(+)::chr10:89869028-89869040(+) aacaggaagcag >mm10_chr10:89869049-89869060(+)::chr10:89869048-89869060(+) aaaaggaagtga >mm10_chr10:89869095-89869106(-)::chr10:89869094-89869106(-) tggaggaagtat >mm10_chr10:89894522-89894533(-)::chr10:89894521-89894533(-) ACAAGGAAAGAT >mm10_chr10:89894538-89894549(+)::chr10:89894537-89894549(+) ACAAGGAAGGCA >mm10_chr10:89917434-89917445(-)::chr10:89917433-89917445(-) CACAGGAAATAA >mm10_chr10:89934681-89934692(+)::chr10:89934680-89934692(+) ACAAGGAAGTGA >mm10_chr10:90483022-90483033(+)::chr10:90483021-90483033(+) CCAAGGAAGCAG >mm10_chr10:90483496-90483507(-)::chr10:90483495-90483507(-) TAATGGAAGTAC >mm10_chr10:90628140-90628151(+)::chr10:90628139-90628151(+) AGGAGGAAGGCC >mm10_chr10:90727811-90727822(+)::chr10:90727810-90727822(+) aagaggaagagg >mm10_chr10:90727826-90727837(+)::chr10:90727825-90727837(+) aggaggaaggga >mm10_chr10:90727846-90727857(+)::chr10:90727845-90727857(+) aggaggaaggag >mm10_chr10:90727880-90727891(+)::chr10:90727879-90727891(+) AAACGGAAGAAG >mm10_chr10:90727887-90727898(+)::chr10:90727886-90727898(+) AGAAGGAAGCTG >mm10_chr10:90804799-90804810(-)::chr10:90804798-90804810(-) GACAGGAAGTGA >mm10_chr10:90804808-90804819(-)::chr10:90804807-90804819(-) AGCAGGAAGGAC >mm10_chr10:90827130-90827141(-)::chr10:90827129-90827141(-) ACGGGGAAGTTG >mm10_chr10:90975425-90975436(-)::chr10:90975424-90975436(-) aaaaggaagagg >mm10_chr10:90975644-90975655(-)::chr10:90975643-90975655(-) ACAAGGAAGTCT >mm10_chr10:91000023-91000034(+)::chr10:91000022-91000034(+) GGAAGGAAGGAC >mm10_chr10:91034133-91034144(+)::chr10:91034132-91034144(+) gacaggaagaaa >mm10_chr10:91062494-91062505(+)::chr10:91062493-91062505(+) accaggaagtat >mm10_chr10:91082020-91082031(-)::chr10:91082019-91082031(-) AGGAGGAAGTAG >mm10_chr10:91181554-91181565(-)::chr10:91181553-91181565(-) GGCAGGAAATAG >mm10_chr10:91270728-91270739(+)::chr10:91270727-91270739(+) ACCAGGAAGAAG >mm10_chr10:91300293-91300304(+)::chr10:91300292-91300304(+) cagaggaagtca >mm10_chr10:91300327-91300338(+)::chr10:91300326-91300338(+) agcaggaagtca >mm10_chr10:91300341-91300352(-)::chr10:91300340-91300352(-) tagaggaagtga >mm10_chr10:91576273-91576284(+)::chr10:91576272-91576284(+) ccaaggaaggac >mm10_chr10:91713574-91713585(+)::chr10:91713573-91713585(+) AAAGGGAAGTCC >mm10_chr10:92059167-92059178(+)::chr10:92059166-92059178(+) ACACGGAAGCTA >mm10_chr10:92059196-92059207(-)::chr10:92059195-92059207(-) AAAAGGAAGCAG >mm10_chr10:92059231-92059242(-)::chr10:92059230-92059242(-) GGCAGGAAGTTG >mm10_chr10:92308142-92308153(+)::chr10:92308141-92308153(+) AGAAGGAAGgga >mm10_chr10:92308150-92308161(+)::chr10:92308149-92308161(+) Gggaggaagagg >mm10_chr10:92308172-92308183(+)::chr10:92308171-92308183(+) agaaggaagagg >mm10_chr10:92308184-92308195(+)::chr10:92308183-92308195(+) aggaggaagaCG >mm10_chr10:92335263-92335274(-)::chr10:92335262-92335274(-) GCCGGGAAGTGG >mm10_chr10:92410049-92410060(-)::chr10:92410048-92410060(-) TAGAGGAAGTCG >mm10_chr10:92538191-92538202(+)::chr10:92538190-92538202(+) TAAAGGAAGTTG >mm10_chr10:92630926-92630937(+)::chr10:92630925-92630937(+) AGAAGGAAGAGG >mm10_chr10:92630932-92630943(+)::chr10:92630931-92630943(+) AAGAGGAAGTGC >mm10_chr10:92722360-92722371(-)::chr10:92722359-92722371(-) GCGAGGAACGGG >mm10_chr10:92722373-92722384(-)::chr10:92722372-92722384(-) AGCCGGAAGCGC >mm10_chr10:93069478-93069489(+)::chr10:93069477-93069489(+) AACAGGAAATAG >mm10_chr10:93069531-93069542(-)::chr10:93069530-93069542(-) AGCAGGAAGTGC >mm10_chr10:93070766-93070777(+)::chr10:93070765-93070777(+) agcaggaagttc >mm10_chr10:93078668-93078679(-)::chr10:93078667-93078679(-) aagaggaagagg >mm10_chr10:93078687-93078698(-)::chr10:93078686-93078698(-) aggaggaagggg >mm10_chr10:93090477-93090488(+)::chr10:93090476-93090488(+) ACAAGGAAGGGC >mm10_chr10:93168219-93168230(-)::chr10:93168218-93168230(-) AGAATGAAGTCA >mm10_chr10:93168255-93168266(-)::chr10:93168254-93168266(-) AGCAGGAAGGAG >mm10_chr10:93212566-93212577(-)::chr10:93212565-93212577(-) accaggaagtag >mm10_chr10:93233604-93233615(-)::chr10:93233603-93233615(-) TCAAGGAAATGC >mm10_chr10:93233645-93233656(-)::chr10:93233644-93233656(-) AAAGGGAAGTTT >mm10_chr10:93242411-93242422(+)::chr10:93242410-93242422(+) AGCAGGAAGCCC >mm10_chr10:93261242-93261253(+)::chr10:93261241-93261253(+) AGAAGGAAGTTG >mm10_chr10:93271294-93271305(+)::chr10:93271293-93271305(+) CTAAGGAAGTAA >mm10_chr10:93271351-93271362(-)::chr10:93271350-93271362(-) TATAGGAAGTAA >mm10_chr10:93275886-93275897(+)::chr10:93275885-93275897(+) GCAGGGAAGTCC >mm10_chr10:93277627-93277638(-)::chr10:93277626-93277638(-) AGGAGGAAGAGA >mm10_chr10:93277634-93277645(-)::chr10:93277633-93277645(-) TCAAGGAAGGAG >mm10_chr10:93285649-93285660(+)::chr10:93285648-93285660(+) GGCAGGAAGTGT >mm10_chr10:93285673-93285684(+)::chr10:93285672-93285684(+) GAGAGGAAATAC >mm10_chr10:93298003-93298014(-)::chr10:93298002-93298014(-) AGAAGGAAGTCC >mm10_chr10:93310960-93310971(+)::chr10:93310959-93310971(+) AGCAGGAAGTTG >mm10_chr10:93310993-93311004(-)::chr10:93310992-93311004(-) TAAAGGAAGTGA >mm10_chr10:93320420-93320431(-)::chr10:93320419-93320431(-) AAAAGGAAGAGC >mm10_chr10:93336295-93336306(-)::chr10:93336294-93336306(-) AGGAGGAAGTGG >mm10_chr10:93336302-93336313(-)::chr10:93336301-93336313(-) TGCAGGAAGGAG >mm10_chr10:93374109-93374120(-)::chr10:93374108-93374120(-) GTCAGGAAGAAA >mm10_chr10:93375185-93375196(-)::chr10:93375184-93375196(-) CTCAGGAAGTAT >mm10_chr10:93386340-93386351(+)::chr10:93386339-93386351(+) ccaaggaagtga >mm10_chr10:93386406-93386417(-)::chr10:93386405-93386417(-) gtaaggaagtga >mm10_chr10:93391465-93391476(+)::chr10:93391464-93391476(+) AACAGGAAGTGA >mm10_chr10:93417093-93417104(-)::chr10:93417092-93417104(-) ctcaggaagtgt >mm10_chr10:93417126-93417137(-)::chr10:93417125-93417137(-) agcaggaagaag >mm10_chr10:93419659-93419670(-)::chr10:93419658-93419670(-) GAAAGGAAGGAC >mm10_chr10:93423952-93423963(-)::chr10:93423951-93423963(-) TGCAGGAAGTAA >mm10_chr10:93424000-93424011(-)::chr10:93423999-93424011(-) ATCAGGAAGCGG >mm10_chr10:93425672-93425683(-)::chr10:93425671-93425683(-) ACCAGGAAGCAA >mm10_chr10:93453043-93453054(+)::chr10:93453042-93453054(+) acgaggaATTGC >mm10_chr10:93768369-93768380(+)::chr10:93768368-93768380(+) gaaaggaagcag >mm10_chr10:93818784-93818795(+)::chr10:93818783-93818795(+) ACCAGGAAGGTC >mm10_chr10:93826773-93826784(+)::chr10:93826772-93826784(+) gagaggaagagg >mm10_chr10:93826797-93826808(+)::chr10:93826796-93826808(+) aggaggaagaag >mm10_chr10:93826815-93826826(+)::chr10:93826814-93826826(+) aggaggaagagA >mm10_chr10:93826826-93826837(-)::chr10:93826825-93826837(-) TAAAGGAAGTGT >mm10_chr10:93849389-93849400(-)::chr10:93849388-93849400(-) ACATGGAAGTCG >mm10_chr10:93913924-93913935(+)::chr10:93913923-93913935(+) aagaggaagagg >mm10_chr10:93919483-93919494(-)::chr10:93919482-93919494(-) GAAAGGAATTGA >mm10_chr10:93944628-93944639(-)::chr10:93944627-93944639(-) ACCAGGAAATCC >mm10_chr10:94000472-94000483(-)::chr10:94000471-94000483(-) AGCAGGAAGTCC >mm10_chr10:94044652-94044663(+)::chr10:94044651-94044663(+) ACCCGGAAGTGT >mm10_chr10:94044685-94044696(-)::chr10:94044684-94044696(-) AGGAGGAAGATT >mm10_chr10:94054415-94054426(+)::chr10:94054414-94054426(+) ACCAGGAAGATG >mm10_chr10:94054464-94054475(-)::chr10:94054463-94054475(-) AGGAGGAAGTCT >mm10_chr10:94061661-94061672(+)::chr10:94061660-94061672(+) ATCAGGAAGCAG >mm10_chr10:94072628-94072639(+)::chr10:94072627-94072639(+) AACAGGAAGCCG >mm10_chr10:94082486-94082497(+)::chr10:94082485-94082497(+) ataaggaagttc >mm10_chr10:94095984-94095995(+)::chr10:94095983-94095995(+) ATGAGGAAATGC >mm10_chr10:94098344-94098355(+)::chr10:94098343-94098355(+) TCAAGGAAGCAG >mm10_chr10:94120676-94120687(+)::chr10:94120675-94120687(+) TCGAGGAAGACC >mm10_chr10:94134201-94134212(+)::chr10:94134200-94134212(+) GTGAGGAAGGAC >mm10_chr10:94134209-94134220(-)::chr10:94134208-94134220(-) TGAGGGAAGTCC >mm10_chr10:94134241-94134252(+)::chr10:94134240-94134252(+) ACCAGGAAGGGC >mm10_chr10:94199015-94199026(+)::chr10:94199014-94199026(+) ACACGGAAGGGG >mm10_chr10:94206485-94206496(-)::chr10:94206484-94206496(-) tgcaggaagagc >mm10_chr10:94304536-94304547(-)::chr10:94304535-94304547(-) AACAGGAAGTCC >mm10_chr10:94319547-94319558(+)::chr10:94319546-94319558(+) AGGAGGAAGATC >mm10_chr10:94350651-94350662(-)::chr10:94350650-94350662(-) AGCAGGAAGCCC >mm10_chr10:94426450-94426461(+)::chr10:94426449-94426461(+) CACAGGAAGTAC >mm10_chr10:94427749-94427760(+)::chr10:94427748-94427760(+) ATGAGGAAGAGA >mm10_chr10:94427768-94427779(+)::chr10:94427767-94427779(+) ATGAGGAAGTCC >mm10_chr10:94479754-94479765(+)::chr10:94479753-94479765(+) GCAAGGAAGTCC >mm10_chr10:94486931-94486942(+)::chr10:94486930-94486942(+) aacaggaaggtc >mm10_chr10:94496361-94496372(-)::chr10:94496360-94496372(-) GTGAGGAAGTCT >mm10_chr10:94496701-94496712(+)::chr10:94496700-94496712(+) TGAAGGAAGTCG >mm10_chr10:94505409-94505420(+)::chr10:94505408-94505420(+) AGGGGGAAGTGT >mm10_chr10:94505420-94505431(-)::chr10:94505419-94505431(-) ATGAGGAAGGCA >mm10_chr10:94520053-94520064(+)::chr10:94520052-94520064(+) GTCAGGAAGAGG >mm10_chr10:94523913-94523924(+)::chr10:94523912-94523924(+) GACAGGAAGCGG >mm10_chr10:94544843-94544854(+)::chr10:94544842-94544854(+) GCAGGGAAGTCC >mm10_chr10:94558018-94558029(+)::chr10:94558017-94558029(+) TTCAGGAAGTTA >mm10_chr10:94569188-94569199(-)::chr10:94569187-94569199(-) TGAGGGAAGTTC >mm10_chr10:94609465-94609476(-)::chr10:94609464-94609476(-) TGGAGGAAATAT >mm10_chr10:94658552-94658563(+)::chr10:94658551-94658563(+) AGGAGGAAGTTT >mm10_chr10:94688377-94688388(+)::chr10:94688376-94688388(+) AGAAGGAAGTGT >mm10_chr10:94689344-94689355(-)::chr10:94689343-94689355(-) ACAAGGAAAATG >mm10_chr10:94828421-94828432(-)::chr10:94828420-94828432(-) GGGAGGAAGTGG >mm10_chr10:94828486-94828497(-)::chr10:94828485-94828497(-) ATCAGGAAGAGG >mm10_chr10:94904816-94904827(-)::chr10:94904815-94904827(-) TTAAGGAAGGAG >mm10_chr10:94904849-94904860(-)::chr10:94904848-94904860(-) ATGAGGAAGGAT >mm10_chr10:95086897-95086908(-)::chr10:95086896-95086908(-) AACAGGAAGAAA >mm10_chr10:95096651-95096662(+)::chr10:95096650-95096662(+) agaaggaagttc >mm10_chr10:95096712-95096723(+)::chr10:95096711-95096723(+) tgaaggaagtcg >mm10_chr10:95112555-95112566(-)::chr10:95112554-95112566(-) ACAAGGAAGTTA >mm10_chr10:95112869-95112880(+)::chr10:95112868-95112880(+) AAAAGGAAGATC >mm10_chr10:95117975-95117986(+)::chr10:95117974-95117986(+) AGAAGGAAGAAA >mm10_chr10:95144508-95144519(+)::chr10:95144507-95144519(+) TCAAGGAACTGT >mm10_chr10:95146623-95146634(-)::chr10:95146622-95146634(-) GGATGGAAGTTA >mm10_chr10:95146672-95146683(+)::chr10:95146671-95146683(+) TCCAGGAAGTTT >mm10_chr10:95163545-95163556(+)::chr10:95163544-95163556(+) TGCAGGAAGATT >mm10_chr10:95192101-95192112(+)::chr10:95192100-95192112(+) TAACGGAAGGAA >mm10_chr10:95192125-95192136(-)::chr10:95192124-95192136(-) TGAAGGAAATTA >mm10_chr10:95219075-95219086(+)::chr10:95219074-95219086(+) ACAAGGAAGCCA >mm10_chr10:95229607-95229618(+)::chr10:95229606-95229618(+) AGCAGGAAGGAG >mm10_chr10:95229614-95229625(+)::chr10:95229613-95229625(+) AGGAGGAAGAGG >mm10_chr10:95229620-95229631(+)::chr10:95229619-95229631(+) AAGAGGAAGGGG >mm10_chr10:95232714-95232725(-)::chr10:95232713-95232725(-) AGGAGGAAGAAC >mm10_chr10:95233351-95233362(-)::chr10:95233350-95233362(-) ACAAGGAAATGG >mm10_chr10:95254363-95254374(-)::chr10:95254362-95254374(-) AAAAGGAAGGAA >mm10_chr10:95254375-95254386(-)::chr10:95254374-95254386(-) AGTAGGAAGAGG >mm10_chr10:95318147-95318158(+)::chr10:95318146-95318158(+) CCAAGGAAGGAA >mm10_chr10:95318151-95318162(+)::chr10:95318150-95318162(+) GGAAGGAAGTAT >mm10_chr10:95322781-95322792(-)::chr10:95322780-95322792(-) ACCAGGAAGGAA >mm10_chr10:95323642-95323653(+)::chr10:95323641-95323653(+) AGCGGGACGTCG >mm10_chr10:95324106-95324117(+)::chr10:95324105-95324117(+) GACAGGAAGTAT >mm10_chr10:95324143-95324154(+)::chr10:95324142-95324154(+) AGCAGGAAGTGC >mm10_chr10:95337041-95337052(-)::chr10:95337040-95337052(-) TAAAGGAAGCAG >mm10_chr10:95337066-95337077(-)::chr10:95337065-95337077(-) AACAGGAAGTCC >mm10_chr10:95388835-95388846(-)::chr10:95388834-95388846(-) GAAAGGAATTTA >mm10_chr10:95388918-95388929(+)::chr10:95388917-95388929(+) GGAAGGAAATAT >mm10_chr10:95399924-95399935(-)::chr10:95399923-95399935(-) taaaggaacttc >mm10_chr10:95458556-95458567(-)::chr10:95458555-95458567(-) ACAAGGAACTGT >mm10_chr10:95465641-95465652(+)::chr10:95465640-95465652(+) TTAAGGAAGGAG >mm10_chr10:95465661-95465672(+)::chr10:95465660-95465672(+) AGGAGGAAGCAG >mm10_chr10:95465668-95465679(+)::chr10:95465667-95465679(+) AGCAGGAAGTTT >mm10_chr10:95515849-95515860(-)::chr10:95515848-95515860(-) ACCGGGAAGCGA >mm10_chr10:95525257-95525268(-)::chr10:95525256-95525268(-) AGAAGCAAGTTA >mm10_chr10:95526958-95526969(-)::chr10:95526957-95526969(-) AGCAGGAAGAAA >mm10_chr10:95556067-95556078(-)::chr10:95556066-95556078(-) ATGAGGAAGCAT >mm10_chr10:95577008-95577019(+)::chr10:95577007-95577019(+) AGCAGGAAGGAA >mm10_chr10:95577012-95577023(+)::chr10:95577011-95577023(+) GGAAGGAAGGAA >mm10_chr10:95577016-95577027(+)::chr10:95577015-95577027(+) GGAAGGAAGGAA >mm10_chr10:95577020-95577031(+)::chr10:95577019-95577031(+) GGAAGGAAGGGG >mm10_chr10:95577072-95577083(-)::chr10:95577071-95577083(-) AGAGGGAAGTAA >mm10_chr10:95617054-95617065(-)::chr10:95617053-95617065(-) GAGAGGAAGTCA >mm10_chr10:95630909-95630920(+)::chr10:95630908-95630920(+) AAAAGGACGATA >mm10_chr10:95632465-95632476(-)::chr10:95632464-95632476(-) tgaaggcagtac >mm10_chr10:95659686-95659697(-)::chr10:95659685-95659697(-) gccaggaagcga >mm10_chr10:95661957-95661968(+)::chr10:95661956-95661968(+) AGCAGGAAGTAA >mm10_chr10:95671508-95671519(+)::chr10:95671507-95671519(+) AGTAGGAAGTGA >mm10_chr10:95698250-95698261(+)::chr10:95698249-95698261(+) TTCAGGAAGCGG >mm10_chr10:95699566-95699577(+)::chr10:95699565-95699577(+) TCGAGGAAGTAC >mm10_chr10:95719122-95719133(+)::chr10:95719121-95719133(+) acaaggaaatcc >mm10_chr10:95745979-95745990(-)::chr10:95745978-95745990(-) TAAAGGAAGCAA >mm10_chr10:95746016-95746027(-)::chr10:95746015-95746027(-) ACAAGGAAGTGA >mm10_chr10:95746029-95746040(-)::chr10:95746028-95746040(-) GAGAGGAAGTGA >mm10_chr10:95795626-95795637(+)::chr10:95795625-95795637(+) ACGAGGAAGTTG >mm10_chr10:95795662-95795673(+)::chr10:95795661-95795673(+) AGGAGGAAGTTA >mm10_chr10:95795676-95795687(-)::chr10:95795675-95795687(-) GCCAGGAAATAA >mm10_chr10:95918754-95918765(-)::chr10:95918753-95918765(-) GTAAGGAAATGA >mm10_chr10:95918979-95918990(+)::chr10:95918978-95918990(+) GTAAGGAATTAG >mm10_chr10:95919009-95919020(-)::chr10:95919008-95919020(-) ATGAGGAAGCAG >mm10_chr10:95966321-95966332(-)::chr10:95966320-95966332(-) accaggaagaca >mm10_chr10:95969870-95969881(-)::chr10:95969869-95969881(-) ATCAGGATGTAT >mm10_chr10:95969882-95969893(-)::chr10:95969881-95969893(-) GACAGGAAGTAC >mm10_chr10:96188335-96188346(-)::chr10:96188334-96188346(-) GGCAGGAAATCA >mm10_chr10:96239467-96239478(+)::chr10:96239466-96239478(+) TAAAGGAAGATG >mm10_chr10:96241160-96241171(+)::chr10:96241159-96241171(+) ACAAGGAAATGA >mm10_chr10:96241237-96241248(+)::chr10:96241236-96241248(+) GCAAGGAAGTAG >mm10_chr10:96294837-96294848(+)::chr10:96294836-96294848(+) tccaggaagaga >mm10_chr10:96300880-96300891(-)::chr10:96300879-96300891(-) ACCAGGAAGAAA >mm10_chr10:96300897-96300908(+)::chr10:96300896-96300908(+) ACCAGGAAATAC >mm10_chr10:96342997-96343008(+)::chr10:96342996-96343008(+) TTAAGGAAATAT >mm10_chr10:96419749-96419760(+)::chr10:96419748-96419760(+) aaaaggaagaaa >mm10_chr10:96435279-96435290(+)::chr10:96435278-96435290(+) TCCAGGAAGGAA >mm10_chr10:96435283-96435294(+)::chr10:96435282-96435294(+) GGAAGGAAGTGA >mm10_chr10:96570587-96570598(+)::chr10:96570586-96570598(+) TGCAGGAAATTA >mm10_chr10:96570597-96570608(-)::chr10:96570596-96570608(-) AAAAGGAAATTA >mm10_chr10:96574986-96574997(-)::chr10:96574985-96574997(-) ataaggaagtca >mm10_chr10:96616955-96616966(-)::chr10:96616954-96616966(-) GAAAGGAAGTGG >mm10_chr10:96687989-96688000(+)::chr10:96687988-96688000(+) ATAAGGAAATGT >mm10_chr10:96722466-96722477(-)::chr10:96722465-96722477(-) TGAAGGAAATAG >mm10_chr10:96751668-96751679(-)::chr10:96751667-96751679(-) AAGAGGAAGTGC >mm10_chr10:96751674-96751685(-)::chr10:96751673-96751685(-) GGAAGGAAGAGG >mm10_chr10:96751791-96751802(-)::chr10:96751790-96751802(-) GAGAGGAAGGAA >mm10_chr10:96764649-96764660(+)::chr10:96764648-96764660(+) TCAAGGAAGAAG >mm10_chr10:96799430-96799441(-)::chr10:96799429-96799441(-) AAAAGGAAGAAA >mm10_chr10:96828252-96828263(+)::chr10:96828251-96828263(+) TCAAGGAAGGAA >mm10_chr10:96847625-96847636(-)::chr10:96847624-96847636(-) GACAGGAAGAGC >mm10_chr10:96847637-96847648(-)::chr10:96847636-96847648(-) AGAAGGAAGGAG >mm10_chr10:96901290-96901301(-)::chr10:96901289-96901301(-) ACAAGGAAGTCT >mm10_chr10:96901330-96901341(-)::chr10:96901329-96901341(-) AACAGGAAGAAA >mm10_chr10:96901363-96901374(-)::chr10:96901362-96901374(-) CCCAGGAAGTAT >mm10_chr10:96926299-96926310(+)::chr10:96926298-96926310(+) AGTAGGAAGCAG >mm10_chr10:97701181-97701192(+)::chr10:97701180-97701192(+) GGAAGGAAGAAG >mm10_chr10:97701213-97701224(+)::chr10:97701212-97701224(+) AAGAGGAAGACC >mm10_chr10:97712795-97712806(-)::chr10:97712794-97712806(-) AAAAGGAAATGA >mm10_chr10:97845605-97845616(-)::chr10:97845604-97845616(-) cgtaggaaatcg >mm10_chr10:97845641-97845652(+)::chr10:97845640-97845652(+) aggaggaagcag >mm10_chr10:97845651-97845662(+)::chr10:97845650-97845662(+) aggaggaaggag >mm10_chr10:97845661-97845672(+)::chr10:97845660-97845672(+) aggaggaagaaa >mm10_chr10:98071013-98071024(+)::chr10:98071012-98071024(+) agaaggaagact >mm10_chr10:98106557-98106568(+)::chr10:98106556-98106568(+) taaaggaagata >mm10_chr10:98346570-98346581(-)::chr10:98346569-98346581(-) agaaggaagttt >mm10_chr10:98346590-98346601(-)::chr10:98346589-98346601(-) tctaggaagtca >mm10_chr10:98572982-98572993(-)::chr10:98572981-98572993(-) CTCAGGAAgtac >mm10_chr10:98677083-98677094(-)::chr10:98677082-98677094(-) ACAAGGAACTGG >mm10_chr10:98684331-98684342(-)::chr10:98684330-98684342(-) GGAAGGAAGGGG >mm10_chr10:98684335-98684346(-)::chr10:98684334-98684346(-) GGCAGGAAGGAA >mm10_chr10:98744295-98744306(+)::chr10:98744294-98744306(+) TAGAGGAAGCAC >mm10_chr10:98744324-98744335(+)::chr10:98744323-98744335(+) TTAGGGAAGTAA >mm10_chr10:98755817-98755828(+)::chr10:98755816-98755828(+) AAAAGGAATTCG >mm10_chr10:98755834-98755845(-)::chr10:98755833-98755845(-) acaaggaagagc >mm10_chr10:98755883-98755894(-)::chr10:98755882-98755894(-) agaaggaaggag >mm10_chr10:98774996-98775007(+)::chr10:98774995-98775007(+) AAGAGGAAGTTT >mm10_chr10:98790658-98790669(+)::chr10:98790657-98790669(+) TGTAGGAAGTAT >mm10_chr10:98797116-98797127(-)::chr10:98797115-98797127(-) aacaggaaatga >mm10_chr10:98845575-98845586(+)::chr10:98845574-98845586(+) GGAAAGAAGTGT >mm10_chr10:98845612-98845623(-)::chr10:98845611-98845623(-) AGAAGGAAAATG >mm10_chr10:98857568-98857579(+)::chr10:98857567-98857579(+) gggaggaagcac >mm10_chr10:98880900-98880911(-)::chr10:98880899-98880911(-) ataaggaagtga >mm10_chr10:98931623-98931634(-)::chr10:98931622-98931634(-) TTCAGGAAGTTG >mm10_chr10:98931656-98931667(+)::chr10:98931655-98931667(+) ACCAGGAAATTG >mm10_chr10:98932553-98932564(-)::chr10:98932552-98932564(-) ACAAGGAAACTA >mm10_chr10:98932574-98932585(+)::chr10:98932573-98932585(+) ATCAGGAAATAA >mm10_chr10:98966778-98966789(+)::chr10:98966777-98966789(+) atgaggaagtgt >mm10_chr10:99076682-99076693(-)::chr10:99076681-99076693(-) AGACGGAAGCCA >mm10_chr10:99081809-99081820(+)::chr10:99081808-99081820(+) atgaggaagaaG >mm10_chr10:99085044-99085055(-)::chr10:99085043-99085055(-) GCAAGGAAGGAG >mm10_chr10:99090103-99090114(+)::chr10:99090102-99090114(+) TTGAGGAAGTTG >mm10_chr10:99108180-99108191(+)::chr10:99108179-99108191(+) AGGAGGAAGTGG >mm10_chr10:99113452-99113463(+)::chr10:99113451-99113463(+) AGAAGGAAGTGG >mm10_chr10:99126418-99126429(+)::chr10:99126417-99126429(+) AGGAGGAAGGGA >mm10_chr10:99182359-99182370(-)::chr10:99182358-99182370(-) AGGAGGAAGTCT >mm10_chr10:99182373-99182384(-)::chr10:99182372-99182384(-) GGCAGGAAGTTC >mm10_chr10:99202067-99202078(-)::chr10:99202066-99202078(-) TGGAGGAAATAC >mm10_chr10:99228443-99228454(-)::chr10:99228442-99228454(-) GGCAGGAAATGT >mm10_chr10:99237166-99237177(-)::chr10:99237165-99237177(-) AGGAGGAAATGA >mm10_chr10:99257107-99257118(+)::chr10:99257106-99257118(+) GGCAGGAAATAA >mm10_chr10:99257581-99257592(-)::chr10:99257580-99257592(-) aacaggaagagg >mm10_chr10:99260038-99260049(+)::chr10:99260037-99260049(+) AGCAGGAAGTAG >mm10_chr10:99263018-99263029(-)::chr10:99263017-99263029(-) Gggaggaaggag >mm10_chr10:99265556-99265567(-)::chr10:99265555-99265567(-) AGAGGGAAGTCA >mm10_chr10:99268948-99268959(+)::chr10:99268947-99268959(+) AAGGGGAAGTAA >mm10_chr10:99268971-99268982(+)::chr10:99268970-99268982(+) AGCAGGAAGCCG >mm10_chr10:99269434-99269445(-)::chr10:99269433-99269445(-) AGCAGGAAGAGA >mm10_chr10:99269482-99269493(-)::chr10:99269481-99269493(-) AGAAGGAAAATG >mm10_chr10:99290052-99290063(-)::chr10:99290051-99290063(-) TGAAGGAAATAA >mm10_chr10:99302264-99302275(+)::chr10:99302263-99302275(+) TTGAGGAAGTTC >mm10_chr10:99384582-99384593(+)::chr10:99384581-99384593(+) AGGAGGAAGAAG >mm10_chr10:99416531-99416542(+)::chr10:99416530-99416542(+) ATTAGGAAGAAG >mm10_chr10:99416538-99416549(+)::chr10:99416537-99416549(+) AGAAGGAAGTAC >mm10_chr10:99430868-99430879(+)::chr10:99430867-99430879(+) aagaggaagcag >mm10_chr10:99441182-99441193(+)::chr10:99441181-99441193(+) GAAAGGAAGCGG >mm10_chr10:99459966-99459977(+)::chr10:99459965-99459977(+) AAAAGGAAACGT >mm10_chr10:99460979-99460990(+)::chr10:99460978-99460990(+) AAAAGGAACTGA >mm10_chr10:99461515-99461526(-)::chr10:99461514-99461526(-) CCAAGGAAGCAA >mm10_chr10:99467469-99467480(+)::chr10:99467468-99467480(+) AGATGGAAGTGT >mm10_chr10:99467729-99467740(-)::chr10:99467728-99467740(-) GGAAGGAAGAAA >mm10_chr10:99467733-99467744(-)::chr10:99467732-99467744(-) GAAAGGAAGGAA >mm10_chr10:99485779-99485790(+)::chr10:99485778-99485790(+) TCAGGGAAGTAG >mm10_chr10:99485807-99485818(+)::chr10:99485806-99485818(+) GCCAGGAAGTGA >mm10_chr10:99488687-99488698(-)::chr10:99488686-99488698(-) AAGAGGAAGCAG >mm10_chr10:99592201-99592212(-)::chr10:99592200-99592212(-) TGCAGGAAGAAG >mm10_chr10:99592264-99592275(-)::chr10:99592263-99592275(-) CCACGGAAGTGA >mm10_chr10:99602637-99602648(-)::chr10:99602636-99602648(-) AAAAAGAAGTAC >mm10_chr10:99631791-99631802(-)::chr10:99631790-99631802(-) GGAAGGACGCGA >mm10_chr10:99631795-99631806(-)::chr10:99631794-99631806(-) AACAGGAAGGAC >mm10_chr10:99642033-99642044(+)::chr10:99642032-99642044(+) AGACGGAAGTGT >mm10_chr10:99697816-99697827(-)::chr10:99697815-99697827(-) CCGAGGAAGAAT >mm10_chr10:99840354-99840365(+)::chr10:99840353-99840365(+) TCAAGGAAGGGG >mm10_chr10:99840387-99840398(+)::chr10:99840386-99840398(+) CTCAGGAAGTAC >mm10_chr10:99864660-99864671(-)::chr10:99864659-99864671(-) ACAAGGAATTCG >mm10_chr10:100038680-100038691(-)::chr10:100038679-100038691(-) AAGCGGAAGTGC >mm10_chr10:100083057-100083068(-)::chr10:100083056-100083068(-) aagaggaagagg >mm10_chr10:100083063-100083074(-)::chr10:100083062-100083074(-) aaaaggaagagg >mm10_chr10:100083078-100083089(-)::chr10:100083077-100083089(-) aggaggaagaag >mm10_chr10:100272252-100272263(-)::chr10:100272251-100272263(-) gaaaggaagcaa >mm10_chr10:100285463-100285474(+)::chr10:100285462-100285474(+) tgcaggaagcaa >mm10_chr10:100539647-100539658(-)::chr10:100539646-100539658(-) aacaggaaataa >mm10_chr10:100539676-100539687(-)::chr10:100539675-100539687(-) ccaaggaaatta >mm10_chr10:101837417-101837428(+)::chr10:101837416-101837428(+) cataggaagtgg >mm10_chr10:101875518-101875529(-)::chr10:101875517-101875529(-) AGAAAGAAGTCA >mm10_chr10:102046571-102046582(+)::chr10:102046570-102046582(+) tcaaggaaggca >mm10_chr10:102508427-102508438(+)::chr10:102508426-102508438(+) AGGAGGAAGACA >mm10_chr10:102512424-102512435(+)::chr10:102512423-102512435(+) AAAAGGAAGCCT >mm10_chr10:102547169-102547180(-)::chr10:102547168-102547180(-) TGGAGGAAGGGA >mm10_chr10:102561272-102561283(-)::chr10:102561271-102561283(-) GTAAGTAAGTAG >mm10_chr10:102565554-102565565(+)::chr10:102565553-102565565(+) TGAAGGAAGAAA >mm10_chr10:102565569-102565580(-)::chr10:102565568-102565580(-) ACAAGGAAACAT >mm10_chr10:102586516-102586527(+)::chr10:102586515-102586527(+) AGCAGGAAATAG >mm10_chr10:102597584-102597595(-)::chr10:102597583-102597595(-) AGAAGGAAGAGA >mm10_chr10:102749675-102749686(+)::chr10:102749674-102749686(+) tacaggaagagc >mm10_chr10:103236287-103236298(-)::chr10:103236286-103236298(-) TGCAGGAAGTCC >mm10_chr10:103236366-103236377(-)::chr10:103236365-103236377(-) GTAAGGAAGGGC >mm10_chr10:103297183-103297194(-)::chr10:103297182-103297194(-) TGGAGGAAGAAT >mm10_chr10:103297224-103297235(+)::chr10:103297223-103297235(+) caaaggaactag >mm10_chr10:103297231-103297242(+)::chr10:103297230-103297242(+) actaggaaatag >mm10_chr10:103297572-103297583(-)::chr10:103297571-103297583(-) TTGAGGAAGTTC >mm10_chr10:103297618-103297629(+)::chr10:103297617-103297629(+) ATCAGGAAATGT >mm10_chr10:103309621-103309632(+)::chr10:103309620-103309632(+) ACAAGGAAGACA >mm10_chr10:103332932-103332943(+)::chr10:103332931-103332943(+) ataaggaaggag >mm10_chr10:103346126-103346137(+)::chr10:103346125-103346137(+) ttgaggaagtga >mm10_chr10:103357211-103357222(-)::chr10:103357210-103357222(-) AAGAGGAAGAAC >mm10_chr10:103434153-103434164(+)::chr10:103434152-103434164(+) AAAAGGAAGTTA >mm10_chr10:103434346-103434357(+)::chr10:103434345-103434357(+) AGCAGGAAGCTA >mm10_chr10:103732233-103732244(+)::chr10:103732232-103732244(+) CAGAGGAAGTGT >mm10_chr10:103805493-103805504(+)::chr10:103805492-103805504(+) GAAAGGAAGTTG >mm10_chr10:103972783-103972794(-)::chr10:103972782-103972794(-) ttaaggaagtta >mm10_chr10:103975004-103975015(+)::chr10:103975003-103975015(+) AGAAGGAAGATG >mm10_chr10:103976822-103976833(+)::chr10:103976821-103976833(+) GTAAGGAAATAA >mm10_chr10:103976874-103976885(+)::chr10:103976873-103976885(+) GGGAGGAAATGT >mm10_chr10:104022112-104022123(+)::chr10:104022111-104022123(+) AATAGGAAATAG >mm10_chr10:104022136-104022147(+)::chr10:104022135-104022147(+) TCAAGGAAGAAA >mm10_chr10:104022181-104022192(+)::chr10:104022180-104022192(+) AATAGGAAATAC >mm10_chr10:104245795-104245806(-)::chr10:104245794-104245806(-) aataggaagagt >mm10_chr10:104827476-104827487(+)::chr10:104827475-104827487(+) ataaggaaatga >mm10_chr10:105288535-105288546(+)::chr10:105288534-105288546(+) AAGAGGAAGTAG >mm10_chr10:105288542-105288553(+)::chr10:105288541-105288553(+) AGTAGGAAGATT >mm10_chr10:105383529-105383540(-)::chr10:105383528-105383540(-) TACAGGAAGAGT >mm10_chr10:105384403-105384414(+)::chr10:105384402-105384414(+) AAAAGGAAGGGG >mm10_chr10:105399479-105399490(-)::chr10:105399478-105399490(-) GGAAGGAAGATT >mm10_chr10:105399483-105399494(-)::chr10:105399482-105399494(-) TAGAGGAAGGAA >mm10_chr10:105399504-105399515(+)::chr10:105399503-105399515(+) AAAAGGAAATGC >mm10_chr10:105514083-105514094(+)::chr10:105514082-105514094(+) ACAAGGAAACAC >mm10_chr10:105575513-105575524(-)::chr10:105575512-105575524(-) GCAAGGACGTGT >mm10_chr10:105577969-105577980(-)::chr10:105577968-105577980(-) ATAAGGAAATCT >mm10_chr10:105580911-105580922(-)::chr10:105580910-105580922(-) atgaggaagttt >mm10_chr10:105590587-105590598(+)::chr10:105590586-105590598(+) ataaggaagaag >mm10_chr10:105607640-105607651(+)::chr10:105607639-105607651(+) aacaggaagtct >mm10_chr10:105607696-105607707(+)::chr10:105607695-105607707(+) aagaggaaggag >mm10_chr10:105715122-105715133(+)::chr10:105715121-105715133(+) ACGAAGAAGTAA >mm10_chr10:105782468-105782479(-)::chr10:105782467-105782479(-) AGCAGGAAGTGA >mm10_chr10:105803597-105803608(-)::chr10:105803596-105803608(-) GGAAGTAAGTAG >mm10_chr10:105803601-105803612(-)::chr10:105803600-105803612(-) ATAAGGAAGTAA >mm10_chr10:105803627-105803638(-)::chr10:105803626-105803638(-) AGAGGGAAGTAA >mm10_chr10:105805076-105805087(+)::chr10:105805075-105805087(+) aggaggaagcac >mm10_chr10:105805113-105805124(+)::chr10:105805112-105805124(+) agcaggaagtgg >mm10_chr10:105807845-105807856(-)::chr10:105807844-105807856(-) agcaggaagctg >mm10_chr10:107039381-107039392(+)::chr10:107039380-107039392(+) acaaggatgtgg >mm10_chr10:107049448-107049459(-)::chr10:107049447-107049459(-) GGAAGGAAGGTG >mm10_chr10:107049452-107049463(-)::chr10:107049451-107049463(-) ACCAGGAAGGAA >mm10_chr10:107049519-107049530(+)::chr10:107049518-107049530(+) AAAAGGAAATCT >mm10_chr10:107125243-107125254(+)::chr10:107125242-107125254(+) aggaggaagaca >mm10_chr10:107125255-107125266(+)::chr10:107125254-107125266(+) aggaggaagaag >mm10_chr10:107125284-107125295(+)::chr10:107125283-107125295(+) aggaggaaggag >mm10_chr10:107125294-107125305(+)::chr10:107125293-107125305(+) agaaggaaagag >mm10_chr10:107125304-107125315(+)::chr10:107125303-107125315(+) aggaggaaggag >mm10_chr10:107125311-107125322(+)::chr10:107125310-107125322(+) aggaggaagtga >mm10_chr10:107858695-107858706(-)::chr10:107858694-107858706(-) GAAAGTAAGTAT >mm10_chr10:107870726-107870737(-)::chr10:107870725-107870737(-) AGAAGGAAGGCT >mm10_chr10:107991215-107991226(+)::chr10:107991214-107991226(+) aggaggaagagg >mm10_chr10:107991224-107991235(+)::chr10:107991223-107991235(+) aggaggaagaaa >mm10_chr10:108046890-108046901(+)::chr10:108046889-108046901(+) ataaggaagcca >mm10_chr10:108107913-108107924(+)::chr10:108107912-108107924(+) tcaaggaaatgc >mm10_chr10:108128782-108128793(+)::chr10:108128781-108128793(+) GGAAGGAAATGG >mm10_chr10:108156554-108156565(+)::chr10:108156553-108156565(+) aggaggaagagg >mm10_chr10:108156563-108156574(+)::chr10:108156562-108156574(+) aggaggaagagg >mm10_chr10:108156572-108156583(+)::chr10:108156571-108156583(+) aggaggaagggg >mm10_chr10:108157998-108158009(+)::chr10:108157997-108158009(+) gcaaggaagtag >mm10_chr10:108159160-108159171(+)::chr10:108159159-108159171(+) AAATGGAAGTGA >mm10_chr10:108161948-108161959(-)::chr10:108161947-108161959(-) GGAGGGAAGCGG >mm10_chr10:108183665-108183676(-)::chr10:108183664-108183676(-) AACAGGAAGGAG >mm10_chr10:108183723-108183734(+)::chr10:108183722-108183734(+) CTAAGGAAATGG >mm10_chr10:108194927-108194938(-)::chr10:108194926-108194938(-) ttaaggaaggag >mm10_chr10:108224518-108224529(-)::chr10:108224517-108224529(-) agcaggaactat >mm10_chr10:108228571-108228582(-)::chr10:108228570-108228582(-) aggaggaagagg >mm10_chr10:108294489-108294500(-)::chr10:108294488-108294500(-) ACAAGGAAACGA >mm10_chr10:108316334-108316345(+)::chr10:108316333-108316345(+) ACAAGGAAGTCC >mm10_chr10:108316387-108316398(-)::chr10:108316386-108316398(-) TAGAGGAAGAAC >mm10_chr10:108332674-108332685(-)::chr10:108332673-108332685(-) GCAAGGAAGCAC >mm10_chr10:108340122-108340133(-)::chr10:108340121-108340133(-) AACAGGAAGTTC >mm10_chr10:108355676-108355687(-)::chr10:108355675-108355687(-) aggaggaagagA >mm10_chr10:108355693-108355704(-)::chr10:108355692-108355704(-) aagaggaagagg >mm10_chr10:108355714-108355725(-)::chr10:108355713-108355725(-) aggaggaagagg >mm10_chr10:108378223-108378234(-)::chr10:108378222-108378234(-) CTAAGGAAGAAA >mm10_chr10:108378239-108378250(-)::chr10:108378238-108378250(-) ATAAGGAAGGAG >mm10_chr10:108378264-108378275(-)::chr10:108378263-108378275(-) AGAAGGAAGCAA >mm10_chr10:108390131-108390142(-)::chr10:108390130-108390142(-) AGGAGGAAGAGC >mm10_chr10:108399964-108399975(-)::chr10:108399963-108399975(-) gggaggaaggtt >mm10_chr10:108399980-108399991(-)::chr10:108399979-108399991(-) acgaggaagggg >mm10_chr10:108403910-108403921(-)::chr10:108403909-108403921(-) ACAGGGAAATCG >mm10_chr10:108417681-108417692(-)::chr10:108417680-108417692(-) AGGAGGAAGTGG >mm10_chr10:108432446-108432457(+)::chr10:108432445-108432457(+) GTAAGGAATTCA >mm10_chr10:108432489-108432500(+)::chr10:108432488-108432500(+) ACAAGGAAGCTT >mm10_chr10:108443770-108443781(-)::chr10:108443769-108443781(-) ggtaggaaggga >mm10_chr10:108443777-108443788(-)::chr10:108443776-108443788(-) Agaaggaggtag >mm10_chr10:108443835-108443846(-)::chr10:108443834-108443846(-) ACAAGGAAACAC >mm10_chr10:108446200-108446211(+)::chr10:108446199-108446211(+) TGAAGGAAGAGT >mm10_chr10:108466796-108466807(+)::chr10:108466795-108466807(+) AGAGGGAAATAA >mm10_chr10:108581883-108581894(+)::chr10:108581882-108581894(+) ggacggaagcag >mm10_chr10:108867421-108867432(-)::chr10:108867420-108867432(-) GGCAGGAAGCCA >mm10_chr10:108906966-108906977(-)::chr10:108906965-108906977(-) gccaggaagtgg >mm10_chr10:108919803-108919814(-)::chr10:108919802-108919814(-) AGGAGGAAGGTC >mm10_chr10:108919810-108919821(-)::chr10:108919809-108919821(-) AGGAGGAAGGAG >mm10_chr10:108919825-108919836(-)::chr10:108919824-108919836(-) AGAAGGAAGAGA >mm10_chr10:109003676-109003687(+)::chr10:109003675-109003687(+) GGCAGGAAGCCA >mm10_chr10:109098951-109098962(-)::chr10:109098950-109098962(-) AAGAGGAAGTGA >mm10_chr10:109665044-109665055(-)::chr10:109665043-109665055(-) ATGAGGAAGTAC >mm10_chr10:109753145-109753156(-)::chr10:109753144-109753156(-) ATAAGGAAACCA >mm10_chr10:109864846-109864857(+)::chr10:109864845-109864857(+) CACAGGAAATAA >mm10_chr10:109877358-109877369(-)::chr10:109877357-109877369(-) gcaaggaagtcc >mm10_chr10:109877407-109877418(-)::chr10:109877406-109877418(-) aggaggaagaac >mm10_chr10:109906228-109906239(-)::chr10:109906227-109906239(-) AGAAGGAAGCTG >mm10_chr10:109917572-109917583(-)::chr10:109917571-109917583(-) TGAGGGAAGTAC >mm10_chr10:109917615-109917626(+)::chr10:109917614-109917626(+) AGGAGGAAGTTC >mm10_chr10:109917639-109917650(-)::chr10:109917638-109917650(-) TAAGGGAAGTGT >mm10_chr10:109984686-109984697(+)::chr10:109984685-109984697(+) taaaggaagaga >mm10_chr10:109986304-109986315(-)::chr10:109986303-109986315(-) GAGAGGAAGAAG >mm10_chr10:110074784-110074795(+)::chr10:110074783-110074795(+) gggaggaaggga >mm10_chr10:110600409-110600420(+)::chr10:110600408-110600420(+) ATAAGGAAGTTT >mm10_chr10:110654668-110654679(+)::chr10:110654667-110654679(+) TTAAGGAAATTG >mm10_chr10:110745212-110745223(-)::chr10:110745211-110745223(-) cgcgggaagccg >mm10_chr10:110794967-110794978(-)::chr10:110794966-110794978(-) GCAGGGAAGTAT >mm10_chr10:110878792-110878803(-)::chr10:110878791-110878803(-) TTAAGGAAGGCA >mm10_chr10:111074181-111074192(+)::chr10:111074180-111074192(+) ACCAGGAAGTTG >mm10_chr10:111102512-111102523(-)::chr10:111102511-111102523(-) AGCAGGAAATAC >mm10_chr10:111315204-111315215(-)::chr10:111315203-111315215(-) GAAAGGAAGATG >mm10_chr10:111317593-111317604(-)::chr10:111317592-111317604(-) ggaaggaactga >mm10_chr10:111317597-111317608(-)::chr10:111317596-111317608(-) gggaggaaggaa >mm10_chr10:111320731-111320742(-)::chr10:111320730-111320742(-) ACCAGGAAGTAC >mm10_chr10:111320754-111320765(-)::chr10:111320753-111320765(-) AGCAGGAAGGGC >mm10_chr10:111320775-111320786(-)::chr10:111320774-111320786(-) AACAGGAAGCTG >mm10_chr10:111320796-111320807(-)::chr10:111320795-111320807(-) AACAGGAAGTGC >mm10_chr10:111372361-111372372(-)::chr10:111372360-111372372(-) AAAAGGAAGGAC >mm10_chr10:111372378-111372389(-)::chr10:111372377-111372389(-) AGAAGGAAACAG >mm10_chr10:111413323-111413334(-)::chr10:111413322-111413334(-) gtgaggaagtag >mm10_chr10:111413348-111413359(-)::chr10:111413347-111413359(-) GTGAggaagtag >mm10_chr10:111511058-111511069(-)::chr10:111511057-111511069(-) GGAAGGAAGCAG >mm10_chr10:111516408-111516419(-)::chr10:111516407-111516419(-) AGGAGGAAGCGA >mm10_chr10:111520240-111520251(+)::chr10:111520239-111520251(+) GAGAGGAAGGAA >mm10_chr10:111520244-111520255(+)::chr10:111520243-111520255(+) GGAAGGAACTCT >mm10_chr10:111520257-111520268(-)::chr10:111520256-111520268(-) TAGAGGAAATGG >mm10_chr10:111540149-111540160(-)::chr10:111540148-111540160(-) GACAGGAAATGA >mm10_chr10:111548347-111548358(+)::chr10:111548346-111548358(+) ACAAGGAAGCCA >mm10_chr10:111553767-111553778(-)::chr10:111553766-111553778(-) ATGGGGAAGTAG >mm10_chr10:111560107-111560118(-)::chr10:111560106-111560118(-) ACCAGGAAGTCC >mm10_chr10:111574257-111574268(+)::chr10:111574256-111574268(+) AGCAGGAAGCTG >mm10_chr10:111576234-111576245(+)::chr10:111576233-111576245(+) ACAAGGAAATTG >mm10_chr10:111598882-111598893(+)::chr10:111598881-111598893(+) ATGAGGAAGTGA >mm10_chr10:111600708-111600719(+)::chr10:111600707-111600719(+) AAGAGGAAGGAG >mm10_chr10:111613581-111613592(-)::chr10:111613580-111613592(-) TCCAGGAAGAGG >mm10_chr10:111624221-111624232(-)::chr10:111624220-111624232(-) GCAAGGATGTGT >mm10_chr10:111654919-111654930(-)::chr10:111654918-111654930(-) CTAAGGAAGACT >mm10_chr10:111654937-111654948(-)::chr10:111654936-111654948(-) GAGAGGAAGAAC >mm10_chr10:111655985-111655996(-)::chr10:111655984-111655996(-) ACAGGGAAGTTC >mm10_chr10:111672582-111672593(+)::chr10:111672581-111672593(+) atcaggaaatac >mm10_chr10:111715358-111715369(+)::chr10:111715357-111715369(+) AGGAGGAAATGA >mm10_chr10:111759168-111759179(-)::chr10:111759167-111759179(-) GAAAGGAAGGAG >mm10_chr10:111759181-111759192(-)::chr10:111759180-111759192(-) AGAAGGAAGTAG >mm10_chr10:111768626-111768637(-)::chr10:111768625-111768637(-) AGGAGGAAGAAT >mm10_chr10:111768639-111768650(-)::chr10:111768638-111768650(-) TGAAGGAAGGCA >mm10_chr10:111768648-111768659(-)::chr10:111768647-111768659(-) ATCAGGAAATGA >mm10_chr10:111795909-111795920(+)::chr10:111795908-111795920(+) ACCAGGAAGGCC >mm10_chr10:111795952-111795963(-)::chr10:111795951-111795963(-) TCAAGGATGTAA >mm10_chr10:111806995-111807006(+)::chr10:111806994-111807006(+) ACCAGGAAGTCA >mm10_chr10:111807326-111807337(+)::chr10:111807325-111807337(+) aagaggaaggag >mm10_chr10:111807348-111807359(+)::chr10:111807347-111807359(+) aggaggaagaTT >mm10_chr10:111831352-111831363(-)::chr10:111831351-111831363(-) aagaggaagagg >mm10_chr10:111831376-111831387(-)::chr10:111831375-111831387(-) aggaggaagaag >mm10_chr10:111831394-111831405(-)::chr10:111831393-111831405(-) aagaggaagagg >mm10_chr10:111832557-111832568(+)::chr10:111832556-111832568(+) ATGAGGAAGATT >mm10_chr10:111837027-111837038(-)::chr10:111837026-111837038(-) AGGAGGAAGTTG >mm10_chr10:111960693-111960704(+)::chr10:111960692-111960704(+) atgaggaAATGG >mm10_chr10:111960761-111960772(+)::chr10:111960760-111960772(+) GGAAGGAACTTA >mm10_chr10:111972655-111972666(-)::chr10:111972654-111972666(-) AACAGGAAGCCG >mm10_chr10:112270485-112270496(+)::chr10:112270484-112270496(+) TGCAGGAAGAAC >mm10_chr10:112270827-112270838(-)::chr10:112270826-112270838(-) GAAAGGAAACGG >mm10_chr10:112531830-112531841(-)::chr10:112531829-112531841(-) AAACGGAAGGAA >mm10_chr10:112675359-112675370(-)::chr10:112675358-112675370(-) atgaggaaggga >mm10_chr10:112929019-112929030(-)::chr10:112929018-112929030(-) GGGCGGAAGTGG >mm10_chr10:113358133-113358144(+)::chr10:113358132-113358144(+) ATAAGGAAATAT >mm10_chr10:114609975-114609986(-)::chr10:114609974-114609986(-) tataggaagtgg >mm10_chr10:114871658-114871669(-)::chr10:114871657-114871669(-) AGAGGGAAGTGT >mm10_chr10:114983462-114983473(-)::chr10:114983461-114983473(-) ATAAGGAAGGAC >mm10_chr10:115044172-115044183(+)::chr10:115044171-115044183(+) acaaggaattat >mm10_chr10:115284980-115284991(-)::chr10:115284979-115284991(-) AAAGGGAAGTTA >mm10_chr10:115285036-115285047(+)::chr10:115285035-115285047(+) GCCAGGAAGTGC >mm10_chr10:115315588-115315599(-)::chr10:115315587-115315599(-) AGGAGGAAGGAG >mm10_chr10:115328744-115328755(+)::chr10:115328743-115328755(+) gaaaggaagcca >mm10_chr10:115432230-115432241(-)::chr10:115432229-115432241(-) AACAGGAAGTTC >mm10_chr10:115639249-115639260(+)::chr10:115639248-115639260(+) taaaggaaatga >mm10_chr10:115639318-115639329(+)::chr10:115639317-115639329(+) tacaggaaatac >mm10_chr10:115735578-115735589(+)::chr10:115735577-115735589(+) tcaaggaagttc >mm10_chr10:115735616-115735627(+)::chr10:115735615-115735627(+) agaaggaaatag >mm10_chr10:115800028-115800039(-)::chr10:115800027-115800039(-) aagaggaagcag >mm10_chr10:115800034-115800045(-)::chr10:115800033-115800045(-) aggaggaagagg >mm10_chr10:115800041-115800052(-)::chr10:115800040-115800052(-) ggaaggaaggag >mm10_chr10:115800045-115800056(-)::chr10:115800044-115800056(-) ggcaggaaggaa >mm10_chr10:115804951-115804962(-)::chr10:115804950-115804962(-) aaaaggaagctt >mm10_chr10:115816738-115816749(-)::chr10:115816737-115816749(-) GGAAGGAAGGAA >mm10_chr10:115816742-115816753(-)::chr10:115816741-115816753(-) aaCAGGAAGGAA >mm10_chr10:115838693-115838704(-)::chr10:115838692-115838704(-) GAATGGAAGTAC >mm10_chr10:115838703-115838714(-)::chr10:115838702-115838714(-) ATGAGGAAGAGA >mm10_chr10:115838737-115838748(-)::chr10:115838736-115838748(-) GCAAGGAAGTGG >mm10_chr10:115892085-115892096(-)::chr10:115892084-115892096(-) atcaggaagtga >mm10_chr10:115893107-115893118(+)::chr10:115893106-115893118(+) GGAGGGAAGATA >mm10_chr10:115897552-115897563(-)::chr10:115897551-115897563(-) AGGAGGAAGGAA >mm10_chr10:115897621-115897632(+)::chr10:115897620-115897632(+) TGAAGGAAGGCT >mm10_chr10:115969568-115969579(+)::chr10:115969567-115969579(+) ATAAGGAAAGAG >mm10_chr10:115969575-115969586(+)::chr10:115969574-115969586(+) AAGAGGAAATGA >mm10_chr10:115969614-115969625(-)::chr10:115969613-115969625(-) AAGAGGAAGAAT >mm10_chr10:116301354-116301365(+)::chr10:116301353-116301365(+) ACAAGGAAATTG >mm10_chr10:116319480-116319491(+)::chr10:116319479-116319491(+) GAAAGGAAGAAA >mm10_chr10:116319523-116319534(+)::chr10:116319522-116319534(+) ACCAGGAAGACA >mm10_chr10:116382017-116382028(-)::chr10:116382016-116382028(-) ggaaggaaggga >mm10_chr10:116505467-116505478(+)::chr10:116505466-116505478(+) AGCAGGAAGTGT >mm10_chr10:116505509-116505520(+)::chr10:116505508-116505520(+) AAGAGGAAGTGA >mm10_chr10:116512286-116512297(+)::chr10:116512285-116512297(+) TTAAGGATGTAT >mm10_chr10:116582173-116582184(-)::chr10:116582172-116582184(-) AAAAGGAAGAGG >mm10_chr10:116582236-116582247(+)::chr10:116582235-116582247(+) GAGAGGAAGTAA >mm10_chr10:116583036-116583047(-)::chr10:116583035-116583047(-) GACAGGAAGGCA >mm10_chr10:116583058-116583069(-)::chr10:116583057-116583069(-) GAGAGGAAGAGA >mm10_chr10:116728562-116728573(-)::chr10:116728561-116728573(-) TGAAGGAAGCAG >mm10_chr10:116736047-116736058(-)::chr10:116736046-116736058(-) aagaggaagggg >mm10_chr10:116736053-116736064(-)::chr10:116736052-116736064(-) aagaggaagagg >mm10_chr10:116736170-116736181(-)::chr10:116736169-116736181(-) aggaggaagagg >mm10_chr10:116736184-116736195(-)::chr10:116736183-116736195(-) GGAAGGCAGTga >mm10_chr10:116741303-116741314(-)::chr10:116741302-116741314(-) gtgaggaagaga >mm10_chr10:116771651-116771662(-)::chr10:116771650-116771662(-) TGGAGGAAGATT >mm10_chr10:116784313-116784324(-)::chr10:116784312-116784324(-) ACCAGGAAGAGT >mm10_chr10:116827431-116827442(-)::chr10:116827430-116827442(-) AACAGGAAGCTG >mm10_chr10:116827442-116827453(+)::chr10:116827441-116827453(+) TAAAGGAGGTTA >mm10_chr10:116827466-116827477(-)::chr10:116827465-116827477(-) GGGAGGAAGAGA >mm10_chr10:116828078-116828089(+)::chr10:116828077-116828089(+) GGCAGGAAGAAA >mm10_chr10:116834742-116834753(-)::chr10:116834741-116834753(-) tgccggaagtgg >mm10_chr10:116848036-116848047(+)::chr10:116848035-116848047(+) AAGAGGAAGCAT >mm10_chr10:116861694-116861705(-)::chr10:116861693-116861705(-) TTAAGGAAGTAT >mm10_chr10:116944982-116944993(-)::chr10:116944981-116944993(-) TTAAGGAAGTGG >mm10_chr10:116949694-116949705(-)::chr10:116949693-116949705(-) TCAAGGAAATGT >mm10_chr10:116968820-116968831(+)::chr10:116968819-116968831(+) ACAAGGATATAC >mm10_chr10:116970038-116970049(+)::chr10:116970037-116970049(+) GACAGGAAGTCT >mm10_chr10:116973538-116973549(-)::chr10:116973537-116973549(-) TCTAGGAAGTCC >mm10_chr10:116996323-116996334(-)::chr10:116996322-116996334(-) AGCAGGAAGTGC >mm10_chr10:117014810-117014821(+)::chr10:117014809-117014821(+) GAAAGGAAGGAG >mm10_chr10:117026250-117026261(-)::chr10:117026249-117026261(-) gggaggaagagc >mm10_chr10:117026269-117026280(-)::chr10:117026268-117026280(-) AAGAGGAaggag >mm10_chr10:117037013-117037024(-)::chr10:117037012-117037024(-) TCCAGGAAGTCC >mm10_chr10:117040425-117040436(+)::chr10:117040424-117040436(+) aggaggaagagg >mm10_chr10:117046195-117046206(+)::chr10:117046194-117046206(+) CCAAGGAAGAGA >mm10_chr10:117046227-117046238(-)::chr10:117046226-117046238(-) GGAAGGAAGAAG >mm10_chr10:117046482-117046493(-)::chr10:117046481-117046493(-) TGAAGGAACTGC >mm10_chr10:117063785-117063796(+)::chr10:117063784-117063796(+) AGGCGGAAGTGA >mm10_chr10:117072650-117072661(-)::chr10:117072649-117072661(-) ACAGGGAAGTCT >mm10_chr10:117116006-117116017(+)::chr10:117116005-117116017(+) aggaggaaggag >mm10_chr10:117116016-117116027(+)::chr10:117116015-117116027(+) aggaggaagaag >mm10_chr10:117116036-117116047(+)::chr10:117116035-117116047(+) aggaggaaggag >mm10_chr10:117116070-117116081(+)::chr10:117116069-117116081(+) aggaggaagagg >mm10_chr10:117116079-117116090(+)::chr10:117116078-117116090(+) aggaggaaggag >mm10_chr10:117116243-117116254(+)::chr10:117116242-117116254(+) aggaggaagaaa >mm10_chr10:117116257-117116268(+)::chr10:117116256-117116268(+) aggaggaaggag >mm10_chr10:117116264-117116275(+)::chr10:117116263-117116275(+) aggaggaagaaa >mm10_chr10:117116271-117116282(+)::chr10:117116270-117116282(+) agaaagaagtgt >mm10_chr10:117125818-117125829(+)::chr10:117125817-117125829(+) ggcaggaagaaa >mm10_chr10:117148875-117148886(+)::chr10:117148874-117148886(+) cgaaggaaggct >mm10_chr10:117172302-117172313(-)::chr10:117172301-117172313(-) ACGCGGAAGATG >mm10_chr10:117172309-117172320(-)::chr10:117172308-117172320(-) GTAAGGAACGCG >mm10_chr10:117195103-117195114(+)::chr10:117195102-117195114(+) CCAAGGAAGCTG >mm10_chr10:117195145-117195156(+)::chr10:117195144-117195156(+) GGTAGGAAGAGC >mm10_chr10:117224839-117224850(-)::chr10:117224838-117224850(-) agcgggaagtgg >mm10_chr10:117230264-117230275(+)::chr10:117230263-117230275(+) ATAAGGAACTGT >mm10_chr10:117267383-117267394(-)::chr10:117267382-117267394(-) GGCAGGAAATAA >mm10_chr10:117313357-117313368(-)::chr10:117313356-117313368(-) TCAAGGAAGATA >mm10_chr10:117368874-117368885(+)::chr10:117368873-117368885(+) GCCAGGAAGAGG >mm10_chr10:117377522-117377533(-)::chr10:117377521-117377533(-) TGAAGGAAGGAG >mm10_chr10:117377547-117377558(-)::chr10:117377546-117377558(-) GGGAGGAAGGGG >mm10_chr10:117418084-117418095(-)::chr10:117418083-117418095(-) GTAAGGAAATGA >mm10_chr10:117418108-117418119(-)::chr10:117418107-117418119(-) GGAAGGAACTCC >mm10_chr10:117527274-117527285(-)::chr10:117527273-117527285(-) AGGAGGAAGATC >mm10_chr10:117571689-117571700(+)::chr10:117571688-117571700(+) AGCAGGAAGGGT >mm10_chr10:117741589-117741600(+)::chr10:117741588-117741600(+) ACCAGGAAATGT >mm10_chr10:117764799-117764810(+)::chr10:117764798-117764810(+) AGGAGGAAGTTC >mm10_chr10:117792856-117792867(+)::chr10:117792855-117792867(+) GGCAGGAAGGGG >mm10_chr10:117800169-117800180(-)::chr10:117800168-117800180(-) TCCAGGAAGATA >mm10_chr10:117831105-117831116(+)::chr10:117831104-117831116(+) CAGAGGAAGTCT >mm10_chr10:117840050-117840061(+)::chr10:117840049-117840061(+) GCAAGGAAGAAA >mm10_chr10:117845255-117845266(-)::chr10:117845254-117845266(-) AGGAGGAAGGCA >mm10_chr10:117845268-117845279(-)::chr10:117845267-117845279(-) TGCGGGAAGTGG >mm10_chr10:117854182-117854193(-)::chr10:117854181-117854193(-) TGGAGGAAGGGA >mm10_chr10:117854229-117854240(+)::chr10:117854228-117854240(+) AAGAGGAAGTAT >mm10_chr10:117854242-117854253(-)::chr10:117854241-117854253(-) AGAAGGAAGCAT >mm10_chr10:117866799-117866810(+)::chr10:117866798-117866810(+) ACCAGGAAGTGC >mm10_chr10:117874196-117874207(-)::chr10:117874195-117874207(-) accaggaagtac >mm10_chr10:117879547-117879558(-)::chr10:117879546-117879558(-) ACCAGGAAGAAA >mm10_chr10:117893289-117893300(-)::chr10:117893288-117893300(-) TCCAGGAAGTTC >mm10_chr10:117893299-117893310(-)::chr10:117893298-117893310(-) TCCAGGAAGTTC >mm10_chr10:117897380-117897391(+)::chr10:117897379-117897391(+) aggaggaactaa >mm10_chr10:117941116-117941127(-)::chr10:117941115-117941127(-) AGAAGGAAGTCA >mm10_chr10:117982745-117982756(+)::chr10:117982744-117982756(+) GGAAGGAAATGG >mm10_chr10:117982778-117982789(-)::chr10:117982777-117982789(-) ACAAGGAAACGC >mm10_chr10:117983458-117983469(-)::chr10:117983457-117983469(-) aggaggaaatac >mm10_chr10:118012074-118012085(+)::chr10:118012073-118012085(+) AACAGGAAGTTG >mm10_chr10:118028040-118028051(+)::chr10:118028039-118028051(+) GAAAGGAAGGTG >mm10_chr10:118028073-118028084(+)::chr10:118028072-118028084(+) AAACGGAAATGT >mm10_chr10:118028117-118028128(-)::chr10:118028116-118028128(-) ATAAAGAAGTCC >mm10_chr10:118029189-118029200(-)::chr10:118029188-118029200(-) GAAAGGAAGGGA >mm10_chr10:118093810-118093821(-)::chr10:118093809-118093821(-) AACAGGAAGTCT >mm10_chr10:118105349-118105360(+)::chr10:118105348-118105360(+) gagaggaagagg >mm10_chr10:118105355-118105366(+)::chr10:118105354-118105366(+) aagaggaagaga >mm10_chr10:118105388-118105399(+)::chr10:118105387-118105399(+) aggaggaagaga >mm10_chr10:118105410-118105421(+)::chr10:118105409-118105421(+) aggaggaagaag >mm10_chr10:118105422-118105433(+)::chr10:118105421-118105433(+) aggaggaagagg >mm10_chr10:118105428-118105439(+)::chr10:118105427-118105439(+) aagaggaagcac >mm10_chr10:118585918-118585929(+)::chr10:118585917-118585929(+) accaggaagtca >mm10_chr10:118585944-118585955(+)::chr10:118585943-118585955(+) aacaggaagcag >mm10_chr10:118804746-118804757(+)::chr10:118804745-118804757(+) AGGAGGAAGTTT >mm10_chr10:118829822-118829833(-)::chr10:118829821-118829833(-) agcaggaaatac >mm10_chr10:118829840-118829851(-)::chr10:118829839-118829851(-) tgcaggaaatag >mm10_chr10:118869915-118869926(-)::chr10:118869914-118869926(-) GTAAGGAAATGG >mm10_chr10:118869972-118869983(-)::chr10:118869971-118869983(-) GAAAGGAAGGTG >mm10_chr10:118870246-118870257(+)::chr10:118870245-118870257(+) ATAGGGAAGAAA >mm10_chr10:118870278-118870289(-)::chr10:118870277-118870289(-) GGAAGGAAGTGC >mm10_chr10:118954032-118954043(+)::chr10:118954031-118954043(+) GGAATGAAGTGT >mm10_chr10:118979452-118979463(+)::chr10:118979451-118979463(+) AGTCGGAAGTCG >mm10_chr10:118981623-118981634(-)::chr10:118981622-118981634(-) CCGAGGAAGGGT >mm10_chr10:118981638-118981649(-)::chr10:118981637-118981649(-) ATAAGGAAGTGT >mm10_chr10:119007034-119007045(+)::chr10:119007033-119007045(+) ACCAGGAAGTCA >mm10_chr10:119009665-119009676(-)::chr10:119009664-119009676(-) GTAAGGAAGGTC >mm10_chr10:119009688-119009699(-)::chr10:119009687-119009699(-) AGGAGGAAGGTC >mm10_chr10:119054221-119054232(+)::chr10:119054220-119054232(+) ttacggaaggaa >mm10_chr10:119055850-119055861(+)::chr10:119055849-119055861(+) AAACGGAAATCG >mm10_chr10:119100226-119100237(+)::chr10:119100225-119100237(+) aaaaggaagggg >mm10_chr10:119100239-119100250(+)::chr10:119100238-119100250(+) agaaggaaggag >mm10_chr10:119100246-119100257(+)::chr10:119100245-119100257(+) aggaggaagaag >mm10_chr10:119192942-119192953(+)::chr10:119192941-119192953(+) ATAAGGAACTTA >mm10_chr10:119240364-119240375(+)::chr10:119240363-119240375(+) AGAAGGAAGGTC >mm10_chr10:119372958-119372969(-)::chr10:119372957-119372969(-) AGCAGGAAGTGT >mm10_chr10:119571980-119571991(+)::chr10:119571979-119571991(+) GCTAGGAAGTGA >mm10_chr10:119584799-119584810(+)::chr10:119584798-119584810(+) GGAAGGAAGCCT >mm10_chr10:119595327-119595338(+)::chr10:119595326-119595338(+) atcaggaagaaa >mm10_chr10:119615393-119615404(-)::chr10:119615392-119615404(-) GCCAGGAAATAC >mm10_chr10:119832618-119832629(+)::chr10:119832617-119832629(+) AACAGGAAGAAG >mm10_chr10:120120695-120120706(-)::chr10:120120694-120120706(-) TACAGGAAATGA >mm10_chr10:120136210-120136221(-)::chr10:120136209-120136221(-) ggaaggaacgcg >mm10_chr10:120136214-120136225(-)::chr10:120136213-120136225(-) tgaaggaaggaa >mm10_chr10:120141309-120141320(+)::chr10:120141308-120141320(+) aacaggaaatga >mm10_chr10:120191450-120191461(+)::chr10:120191449-120191461(+) CCTAGGAAGTTA >mm10_chr10:120208763-120208774(+)::chr10:120208762-120208774(+) TCCCGGAAGTGG >mm10_chr10:120219119-120219130(+)::chr10:120219118-120219130(+) ACGAGGAAGAAG >mm10_chr10:120220143-120220154(+)::chr10:120220142-120220154(+) ACAAGGAAGTGC >mm10_chr10:120220166-120220177(-)::chr10:120220165-120220177(-) AGGAGGAAGGAG >mm10_chr10:120227055-120227066(+)::chr10:120227054-120227066(+) GAACGGAAGCCG >mm10_chr10:120227062-120227073(+)::chr10:120227061-120227073(+) AGCCGGAAGCGC >mm10_chr10:120249151-120249162(-)::chr10:120249150-120249162(-) TGAAGGAAGTCT >mm10_chr10:120249924-120249935(-)::chr10:120249923-120249935(-) ACCAGGAAGGAG >mm10_chr10:120323729-120323740(-)::chr10:120323728-120323740(-) GCCAGGAAGGAA >mm10_chr10:120325292-120325303(+)::chr10:120325291-120325303(+) ATGAGGAAGGAG >mm10_chr10:120399091-120399102(+)::chr10:120399090-120399102(+) AGGAGGAAGTAC >mm10_chr10:120429014-120429025(+)::chr10:120429013-120429025(+) TGCAGGAAGGAA >mm10_chr10:120429018-120429029(+)::chr10:120429017-120429029(+) GGAAGGAACGAG >mm10_chr10:120433343-120433354(+)::chr10:120433342-120433354(+) ACCAGGAAGGTG >mm10_chr10:120500983-120500994(-)::chr10:120500982-120500994(-) acaaggaagagc >mm10_chr10:120527806-120527817(+)::chr10:120527805-120527817(+) tggaggaagagg >mm10_chr10:120527812-120527823(+)::chr10:120527811-120527823(+) aagaggaagagg >mm10_chr10:120527824-120527835(+)::chr10:120527823-120527835(+) aggaggaagagg >mm10_chr10:120527830-120527841(+)::chr10:120527829-120527841(+) aagaggaAATAG >mm10_chr10:120580585-120580596(+)::chr10:120580584-120580596(+) aggaggaagccg >mm10_chr10:120594026-120594037(+)::chr10:120594025-120594037(+) acaaggaagtaa >mm10_chr10:120594069-120594080(+)::chr10:120594068-120594080(+) accaggaagtaa >mm10_chr10:120608355-120608366(+)::chr10:120608354-120608366(+) AGCAGGAAGGTG >mm10_chr10:120628260-120628271(-)::chr10:120628259-120628271(-) AGCAGGAAGAAG >mm10_chr10:120666006-120666017(-)::chr10:120666005-120666017(-) agaaggaaagGG >mm10_chr10:120666036-120666047(-)::chr10:120666035-120666047(-) agaaggaaatgc >mm10_chr10:120666062-120666073(-)::chr10:120666061-120666073(-) ggaaggaaggct >mm10_chr10:120666066-120666077(-)::chr10:120666065-120666077(-) ggaaggaaggaa >mm10_chr10:120666070-120666081(-)::chr10:120666069-120666081(-) AAaaggaaggaa >mm10_chr10:120700691-120700702(-)::chr10:120700690-120700702(-) ATGAGGAAGCAA >mm10_chr10:120700704-120700715(+)::chr10:120700703-120700715(+) CCAAGGAAGAGG >mm10_chr10:120752182-120752193(+)::chr10:120752181-120752193(+) TCAAGGAAGAGA >mm10_chr10:120850790-120850801(+)::chr10:120850789-120850801(+) ACAAGGAAGGCA >mm10_chr10:120857972-120857983(+)::chr10:120857971-120857983(+) gtaaggatgtct >mm10_chr10:120883559-120883570(+)::chr10:120883558-120883570(+) gagaggaagagg >mm10_chr10:120883571-120883582(+)::chr10:120883570-120883582(+) aggaggaagagg >mm10_chr10:120883586-120883597(+)::chr10:120883585-120883597(+) aggaggaagagg >mm10_chr10:120883714-120883725(+)::chr10:120883713-120883725(+) aagaggaagagg >mm10_chr10:120883720-120883731(+)::chr10:120883719-120883731(+) aagaggaagaag >mm10_chr10:121033573-121033584(-)::chr10:121033572-121033584(-) CCTAGGAAGTTA >mm10_chr10:121080906-121080917(+)::chr10:121080905-121080917(+) ACAAGGAAGATT >mm10_chr10:121083657-121083668(+)::chr10:121083656-121083668(+) AGAAGGAAGGCA >mm10_chr10:121122581-121122592(-)::chr10:121122580-121122592(-) TTCAGGAAGTTT >mm10_chr10:121160626-121160637(+)::chr10:121160625-121160637(+) AAGAGGAAGTAT >mm10_chr10:121202060-121202071(-)::chr10:121202059-121202071(-) AACAGGAAGGAC >mm10_chr10:121251673-121251684(+)::chr10:121251672-121251684(+) AAGAGGAAGGGA >mm10_chr10:121264095-121264106(+)::chr10:121264094-121264106(+) AGGAGGAAGGAA >mm10_chr10:121264129-121264140(+)::chr10:121264128-121264140(+) GACAGGAAATGA >mm10_chr10:121316532-121316543(+)::chr10:121316531-121316543(+) AGCAGGAAGGAA >mm10_chr10:121316536-121316547(+)::chr10:121316535-121316547(+) GGAAGGAACTGA >mm10_chr10:121328836-121328847(+)::chr10:121328835-121328847(+) ACCAGGATGTAC >mm10_chr10:121344071-121344082(-)::chr10:121344070-121344082(-) GGAAGGAAGTGT >mm10_chr10:121405657-121405668(-)::chr10:121405656-121405668(-) GAAAGGAAGGCA >mm10_chr10:121413025-121413036(-)::chr10:121413024-121413036(-) ACAAGGAAGAGG >mm10_chr10:121413045-121413056(+)::chr10:121413044-121413056(+) CGCAGGAAGTTC >mm10_chr10:121431624-121431635(+)::chr10:121431623-121431635(+) AGCAGGAAGAAA >mm10_chr10:121432734-121432745(+)::chr10:121432733-121432745(+) ggaaggaaggat >mm10_chr10:121432778-121432789(-)::chr10:121432777-121432789(-) ACAAGGAAGATC >mm10_chr10:121445168-121445179(+)::chr10:121445167-121445179(+) aagaggaagaga >mm10_chr10:121445189-121445200(+)::chr10:121445188-121445200(+) aggaggaagaag >mm10_chr10:121445201-121445212(+)::chr10:121445200-121445212(+) aagaggaagaga >mm10_chr10:121445219-121445230(+)::chr10:121445218-121445230(+) aggaggaagaag >mm10_chr10:121445254-121445265(+)::chr10:121445253-121445265(+) aggaggaagagT >mm10_chr10:121446023-121446034(+)::chr10:121446022-121446034(+) AGTAGGAAGTTT >mm10_chr10:121452420-121452431(+)::chr10:121452419-121452431(+) AGCAGGAAGGAC >mm10_chr10:121452435-121452446(+)::chr10:121452434-121452446(+) ATAAGGAAACAC >mm10_chr10:121455345-121455356(+)::chr10:121455344-121455356(+) accaggaagtta >mm10_chr10:121461789-121461800(+)::chr10:121461788-121461800(+) AGGAGGAAGCGC >mm10_chr10:121474134-121474145(+)::chr10:121474133-121474145(+) CCCAGGAAGTGG >mm10_chr10:121475394-121475405(-)::chr10:121475393-121475405(-) TACGGGAAGTTG >mm10_chr10:121475437-121475448(-)::chr10:121475436-121475448(-) TGGAGGAAGGGT >mm10_chr10:121475450-121475461(+)::chr10:121475449-121475461(+) AAAAGGAAGAAA >mm10_chr10:121475838-121475849(-)::chr10:121475837-121475849(-) CGAAGGAAGGAG >mm10_chr10:121485849-121485860(-)::chr10:121485848-121485860(-) aagaggaagagg >mm10_chr10:121485855-121485866(-)::chr10:121485854-121485866(-) aagaggaagagg >mm10_chr10:121485861-121485872(-)::chr10:121485860-121485872(-) aagaggaagagg >mm10_chr10:121485867-121485878(-)::chr10:121485866-121485878(-) aagaggaagagg >mm10_chr10:121485873-121485884(-)::chr10:121485872-121485884(-) aagaggaagagg >mm10_chr10:121489020-121489031(+)::chr10:121489019-121489031(+) AGCAGGAAGAAA >mm10_chr10:121496794-121496805(-)::chr10:121496793-121496805(-) acaaggaagtct >mm10_chr10:121498166-121498177(+)::chr10:121498165-121498177(+) GGAAGGAAGTGC >mm10_chr10:121498764-121498775(-)::chr10:121498763-121498775(-) CCAAGGAAGCTG >mm10_chr10:121498776-121498787(-)::chr10:121498775-121498787(-) GACAGGAAGAAT >mm10_chr10:121501212-121501223(+)::chr10:121501211-121501223(+) acaaggaaatag >mm10_chr10:121571689-121571700(-)::chr10:121571688-121571700(-) ATAAGGAACTTC >mm10_chr10:121586751-121586762(-)::chr10:121586750-121586762(-) AGCCGGAAGTAG >mm10_chr10:121596743-121596754(+)::chr10:121596742-121596754(+) ACCAGGAAGAGA >mm10_chr10:121693337-121693348(-)::chr10:121693336-121693348(-) CACAGGAAGTCC >mm10_chr10:121739926-121739937(+)::chr10:121739925-121739937(+) ACCCGGAAGTGC >mm10_chr10:121886913-121886924(-)::chr10:121886912-121886924(-) AACAGGAAGCCC >mm10_chr10:122006811-122006822(+)::chr10:122006810-122006822(+) AGCAGGAAGAAA >mm10_chr10:122020401-122020412(-)::chr10:122020400-122020412(-) AGAAGGAAGAGG >mm10_chr10:122020436-122020447(-)::chr10:122020435-122020447(-) GGCAGGAAGTGA >mm10_chr10:122116777-122116788(+)::chr10:122116776-122116788(+) aggaggaagtat >mm10_chr10:122168252-122168263(+)::chr10:122168251-122168263(+) CCAAGGAAGTTC >mm10_chr10:122296319-122296330(+)::chr10:122296318-122296330(+) GGGAGGAAGGGG >mm10_chr10:122562217-122562228(-)::chr10:122562216-122562228(-) AACGGGAAGTGC >mm10_chr10:122591712-122591723(-)::chr10:122591711-122591723(-) AGAAGGAAGATT >mm10_chr10:122603270-122603281(+)::chr10:122603269-122603281(+) aggaggaagagg >mm10_chr10:122603276-122603287(+)::chr10:122603275-122603287(+) aagaggaagagg >mm10_chr10:122603282-122603293(+)::chr10:122603281-122603293(+) aagaggaagaag >mm10_chr10:122603291-122603302(+)::chr10:122603290-122603302(+) aagaggaagagg >mm10_chr10:122603303-122603314(+)::chr10:122603302-122603314(+) aggaggaagagg >mm10_chr10:122678671-122678682(-)::chr10:122678670-122678682(-) AGGAGGAAGACG >mm10_chr10:122714159-122714170(-)::chr10:122714158-122714170(-) ggcaggaagact >mm10_chr10:122738088-122738099(+)::chr10:122738087-122738099(+) AAAGGGAAGTGG >mm10_chr10:122758696-122758707(-)::chr10:122758695-122758707(-) ACCAGGAACTAA >mm10_chr10:122802569-122802580(+)::chr10:122802568-122802580(+) TCAAGGAAATGG >mm10_chr10:122802616-122802627(-)::chr10:122802615-122802627(-) GCAAGGAAGTTT >mm10_chr10:122807179-122807190(+)::chr10:122807178-122807190(+) AACAGGAAGTTC >mm10_chr10:122821689-122821700(+)::chr10:122821688-122821700(+) ACCAGGAAGCAC >mm10_chr10:122845990-122846001(-)::chr10:122845989-122846001(-) ATACGGAAGGCA >mm10_chr10:122891869-122891880(-)::chr10:122891868-122891880(-) GTAAGGAAATAG >mm10_chr10:122895564-122895575(+)::chr10:122895563-122895575(+) ggagggaagcgc >mm10_chr10:122895577-122895588(+)::chr10:122895576-122895588(+) tccaggaaggaa >mm10_chr10:122895581-122895592(+)::chr10:122895580-122895592(+) ggaaggaagatc >mm10_chr10:122897514-122897525(+)::chr10:122897513-122897525(+) ACAAGGAAGTTC >mm10_chr10:122897554-122897565(+)::chr10:122897553-122897565(+) ACCAGGAAGATA >mm10_chr10:122914409-122914420(+)::chr10:122914408-122914420(+) ATGAGGAAGCAG >mm10_chr10:122914443-122914454(+)::chr10:122914442-122914454(+) GGCAGGAAGAAG >mm10_chr10:122914450-122914461(+)::chr10:122914449-122914461(+) AGAAGGAAGCAA >mm10_chr10:122916086-122916097(+)::chr10:122916085-122916097(+) aagaggaagtgg >mm10_chr10:122917916-122917927(+)::chr10:122917915-122917927(+) TGCAGGAAGTGA >mm10_chr10:122943145-122943156(+)::chr10:122943144-122943156(+) TTCAGGAAGTCT >mm10_chr10:122944140-122944151(-)::chr10:122944139-122944151(-) TCAGGGAAGTGC >mm10_chr10:122949195-122949206(-)::chr10:122949194-122949206(-) AGTAGGAAGCAA >mm10_chr10:122949202-122949213(-)::chr10:122949201-122949213(-) ATCAGGAAGTAG >mm10_chr10:122970394-122970405(+)::chr10:122970393-122970405(+) GTAGGGAAGTGG >mm10_chr10:122970416-122970427(+)::chr10:122970415-122970427(+) ATGAGGAAGAGG >mm10_chr10:122970432-122970443(+)::chr10:122970431-122970443(+) ATGAGGAAGAGG >mm10_chr10:122988644-122988655(+)::chr10:122988643-122988655(+) ACAAGGAAGAGG >mm10_chr10:123052684-123052695(+)::chr10:123052683-123052695(+) TTCAGGAAGTAA >mm10_chr10:123092783-123092794(+)::chr10:123092782-123092794(+) AGGAGGAAGCAG >mm10_chr10:123092797-123092808(+)::chr10:123092796-123092808(+) AAGAGGAAGCTA >mm10_chr10:123141121-123141132(-)::chr10:123141120-123141132(-) ggaaggatgttt >mm10_chr10:123141125-123141136(-)::chr10:123141124-123141136(-) actaggaaggat >mm10_chr10:123141183-123141194(+)::chr10:123141182-123141194(+) GCAGGGAAGTTT >mm10_chr10:123147318-123147329(+)::chr10:123147317-123147329(+) GGCAGGAAGAGT >mm10_chr10:123183452-123183463(+)::chr10:123183451-123183463(+) AGCAGGAAGAGA >mm10_chr10:123188639-123188650(+)::chr10:123188638-123188650(+) agaaggaaggaa >mm10_chr10:123188643-123188654(+)::chr10:123188642-123188654(+) ggaaggaaggaa >mm10_chr10:123188647-123188658(+)::chr10:123188646-123188658(+) ggaaggaaggaa >mm10_chr10:123188651-123188662(+)::chr10:123188650-123188662(+) ggaaggaaggaa >mm10_chr10:123188655-123188666(+)::chr10:123188654-123188666(+) ggaaggaaggaC >mm10_chr10:123236740-123236751(-)::chr10:123236739-123236751(-) tcaaggaagaaa >mm10_chr10:124156358-124156369(+)::chr10:124156357-124156369(+) GCATGGAAGTAG >mm10_chr10:124866998-124867009(+)::chr10:124866997-124867009(+) ttaaggaagggc >mm10_chr10:125271678-125271689(+)::chr10:125271677-125271689(+) AGCAGGAAGTGA >mm10_chr10:125328466-125328477(-)::chr10:125328465-125328477(-) AGACGGAAGGGA >mm10_chr10:125370154-125370165(+)::chr10:125370153-125370165(+) tagaggaagtat >mm10_chr10:125826412-125826423(-)::chr10:125826411-125826423(-) CAGAGGAAGTGA >mm10_chr10:125838584-125838595(+)::chr10:125838583-125838595(+) TACAGGAAGCAA >mm10_chr10:125850741-125850752(-)::chr10:125850740-125850752(-) GAGAGGAAGACA >mm10_chr10:125967217-125967228(+)::chr10:125967216-125967228(+) CAAAGGAAGTAG >mm10_chr10:125967339-125967350(+)::chr10:125967338-125967350(+) ACACGGAAGAAA >mm10_chr10:125979853-125979864(-)::chr10:125979852-125979864(-) aggaggaagaag >mm10_chr10:125979887-125979898(-)::chr10:125979886-125979898(-) GAgaggaagaga >mm10_chr10:125982089-125982100(+)::chr10:125982088-125982100(+) ACCAGGAAGCAT >mm10_chr10:125995046-125995057(-)::chr10:125995045-125995057(-) tagaggaagtag >mm10_chr10:126077711-126077722(+)::chr10:126077710-126077722(+) ttaaggaagaag >mm10_chr10:126301010-126301021(+)::chr10:126301009-126301021(+) AGAAGAAAGTGA >mm10_chr10:126322855-126322866(+)::chr10:126322854-126322866(+) TGAAGGAAGCCT >mm10_chr10:126322880-126322891(+)::chr10:126322879-126322891(+) aggaggaagaga >mm10_chr10:126322895-126322906(+)::chr10:126322894-126322906(+) agaaggaagaag >mm10_chr10:126470908-126470919(-)::chr10:126470907-126470919(-) aagaggaagagc >mm10_chr10:126470914-126470925(-)::chr10:126470913-126470925(-) aggaggaagagg >mm10_chr10:126470935-126470946(-)::chr10:126470934-126470946(-) tggaggaagagc >mm10_chr10:126471323-126471334(+)::chr10:126471322-126471334(+) ATGAGGAAGTGA >mm10_chr10:126502521-126502532(-)::chr10:126502520-126502532(-) ACAGGGAAGTGG >mm10_chr10:126531704-126531715(-)::chr10:126531703-126531715(-) ATAAGGAAAGCA >mm10_chr10:126844629-126844640(-)::chr10:126844628-126844640(-) ttgaggaagttg >mm10_chr10:126844712-126844723(-)::chr10:126844711-126844723(-) ggcaggaaatag >mm10_chr10:126853446-126853457(+)::chr10:126853445-126853457(+) accaggaagaaa >mm10_chr10:126926077-126926088(-)::chr10:126926076-126926088(-) TAGAGGAAGGAA >mm10_chr10:126971455-126971466(-)::chr10:126971454-126971466(-) agaaggaaggaa >mm10_chr10:126971467-126971478(-)::chr10:126971466-126971478(-) ggaaggaaggaa >mm10_chr10:126997423-126997434(-)::chr10:126997422-126997434(-) ACCAGGAAGACA >mm10_chr10:127050333-127050344(-)::chr10:127050332-127050344(-) AAAAGGAAGTGG >mm10_chr10:127103758-127103769(+)::chr10:127103757-127103769(+) ATAAGGAAGAAA >mm10_chr10:127103779-127103790(+)::chr10:127103778-127103790(+) AAGAGGAAGACA >mm10_chr10:127103831-127103842(+)::chr10:127103830-127103842(+) GACAGGAAGTGG >mm10_chr10:127147200-127147211(-)::chr10:127147199-127147211(-) acaaggacgcgg >mm10_chr10:127156066-127156077(+)::chr10:127156065-127156077(+) atgaggaagttt >mm10_chr10:127156107-127156118(-)::chr10:127156106-127156118(-) ataaggaagctt >mm10_chr10:127167445-127167456(+)::chr10:127167444-127167456(+) ATGAGGAAGAAT >mm10_chr10:127167478-127167489(-)::chr10:127167477-127167489(-) ATGAGGAAGCCA >mm10_chr10:127168118-127168129(+)::chr10:127168117-127168129(+) TCCAGGAAGTGA >mm10_chr10:127171298-127171309(-)::chr10:127171297-127171309(-) AGAAGGAAGTGG >mm10_chr10:127171360-127171371(-)::chr10:127171359-127171371(-) GAGAGGAAGTTC >mm10_chr10:127186111-127186122(-)::chr10:127186110-127186122(-) ATGAGGAAGAGC >mm10_chr10:127194650-127194661(+)::chr10:127194649-127194661(+) GACAGGAAGGAG >mm10_chr10:127211113-127211124(+)::chr10:127211112-127211124(+) GACAGGAAGGAG >mm10_chr10:127211569-127211580(+)::chr10:127211568-127211580(+) ACCCGGAAGCGG >mm10_chr10:127211750-127211761(-)::chr10:127211749-127211761(-) AACCGGAAGCGA >mm10_chr10:127288424-127288435(-)::chr10:127288423-127288435(-) TGAAGGAAGCAG >mm10_chr10:127288460-127288471(+)::chr10:127288459-127288471(+) CGCACGAAGTAG >mm10_chr10:127288467-127288478(+)::chr10:127288466-127288478(+) AGTAGGAAGGAA >mm10_chr10:127288471-127288482(+)::chr10:127288470-127288482(+) GGAAGGAACTTG >mm10_chr10:127290591-127290602(-)::chr10:127290590-127290602(-) ACCCGGAAGTGG >mm10_chr10:127311784-127311795(+)::chr10:127311783-127311795(+) AACAGGAAATGG >mm10_chr10:127311807-127311818(+)::chr10:127311806-127311818(+) ACCCGGAAGTTG >mm10_chr10:127326218-127326229(+)::chr10:127326217-127326229(+) GCGAGGAAGGAA >mm10_chr10:127326222-127326233(+)::chr10:127326221-127326233(+) GGAAGGAAATGG >mm10_chr10:127326233-127326244(+)::chr10:127326232-127326244(+) GAGAGGAAGGTA >mm10_chr10:127326250-127326261(+)::chr10:127326249-127326261(+) AAGAGGAAGCAG >mm10_chr10:127327957-127327968(-)::chr10:127327956-127327968(-) ACCAGGAAGCGG >mm10_chr10:127327989-127328000(+)::chr10:127327988-127328000(+) GGGAGGAAGTCC >mm10_chr10:127338941-127338952(+)::chr10:127338940-127338952(+) ACACGGAAGGTC >mm10_chr10:127350811-127350822(+)::chr10:127350810-127350822(+) CAGAGGAAGTGG >mm10_chr10:127447800-127447811(-)::chr10:127447799-127447811(-) ATGAGGAAGACA >mm10_chr10:127449762-127449773(-)::chr10:127449761-127449773(-) CCGAGGAAGAGG >mm10_chr10:127481273-127481284(-)::chr10:127481272-127481284(-) GGGAGGAAGAGG >mm10_chr10:127491536-127491547(+)::chr10:127491535-127491547(+) TAGAGGAAGTAG >mm10_chr10:127491787-127491798(+)::chr10:127491786-127491798(+) ACCAGGATGTAC >mm10_chr10:127491816-127491827(-)::chr10:127491815-127491827(-) TAAAGGAAGAGC >mm10_chr10:127508457-127508468(-)::chr10:127508456-127508468(-) TCCAGGAAGTCG >mm10_chr10:127511237-127511248(-)::chr10:127511236-127511248(-) AGAAGGAAGTCC >mm10_chr10:127567066-127567077(-)::chr10:127567065-127567077(-) GGCAGGAAATCA >mm10_chr10:127567083-127567094(-)::chr10:127567082-127567094(-) TGGAGGAAGAGG >mm10_chr10:127597499-127597510(+)::chr10:127597498-127597510(+) AAGAGGAAGAAG >mm10_chr10:127603894-127603905(-)::chr10:127603893-127603905(-) AGCAGGAAGTAA >mm10_chr10:127604972-127604983(+)::chr10:127604971-127604983(+) GACAGGAAGTAG >mm10_chr10:127605014-127605025(-)::chr10:127605013-127605025(-) GAAAGGACGTCC >mm10_chr10:127618446-127618457(+)::chr10:127618445-127618457(+) AGGAGGAAGTGA >mm10_chr10:127642465-127642476(-)::chr10:127642464-127642476(-) AAAAGGAATTCA >mm10_chr10:127642531-127642542(-)::chr10:127642530-127642542(-) GGGAGGAAGTGG >mm10_chr10:127642906-127642917(-)::chr10:127642905-127642917(-) GGAAGAAAGTGG >mm10_chr10:127642910-127642921(-)::chr10:127642909-127642921(-) AAGAGGAAGAAA >mm10_chr10:127642916-127642927(-)::chr10:127642915-127642927(-) AGGAGGAAGAGG >mm10_chr10:127650292-127650303(+)::chr10:127650291-127650303(+) aacaggaagcaa >mm10_chr10:127650345-127650356(-)::chr10:127650344-127650356(-) aaaaggaaatag >mm10_chr10:127676866-127676877(+)::chr10:127676865-127676877(+) GGACGGAAGCAG >mm10_chr10:127676896-127676907(-)::chr10:127676895-127676907(-) GACAGGAAGAGC >mm10_chr10:127707988-127707999(-)::chr10:127707987-127707999(-) GGAAGGAAGGTA >mm10_chr10:127707992-127708003(-)::chr10:127707991-127708003(-) AGGAGGAAGGAA >mm10_chr10:127738799-127738810(+)::chr10:127738798-127738810(+) acaaggaagtag >mm10_chr10:127755443-127755454(-)::chr10:127755442-127755454(-) AGGAGGAAGTTG >mm10_chr10:127757137-127757148(+)::chr10:127757136-127757148(+) GATAGGAAGTCG >mm10_chr10:127815595-127815606(+)::chr10:127815594-127815606(+) AGCAGGAAGTAC >mm10_chr10:127825562-127825573(-)::chr10:127825561-127825573(-) AACAGGAAGGAG >mm10_chr10:127878544-127878555(-)::chr10:127878543-127878555(-) ATAAGGAAGAGT >mm10_chr10:127878601-127878612(-)::chr10:127878600-127878612(-) TGAAGGAAGTGC >mm10_chr10:127879430-127879441(-)::chr10:127879429-127879441(-) aggaggaagtag >mm10_chr10:127879460-127879471(-)::chr10:127879459-127879471(-) aacaggaagagg >mm10_chr10:127890661-127890672(-)::chr10:127890660-127890672(-) AGAAGGAAACAA >mm10_chr10:127890668-127890679(-)::chr10:127890667-127890679(-) ACAAGGAAGAAG >mm10_chr10:127890676-127890687(-)::chr10:127890675-127890687(-) AGAAGGAAACAA >mm10_chr10:127937383-127937394(+)::chr10:127937382-127937394(+) TGGAGGAAATGG >mm10_chr10:127937436-127937447(-)::chr10:127937435-127937447(-) GCAAGGAATTAG >mm10_chr10:127997221-127997232(+)::chr10:127997220-127997232(+) aggaggaagggg >mm10_chr10:127997287-127997298(+)::chr10:127997286-127997298(+) aagaggaagaaa >mm10_chr10:127997302-127997313(+)::chr10:127997301-127997313(+) aggaggaagaga >mm10_chr10:127997327-127997338(+)::chr10:127997326-127997338(+) gaaaggaagagg >mm10_chr10:127997342-127997353(+)::chr10:127997341-127997353(+) gggaggaagaag >mm10_chr10:127997349-127997360(+)::chr10:127997348-127997360(+) agaaggaagagg >mm10_chr10:127997355-127997366(+)::chr10:127997354-127997366(+) aagaggaagagg >mm10_chr10:127997370-127997381(+)::chr10:127997369-127997381(+) aagaggaagaaa >mm10_chr10:127997379-127997390(+)::chr10:127997378-127997390(+) aaaaggaagagg >mm10_chr10:127997385-127997396(+)::chr10:127997384-127997396(+) aagaggaagaga >mm10_chr10:128009034-128009045(-)::chr10:128009033-128009045(-) AGAAGGCAGTAA >mm10_chr10:128031981-128031992(+)::chr10:128031980-128031992(+) aaGAGGAAGAAA >mm10_chr10:128032003-128032014(+)::chr10:128032002-128032014(+) AACAGGAAGCTG >mm10_chr10:128033515-128033526(-)::chr10:128033514-128033526(-) GAAAGGAAGAAG >mm10_chr10:128035538-128035549(+)::chr10:128035537-128035549(+) TACAGGAAGTAG >mm10_chr10:128035602-128035613(-)::chr10:128035601-128035613(-) AGAAGGAAGCCG >mm10_chr10:128069517-128069528(+)::chr10:128069516-128069528(+) ggcaggaagaga >mm10_chr10:128092123-128092134(-)::chr10:128092122-128092134(-) GGGAGGAAGAAA >mm10_chr10:128092174-128092185(-)::chr10:128092173-128092185(-) AACAGGAAGCAG >mm10_chr10:128092626-128092637(-)::chr10:128092625-128092637(-) ACCAGGAAGGAT >mm10_chr10:128143981-128143992(+)::chr10:128143980-128143992(+) AGCAGGAAGGAA >mm10_chr10:128186232-128186243(-)::chr10:128186231-128186243(-) CTAAGGAAGTTT >mm10_chr10:128247149-128247160(+)::chr10:128247148-128247160(+) CCAGGGAAGTGT >mm10_chr10:128247165-128247176(+)::chr10:128247164-128247176(+) TGAAGGAAATGC >mm10_chr10:128287278-128287289(-)::chr10:128287277-128287289(-) ataaggaactag >mm10_chr10:128298468-128298479(-)::chr10:128298467-128298479(-) GTGAGGAAATCG >mm10_chr10:128298476-128298487(-)::chr10:128298475-128298487(-) AAGAGGAAGTGA >mm10_chr10:128303620-128303631(-)::chr10:128303619-128303631(-) AGGGGGAAGTAA >mm10_chr10:128303853-128303864(+)::chr10:128303852-128303864(+) AAAGGGAAGTCA >mm10_chr10:128323769-128323780(+)::chr10:128323768-128323780(+) AGCAGGAAGTCA >mm10_chr10:128337523-128337534(+)::chr10:128337522-128337534(+) AGAAGGAAGTTA >mm10_chr10:128344985-128344996(+)::chr10:128344984-128344996(+) GGAAGGAACTAA >mm10_chr10:128352836-128352847(-)::chr10:128352835-128352847(-) GCAAGGAAGGGG >mm10_chr10:128359462-128359473(+)::chr10:128359461-128359473(+) AGAAGGAAGTTG >mm10_chr10:128369027-128369038(+)::chr10:128369026-128369038(+) GCGAGGAAGGAA >mm10_chr10:128369031-128369042(+)::chr10:128369030-128369042(+) GGAAGGAAGGGA >mm10_chr10:128386499-128386510(-)::chr10:128386498-128386510(-) AAAAGGAAGAGG >mm10_chr10:128387990-128388001(-)::chr10:128387989-128388001(-) ggaaggaagaaa >mm10_chr10:128387994-128388005(-)::chr10:128387993-128388005(-) agaaggaaggaa >mm10_chr10:128388023-128388034(-)::chr10:128388022-128388034(-) ggaaggaagaaa >mm10_chr10:128388027-128388038(-)::chr10:128388026-128388038(-) Tgaaggaaggaa >mm10_chr10:128413265-128413276(+)::chr10:128413264-128413276(+) CAAAGGAAGGAA >mm10_chr10:128413269-128413280(+)::chr10:128413268-128413280(+) GGAAGGAAGCAT >mm10_chr10:128413624-128413635(-)::chr10:128413623-128413635(-) ACCAGGAAGCAA >mm10_chr10:128413673-128413684(-)::chr10:128413672-128413684(-) AGGAGGAAGAAA >mm10_chr10:128429127-128429138(-)::chr10:128429126-128429138(-) TAGAGGAAATGA >mm10_chr10:128436729-128436740(-)::chr10:128436728-128436740(-) TGAGGGAAGTGA >mm10_chr10:128445591-128445602(-)::chr10:128445590-128445602(-) agaaggaagtcc >mm10_chr10:128445627-128445638(+)::chr10:128445626-128445638(+) aacaggaaatgt >mm10_chr10:128460647-128460658(+)::chr10:128460646-128460658(+) TAGAGGAAATAA >mm10_chr10:128460681-128460692(-)::chr10:128460680-128460692(-) GCCAGGAAGCTA >mm10_chr10:128484333-128484344(+)::chr10:128484332-128484344(+) AGGAGGAAGTGC >mm10_chr10:128484345-128484356(+)::chr10:128484344-128484356(+) TAAAGGAAGTGG >mm10_chr10:128510371-128510382(+)::chr10:128510370-128510382(+) AGAAGGAAGAGG >mm10_chr10:128510393-128510404(+)::chr10:128510392-128510404(+) ATCAGGAAATAG >mm10_chr10:128536515-128536526(-)::chr10:128536514-128536526(-) ACCAGGAAGTGT >mm10_chr10:128547433-128547444(+)::chr10:128547432-128547444(+) CTGAGGAAGTGA >mm10_chr10:128547773-128547784(-)::chr10:128547772-128547784(-) CGCAGGAAGCGG >mm10_chr10:128549087-128549098(+)::chr10:128549086-128549098(+) CCAAGGAAGATG >mm10_chr10:128549215-128549226(-)::chr10:128549214-128549226(-) AGCCGGAAGTCG >mm10_chr10:128566598-128566609(-)::chr10:128566597-128566609(-) AGTAGGAAGTCT >mm10_chr10:128604184-128604195(-)::chr10:128604183-128604195(-) aagaggaagagg >mm10_chr10:128604190-128604201(-)::chr10:128604189-128604201(-) aagaggaagagg >mm10_chr10:128604196-128604207(-)::chr10:128604195-128604207(-) aggaggaagagg >mm10_chr10:128604222-128604233(-)::chr10:128604221-128604233(-) aggaggaagggg >mm10_chr10:128626748-128626759(+)::chr10:128626747-128626759(+) ACCAGGAAGTGA >mm10_chr10:128626798-128626809(+)::chr10:128626797-128626809(+) GAGCGGAAGTTA >mm10_chr10:128628182-128628193(+)::chr10:128628181-128628193(+) tcaaggaaatgg >mm10_chr10:128628212-128628223(+)::chr10:128628211-128628223(+) gggaggaagatc >mm10_chr10:128641801-128641812(+)::chr10:128641800-128641812(+) aggaggaaggag >mm10_chr10:128641808-128641819(+)::chr10:128641807-128641819(+) aggaggaaggaa >mm10_chr10:128641812-128641823(+)::chr10:128641811-128641823(+) ggaaggaaggaa >mm10_chr10:128641816-128641827(+)::chr10:128641815-128641827(+) ggaaggaagaaa >mm10_chr10:128645853-128645864(+)::chr10:128645852-128645864(+) TCCAGGAAGCGT >mm10_chr10:128653712-128653723(+)::chr10:128653711-128653723(+) AGAGGGAAGTTT >mm10_chr10:128657536-128657547(+)::chr10:128657535-128657547(+) AGAAGGAAGTAC >mm10_chr10:128705243-128705254(-)::chr10:128705242-128705254(-) GTAAGGAAATCC >mm10_chr10:128747609-128747620(-)::chr10:128747608-128747620(-) GTCAGGAAGGTG >mm10_chr10:128779595-128779606(-)::chr10:128779594-128779606(-) CCAAGGAAGTGG >mm10_chr10:128805554-128805565(+)::chr10:128805553-128805565(+) GGACGGAAGGCG >mm10_chr10:128805561-128805572(+)::chr10:128805560-128805572(+) AGGCGGAAGCGG >mm10_chr10:128809684-128809695(+)::chr10:128809683-128809695(+) ACCAGGAAGAAA >mm10_chr10:128907596-128907607(-)::chr10:128907595-128907607(-) agaaggaagagg >mm10_chr10:128907620-128907631(-)::chr10:128907619-128907631(-) aaaaggaagaag >mm10_chr10:128907635-128907646(-)::chr10:128907634-128907646(-) AAGAGGAAGAGA >mm10_chr10:128907641-128907652(-)::chr10:128907640-128907652(-) AGGAGGAAGAGG >mm10_chr10:128913252-128913263(-)::chr10:128913251-128913263(-) AGAAGGAAATAA >mm10_chr10:128923509-128923520(+)::chr10:128923508-128923520(+) ACCCGGAAGGCG >mm10_chr10:128936958-128936969(-)::chr10:128936957-128936969(-) AAGAGGAAGAAA >mm10_chr10:128973032-128973043(+)::chr10:128973031-128973043(+) tggaggaagtga >mm10_chr10:128973100-128973111(-)::chr10:128973099-128973111(-) agcaggaagagc >mm10_chr10:128981232-128981243(+)::chr10:128981231-128981243(+) ATCAGGAAATCA >mm10_chr10:128981272-128981283(+)::chr10:128981271-128981283(+) tccaggaagaga >mm10_chr10:129098350-129098361(-)::chr10:129098349-129098361(-) GGAAGGAAGGAG >mm10_chr10:130053844-130053855(-)::chr10:130053843-130053855(-) TAAAGGAAGGAA >mm10_chr10:130541941-130541952(+)::chr10:130541940-130541952(+) AGGAGGAAGAAG >mm10_chr11:3125631-3125642(-)::chr11:3125630-3125642(-) GTAAGGAAGGAG >mm10_chr11:3133557-3133568(-)::chr11:3133556-3133568(-) GGAAGGAGGTAG >mm10_chr11:3133561-3133572(-)::chr11:3133560-3133572(-) GACAGGAAGGAG >mm10_chr11:3137352-3137363(-)::chr11:3137351-3137363(-) AGCAGGAAGCTC >mm10_chr11:3137380-3137391(+)::chr11:3137379-3137391(+) AGCAGGAAATGA >mm10_chr11:3153921-3153932(-)::chr11:3153920-3153932(-) TCCAGGAAGTTT >mm10_chr11:3153959-3153970(-)::chr11:3153958-3153970(-) ATATGGAAGTGA >mm10_chr11:3155582-3155593(+)::chr11:3155581-3155593(+) CAAAGGAAGCAT >mm10_chr11:3157939-3157950(+)::chr11:3157938-3157950(+) GACAGGAAGTTA >mm10_chr11:3173602-3173613(-)::chr11:3173601-3173613(-) tggaggaagtct >mm10_chr11:3177449-3177460(-)::chr11:3177448-3177460(-) ATGAGGAAGAGG >mm10_chr11:3266566-3266577(-)::chr11:3266565-3266577(-) TCCGGGAAGTTG >mm10_chr11:3291068-3291079(+)::chr11:3291067-3291079(+) GCCAGGAAGTAA >mm10_chr11:3334421-3334432(+)::chr11:3334420-3334432(+) TAAGGGAAGTGG >mm10_chr11:3366304-3366315(-)::chr11:3366303-3366315(-) aagaggaaatag >mm10_chr11:3408139-3408150(+)::chr11:3408138-3408150(+) GTGAGGAAGTGA >mm10_chr11:3408182-3408193(+)::chr11:3408181-3408193(+) TGGAGGAAGTGA >mm10_chr11:3408211-3408222(+)::chr11:3408210-3408222(+) GCCAGGAAGTGG >mm10_chr11:3426296-3426307(+)::chr11:3426295-3426307(+) TGCAGGAAGTGC >mm10_chr11:3427890-3427901(-)::chr11:3427889-3427901(-) AGAAGGAACTAG >mm10_chr11:3450167-3450178(+)::chr11:3450166-3450178(+) TGGAGGAAGTGT >mm10_chr11:3452451-3452462(+)::chr11:3452450-3452462(+) GACAGGAAGGGG >mm10_chr11:3496921-3496932(+)::chr11:3496920-3496932(+) TTAAGGAAATGC >mm10_chr11:3514563-3514574(-)::chr11:3514562-3514574(-) ATAAGGAAGCAG >mm10_chr11:3540960-3540971(+)::chr11:3540959-3540971(+) AGAAGGAAGTTG >mm10_chr11:3560403-3560414(+)::chr11:3560402-3560414(+) AACGGGAAGTTT >mm10_chr11:3585947-3585958(+)::chr11:3585946-3585958(+) gcaaggaacacg >mm10_chr11:3616756-3616767(-)::chr11:3616755-3616767(-) ttaaggaaatgc >mm10_chr11:3616809-3616820(+)::chr11:3616808-3616820(+) acaaggaagtaa >mm10_chr11:3649070-3649081(+)::chr11:3649069-3649081(+) AGCAGGAAGAGA >mm10_chr11:3671454-3671465(+)::chr11:3671453-3671465(+) AAGAGGAAGGAA >mm10_chr11:3671458-3671469(+)::chr11:3671457-3671469(+) GGAAGGAAGCCA >mm10_chr11:3676567-3676578(+)::chr11:3676566-3676578(+) GAGAGGAAGTCC >mm10_chr11:3676622-3676633(-)::chr11:3676621-3676633(-) AGCAGGAACTGA >mm10_chr11:3717967-3717978(+)::chr11:3717966-3717978(+) TCCAGGAAGTCC >mm10_chr11:3801139-3801150(+)::chr11:3801138-3801150(+) aggaggaagagg >mm10_chr11:3801155-3801166(+)::chr11:3801154-3801166(+) agaaggaagaag >mm10_chr11:3801162-3801173(+)::chr11:3801161-3801173(+) agaaggaaggaa >mm10_chr11:3801166-3801177(+)::chr11:3801165-3801177(+) ggaaggaagaTC >mm10_chr11:3900626-3900637(-)::chr11:3900625-3900637(-) AGTAGGAAGAAT >mm10_chr11:3900655-3900666(+)::chr11:3900654-3900666(+) AACAGGAAGCTG >mm10_chr11:3930243-3930254(+)::chr11:3930242-3930254(+) AGGAGGAAGGAA >mm10_chr11:3930247-3930258(+)::chr11:3930246-3930258(+) GGAAGGAAGGAG >mm10_chr11:3931648-3931659(+)::chr11:3931647-3931659(+) GGAAGGAAGGAA >mm10_chr11:3931652-3931663(+)::chr11:3931651-3931663(+) GGAAGGAAGGGC >mm10_chr11:3963984-3963995(+)::chr11:3963983-3963995(+) GGGAGGAAGTAG >mm10_chr11:4116627-4116638(-)::chr11:4116626-4116638(-) AGAAGGATGTCC >mm10_chr11:4121962-4121973(+)::chr11:4121961-4121973(+) GACAGGAAGGAC >mm10_chr11:4194333-4194344(-)::chr11:4194332-4194344(-) atgaggaagaag >mm10_chr11:4194364-4194375(-)::chr11:4194363-4194375(-) acaaggacggcg >mm10_chr11:4194807-4194818(+)::chr11:4194806-4194818(+) ACAAGGAAGAGG >mm10_chr11:4195043-4195054(-)::chr11:4195042-4195054(-) AAAAGGAAGGAA >mm10_chr11:4197694-4197705(+)::chr11:4197693-4197705(+) TTAAGGAAATGA >mm10_chr11:4202622-4202633(-)::chr11:4202621-4202633(-) ACAAGGAAGTGT >mm10_chr11:4202641-4202652(-)::chr11:4202640-4202652(-) TGAAGGAAGTCT >mm10_chr11:4234908-4234919(-)::chr11:4234907-4234919(-) GAAAGGAAGAGC >mm10_chr11:4234916-4234927(-)::chr11:4234915-4234927(-) GAGAGGAAGAAA >mm10_chr11:4240364-4240375(-)::chr11:4240363-4240375(-) AGGAGGAAGTCT >mm10_chr11:4252223-4252234(-)::chr11:4252222-4252234(-) TCCGGGAAGTGC >mm10_chr11:4260570-4260581(-)::chr11:4260569-4260581(-) CACAGGAAGTTG >mm10_chr11:4260595-4260606(-)::chr11:4260594-4260606(-) AACAGGAAGCAA >mm10_chr11:4261320-4261331(-)::chr11:4261319-4261331(-) AGGAGGAAGTGG >mm10_chr11:4300813-4300824(+)::chr11:4300812-4300824(+) AGAAGGAAGAAG >mm10_chr11:4308407-4308418(-)::chr11:4308406-4308418(-) TTAAGGAAGCTC >mm10_chr11:4321969-4321980(+)::chr11:4321968-4321980(+) aagaggaagcaa >mm10_chr11:4329707-4329718(-)::chr11:4329706-4329718(-) GCGAGGAAGAAG >mm10_chr11:4334121-4334132(-)::chr11:4334120-4334132(-) AAAAGGAAGAAG >mm10_chr11:4353377-4353388(+)::chr11:4353376-4353388(+) ACAAGGAAAGTA >mm10_chr11:4391088-4391099(+)::chr11:4391087-4391099(+) TCCAGGAAGTTG >mm10_chr11:4423987-4423998(-)::chr11:4423986-4423998(-) TTAAGGAAGACA >mm10_chr11:4424069-4424080(+)::chr11:4424068-4424080(+) AAAAGGAAAACG >mm10_chr11:4452937-4452948(-)::chr11:4452936-4452948(-) AAGAGGAAGAGG >mm10_chr11:4460809-4460820(+)::chr11:4460808-4460820(+) aggaggaagtgg >mm10_chr11:4476525-4476536(-)::chr11:4476524-4476536(-) GTAAGGAAGTAG >mm10_chr11:4497639-4497650(+)::chr11:4497638-4497650(+) GGCAGGAAGCTA >mm10_chr11:4502357-4502368(-)::chr11:4502356-4502368(-) AGGGGGAAGTAC >mm10_chr11:4503930-4503941(+)::chr11:4503929-4503941(+) ACAAGGAAGCAT >mm10_chr11:4542731-4542742(+)::chr11:4542730-4542742(+) gagaggaagagg >mm10_chr11:4544447-4544458(+)::chr11:4544446-4544458(+) ATAAGGAAGTTG >mm10_chr11:4544485-4544496(-)::chr11:4544484-4544496(-) TAGAGGAAGTCA >mm10_chr11:4544500-4544511(+)::chr11:4544499-4544511(+) AGAGGGAAGGTA >mm10_chr11:4622776-4622787(-)::chr11:4622775-4622787(-) agtaggaagggt >mm10_chr11:4674686-4674697(+)::chr11:4674685-4674697(+) GGGAGGAAGTCA >mm10_chr11:4732038-4732049(-)::chr11:4732037-4732049(-) ACAAGGAAGGGT >mm10_chr11:4736299-4736310(+)::chr11:4736298-4736310(+) GCCAGGAAGTTC >mm10_chr11:4736325-4736336(+)::chr11:4736324-4736336(+) AGAAGGAAGCAA >mm10_chr11:4763855-4763866(+)::chr11:4763854-4763866(+) gctaggaagtgc >mm10_chr11:4765037-4765048(+)::chr11:4765036-4765048(+) AGGAGGAAGTGC >mm10_chr11:4765104-4765115(+)::chr11:4765103-4765115(+) ACAAGGAAGTAT >mm10_chr11:4799557-4799568(+)::chr11:4799556-4799568(+) ATCAGGAAATGT >mm10_chr11:4799572-4799583(-)::chr11:4799571-4799583(-) AGAAGGAAAAGA >mm10_chr11:4800829-4800840(+)::chr11:4800828-4800840(+) ACAAGGAAGCTA >mm10_chr11:4800864-4800875(+)::chr11:4800863-4800875(+) ACAAGGAAATTG >mm10_chr11:4827948-4827959(+)::chr11:4827947-4827959(+) acacggaagaca >mm10_chr11:4827969-4827980(+)::chr11:4827968-4827980(+) ataaggaaaaac >mm10_chr11:4874213-4874224(+)::chr11:4874212-4874224(+) ACCAGGatgtag >mm10_chr11:4895289-4895300(-)::chr11:4895288-4895300(-) GGCCGGAAGTGA >mm10_chr11:4895335-4895346(+)::chr11:4895334-4895346(+) AGCCGGAAGTGG >mm10_chr11:4939752-4939763(-)::chr11:4939751-4939763(-) AGAAGGAAGAGG >mm10_chr11:4986908-4986919(-)::chr11:4986907-4986919(-) GAGCGGAAGTAA >mm10_chr11:4988180-4988191(-)::chr11:4988179-4988191(-) ATAAGGAAGGAG >mm10_chr11:4988230-4988241(-)::chr11:4988229-4988241(-) AGTAGGAAGATA >mm10_chr11:4988237-4988248(-)::chr11:4988236-4988248(-) AAGAGGAAGTAG >mm10_chr11:4988253-4988264(+)::chr11:4988252-4988264(+) GCAAGGAACTGA >mm10_chr11:5013299-5013310(+)::chr11:5013298-5013310(+) AACAGGAAATGT >mm10_chr11:5054325-5054336(-)::chr11:5054324-5054336(-) agaaggaagctg >mm10_chr11:5054338-5054349(-)::chr11:5054337-5054349(-) GAAAGGAAGacc >mm10_chr11:5062775-5062786(+)::chr11:5062774-5062786(+) AGGAGGAAGTAA >mm10_chr11:5065828-5065839(+)::chr11:5065827-5065839(+) TCAAGGAAGGAG >mm10_chr11:5070588-5070599(+)::chr11:5070587-5070599(+) ACCAGGAAGCTG >mm10_chr11:5149437-5149448(-)::chr11:5149436-5149448(-) TGCGGGAAGTGG >mm10_chr11:5165950-5165961(-)::chr11:5165949-5165961(-) acaaggaagcaa >mm10_chr11:5177281-5177292(+)::chr11:5177280-5177292(+) ggcaggaagcag >mm10_chr11:5177327-5177338(+)::chr11:5177326-5177338(+) ggcaggaaggaa >mm10_chr11:5215584-5215595(+)::chr11:5215583-5215595(+) AGAAGGAAATGC >mm10_chr11:5244900-5244911(-)::chr11:5244899-5244911(-) TACCGGAAGTTA >mm10_chr11:5244912-5244923(+)::chr11:5244911-5244923(+) ATAAGGACGTGG >mm10_chr11:5297818-5297829(+)::chr11:5297817-5297829(+) AAACGGAAATGC >mm10_chr11:5297828-5297839(-)::chr11:5297827-5297839(-) CTAAGGAAATGC >mm10_chr11:5333635-5333646(+)::chr11:5333634-5333646(+) TTAGGGAAGTTG >mm10_chr11:5336581-5336592(+)::chr11:5336580-5336592(+) AACAGGAAGGAG >mm10_chr11:5336588-5336599(+)::chr11:5336587-5336599(+) AGGAGGAAGAAA >mm10_chr11:5336599-5336610(+)::chr11:5336598-5336610(+) AGGAGGAAGATG >mm10_chr11:5347149-5347160(-)::chr11:5347148-5347160(-) AGTAGGAAGTAT >mm10_chr11:5356331-5356342(-)::chr11:5356330-5356342(-) AACAGGAAGAAA >mm10_chr11:5356367-5356378(+)::chr11:5356366-5356378(+) ATAAGGAACTGA >mm10_chr11:5377235-5377246(+)::chr11:5377234-5377246(+) AGGAGGAAGACA >mm10_chr11:5377442-5377453(-)::chr11:5377441-5377453(-) ACAAGGAAGCAA >mm10_chr11:5451322-5451333(+)::chr11:5451321-5451333(+) agaaggaaggag >mm10_chr11:5451329-5451340(+)::chr11:5451328-5451340(+) aggaggaagagg >mm10_chr11:5451354-5451365(+)::chr11:5451353-5451365(+) gaaaggaaggaa >mm10_chr11:5451358-5451369(+)::chr11:5451357-5451369(+) ggaaggaaggag >mm10_chr11:5451365-5451376(+)::chr11:5451364-5451376(+) aggaggaaggga >mm10_chr11:5462740-5462751(-)::chr11:5462739-5462751(-) AACAGGAAGTGG >mm10_chr11:5474492-5474503(+)::chr11:5474491-5474503(+) GTAGGGAAGTTC >mm10_chr11:5485858-5485869(+)::chr11:5485857-5485869(+) AAGAGGAAATGA >mm10_chr11:5491244-5491255(+)::chr11:5491243-5491255(+) CCCAGGAAGTCC >mm10_chr11:5492193-5492204(+)::chr11:5492192-5492204(+) AACAGGAAGGAG >mm10_chr11:5500125-5500136(-)::chr11:5500124-5500136(-) acaaggaaggta >mm10_chr11:5500450-5500461(-)::chr11:5500449-5500461(-) AGAAGGAAGTAG >mm10_chr11:5506051-5506062(-)::chr11:5506050-5506062(-) GGCAGGAAGTCC >mm10_chr11:5518212-5518223(+)::chr11:5518211-5518223(+) GACAGGAAGCAG >mm10_chr11:5518219-5518230(+)::chr11:5518218-5518230(+) AGCAGGAAGCAG >mm10_chr11:5539513-5539524(+)::chr11:5539512-5539524(+) ttgaggaagata >mm10_chr11:5543495-5543506(+)::chr11:5543494-5543506(+) ttaAGGAAGTGA >mm10_chr11:5545727-5545738(+)::chr11:5545726-5545738(+) accaggaagaac >mm10_chr11:5691246-5691257(-)::chr11:5691245-5691257(-) AGGAGGAAATGG >mm10_chr11:5705076-5705087(+)::chr11:5705075-5705087(+) CCCAGGAAGTTC >mm10_chr11:5707701-5707712(+)::chr11:5707700-5707712(+) CGCAGGAAGGCG >mm10_chr11:5707722-5707733(+)::chr11:5707721-5707733(+) AGCAGGAACTAA >mm10_chr11:5712838-5712849(-)::chr11:5712837-5712849(-) TCCCGGAAGTAG >mm10_chr11:5712843-5712854(+)::chr11:5712842-5712854(+) TCCGGGAAGTCA >mm10_chr11:5712887-5712898(-)::chr11:5712886-5712898(-) TCCCGGAAGTAG >mm10_chr11:5712892-5712903(+)::chr11:5712891-5712903(+) TCCGGGAAGTCA >mm10_chr11:5720997-5721008(+)::chr11:5720996-5721008(+) aaaaggaaggtt >mm10_chr11:5752712-5752723(+)::chr11:5752711-5752723(+) acagggaagtaa >mm10_chr11:5757910-5757921(+)::chr11:5757909-5757921(+) ataaggaagtgc >mm10_chr11:5788419-5788430(-)::chr11:5788418-5788430(-) AAGCGGAAGTGG >mm10_chr11:5795548-5795559(+)::chr11:5795547-5795559(+) ATAAGGAAAGCA >mm10_chr11:5801321-5801332(+)::chr11:5801320-5801332(+) TGAAGGAAGTTG >mm10_chr11:5802664-5802675(+)::chr11:5802663-5802675(+) AAGAGGAAATGC >mm10_chr11:5837828-5837839(+)::chr11:5837827-5837839(+) GAGAGGAAGTGG >mm10_chr11:5838057-5838068(-)::chr11:5838056-5838068(-) GCGAGGAAGAGA >mm10_chr11:5846718-5846729(+)::chr11:5846717-5846729(+) AGAAGGAAGTGG >mm10_chr11:5862268-5862279(-)::chr11:5862267-5862279(-) GAAAGGAAGCCT >mm10_chr11:5955762-5955773(+)::chr11:5955761-5955773(+) AACCGGAAGTGG >mm10_chr11:5955784-5955795(+)::chr11:5955783-5955795(+) AGGAGGAAGAAG >mm10_chr11:5961552-5961563(-)::chr11:5961551-5961563(-) AACAGGAAGAGA >mm10_chr11:5991083-5991094(+)::chr11:5991082-5991094(+) ATCAGGAAGTTC >mm10_chr11:5994628-5994639(-)::chr11:5994627-5994639(-) ACCAGGAAGGAT >mm10_chr11:6005604-6005615(+)::chr11:6005603-6005615(+) atgaggaagagc >mm10_chr11:6005624-6005635(+)::chr11:6005623-6005635(+) aagaggaaatgg >mm10_chr11:6169429-6169440(+)::chr11:6169428-6169440(+) ATGAGGAAGAAG >mm10_chr11:6174863-6174874(+)::chr11:6174862-6174874(+) AGGAGGAAGTAA >mm10_chr11:6174872-6174883(+)::chr11:6174871-6174883(+) TAAAGGAAGAGG >mm10_chr11:6174879-6174890(+)::chr11:6174878-6174890(+) AGAGGGAAGTCT >mm10_chr11:6200193-6200204(-)::chr11:6200192-6200204(-) CGCCGGAAGTGG >mm10_chr11:6267794-6267805(-)::chr11:6267793-6267805(-) TCCAGGAAGTGA >mm10_chr11:6292263-6292274(-)::chr11:6292262-6292274(-) GCGAGGAAAGCG >mm10_chr11:6366073-6366084(+)::chr11:6366072-6366084(+) GACAGGAAATAG >mm10_chr11:6376525-6376536(-)::chr11:6376524-6376536(-) GGGAGGAAGTCA >mm10_chr11:6388205-6388216(-)::chr11:6388204-6388216(-) AAACGGAAGGGA >mm10_chr11:6390709-6390720(+)::chr11:6390708-6390720(+) GGTAGGAAGTAC >mm10_chr11:6415135-6415146(+)::chr11:6415134-6415146(+) ATGAGGAAATGA >mm10_chr11:6415355-6415366(+)::chr11:6415354-6415366(+) ATCAGGAAGCGG >mm10_chr11:6546772-6546783(+)::chr11:6546771-6546783(+) AGGCGGAAGTGC >mm10_chr11:6586881-6586892(-)::chr11:6586880-6586892(-) accaggaagtga >mm10_chr11:6638688-6638699(+)::chr11:6638687-6638699(+) AGGAGGAAGACT >mm10_chr11:6638749-6638760(-)::chr11:6638748-6638760(-) AGAAGGAAGTGT >mm10_chr11:6639247-6639258(+)::chr11:6639246-6639258(+) GGCAGGAAGAGA >mm10_chr11:6639294-6639305(-)::chr11:6639293-6639305(-) TCAAGGAAATGT >mm10_chr11:6639314-6639325(+)::chr11:6639313-6639325(+) GCAAGGAAGGGG >mm10_chr11:6647163-6647174(-)::chr11:6647162-6647174(-) ACCAGgaagcaa >mm10_chr11:6698898-6698909(-)::chr11:6698897-6698909(-) aagaggaagaag >mm10_chr11:6698928-6698939(-)::chr11:6698927-6698939(-) aggaggaagagg >mm10_chr11:6698935-6698946(-)::chr11:6698934-6698946(-) gagaggaaggag >mm10_chr11:6698950-6698961(-)::chr11:6698949-6698961(-) aggaggaaggag >mm10_chr11:6698957-6698968(-)::chr11:6698956-6698968(-) aagaggaaggag >mm10_chr11:6698979-6698990(-)::chr11:6698978-6698990(-) agaaggaagagg >mm10_chr11:6760587-6760598(-)::chr11:6760586-6760598(-) aaaaggaagttc >mm10_chr11:6773479-6773490(+)::chr11:6773478-6773490(+) TACAGGAAGTGG >mm10_chr11:6838791-6838802(-)::chr11:6838790-6838802(-) CTAAGGAAGACA >mm10_chr11:6868030-6868041(-)::chr11:6868029-6868041(-) ACAAGGAGGTaa >mm10_chr11:6900869-6900880(-)::chr11:6900868-6900880(-) TCAGGGAAGTCA >mm10_chr11:6937589-6937600(-)::chr11:6937588-6937600(-) atgaggaagtag >mm10_chr11:6993247-6993258(+)::chr11:6993246-6993258(+) CTAGGGAAGTGG >mm10_chr11:7116163-7116174(-)::chr11:7116162-7116174(-) TGGAGGAAGGGG >mm10_chr11:7143002-7143013(-)::chr11:7143001-7143013(-) ACAAGGAAGAAA >mm10_chr11:7160292-7160303(-)::chr11:7160291-7160303(-) ATGAGGAAGTGC >mm10_chr11:7160989-7161000(+)::chr11:7160988-7161000(+) ACCAGGAAATGT >mm10_chr11:7161692-7161703(-)::chr11:7161691-7161703(-) TCCAGGAAGTAC >mm10_chr11:7185630-7185641(+)::chr11:7185629-7185641(+) TGCAGGAAGGAG >mm10_chr11:7746754-7746765(-)::chr11:7746753-7746765(-) CTGAGGAAGTGA >mm10_chr11:7863727-7863738(+)::chr11:7863726-7863738(+) ACAAGGAAGTGT >mm10_chr11:7863743-7863754(+)::chr11:7863742-7863754(+) AAGAGGAAGAAG >mm10_chr11:7884585-7884596(+)::chr11:7884584-7884596(+) AGCAGGAAGAAC >mm10_chr11:8026699-8026710(-)::chr11:8026698-8026710(-) GGAAGGAAATTA >mm10_chr11:8026703-8026714(-)::chr11:8026702-8026714(-) GTAAGGAAGGAA >mm10_chr11:8069024-8069035(+)::chr11:8069023-8069035(+) caaaggaaattg >mm10_chr11:8172923-8172934(-)::chr11:8172922-8172934(-) ataaggaagaca >mm10_chr11:8238800-8238811(-)::chr11:8238799-8238811(-) cacaggaagttg >mm10_chr11:8249645-8249656(+)::chr11:8249644-8249656(+) TGCAGGAAGCAA >mm10_chr11:8249708-8249719(+)::chr11:8249707-8249719(+) TGCAGGAAGCAG >mm10_chr11:8255569-8255580(+)::chr11:8255568-8255580(+) gtaaggaagaag >mm10_chr11:8269432-8269443(+)::chr11:8269431-8269443(+) AAGGGGAAGTAG >mm10_chr11:8381737-8381748(+)::chr11:8381736-8381748(+) GTAAGGAAGTCC >mm10_chr11:8391976-8391987(-)::chr11:8391975-8391987(-) AGGAGGAAGTTC >mm10_chr11:8391991-8392002(-)::chr11:8391990-8392002(-) AGGAGGAAGCTA >mm10_chr11:8443809-8443820(-)::chr11:8443808-8443820(-) TGAAGGAAGTTT >mm10_chr11:8443834-8443845(+)::chr11:8443833-8443845(+) AGCAGGAAATGA >mm10_chr11:8443852-8443863(+)::chr11:8443851-8443863(+) AAAGGGAAGTTC >mm10_chr11:8450679-8450690(+)::chr11:8450678-8450690(+) AGGAGGAAGCTG >mm10_chr11:8455832-8455843(-)::chr11:8455831-8455843(-) tgaaggaagtga >mm10_chr11:8468761-8468772(-)::chr11:8468760-8468772(-) GAAAGGAAGCGA >mm10_chr11:8468785-8468796(-)::chr11:8468784-8468796(-) AGGAGGAAGAAG >mm10_chr11:8468815-8468826(-)::chr11:8468814-8468826(-) GCCGGGAAGTTT >mm10_chr11:8479434-8479445(-)::chr11:8479433-8479445(-) TTAGGGAAGTGG >mm10_chr11:8497297-8497308(-)::chr11:8497296-8497308(-) ATGAGGAAGAGG >mm10_chr11:8497312-8497323(-)::chr11:8497311-8497323(-) GCCAGGAAGGGC >mm10_chr11:8530747-8530758(-)::chr11:8530746-8530758(-) AAGGGGAAGTAA >mm10_chr11:8535032-8535043(-)::chr11:8535031-8535043(-) ATGAGGAAGACT >mm10_chr11:8557169-8557180(+)::chr11:8557168-8557180(+) ACCAGGAAGCTG >mm10_chr11:8558563-8558574(+)::chr11:8558562-8558574(+) ACCAGGAAGGGC >mm10_chr11:8584636-8584647(-)::chr11:8584635-8584647(-) CCGAGGAAATAA >mm10_chr11:8584658-8584669(-)::chr11:8584657-8584669(-) agaaggaagttg >mm10_chr11:8589657-8589668(+)::chr11:8589656-8589668(+) ATAAGGAAGCTC >mm10_chr11:8589705-8589716(-)::chr11:8589704-8589716(-) TTCAGGAAGTGG >mm10_chr11:8600908-8600919(+)::chr11:8600907-8600919(+) aggaggaagagg >mm10_chr11:8600917-8600928(+)::chr11:8600916-8600928(+) aggaggaagggg >mm10_chr11:8600929-8600940(+)::chr11:8600928-8600940(+) aggaggaagaag >mm10_chr11:8600956-8600967(+)::chr11:8600955-8600967(+) agcaggaagggg >mm10_chr11:8617503-8617514(+)::chr11:8617502-8617514(+) ACAAGGAAGGTG >mm10_chr11:8620141-8620152(+)::chr11:8620140-8620152(+) TCAGGGAAGTAA >mm10_chr11:8620153-8620164(+)::chr11:8620152-8620164(+) ACAGGGAAGTCA >mm10_chr11:8628788-8628799(-)::chr11:8628787-8628799(-) AACAGGAAGCCC >mm10_chr11:8629519-8629530(-)::chr11:8629518-8629530(-) ACAAGGAAAGAA >mm10_chr11:8629593-8629604(-)::chr11:8629592-8629604(-) AGCAGGAAGGTG >mm10_chr11:8630017-8630028(+)::chr11:8630016-8630028(+) TTAGGGAAGTAA >mm10_chr11:8630038-8630049(-)::chr11:8630037-8630049(-) TGCAGGAAGAAC >mm10_chr11:8640214-8640225(+)::chr11:8640213-8640225(+) ACAAGGAAGGAT >mm10_chr11:8640225-8640236(-)::chr11:8640224-8640236(-) AGGAGGAAGAAA >mm10_chr11:8657171-8657182(-)::chr11:8657170-8657182(-) GGAAGGAAGCTT >mm10_chr11:8657175-8657186(-)::chr11:8657174-8657186(-) GAAAGGAAGGAA >mm10_chr11:8659902-8659913(+)::chr11:8659901-8659913(+) AAGAGGAAGAGG >mm10_chr11:8659908-8659919(+)::chr11:8659907-8659919(+) AAGAGGAAGAGC >mm10_chr11:8659949-8659960(+)::chr11:8659948-8659960(+) GAAAGGAAGGAA >mm10_chr11:8659953-8659964(+)::chr11:8659952-8659964(+) GGAAGGAAGAGG >mm10_chr11:8663250-8663261(-)::chr11:8663249-8663261(-) ACCAGGAAGGGG >mm10_chr11:8664925-8664936(+)::chr11:8664924-8664936(+) AGGAGGAAGTCC >mm10_chr11:8744682-8744693(+)::chr11:8744681-8744693(+) TTAAGAAAGTCG >mm10_chr11:8847768-8847779(+)::chr11:8847767-8847779(+) AGTAGGAAGTAT >mm10_chr11:8958761-8958772(-)::chr11:8958760-8958772(-) TGAAGGAAGCTT >mm10_chr11:9049159-9049170(+)::chr11:9049158-9049170(+) GCAAGGAAGTGC >mm10_chr11:9060780-9060791(+)::chr11:9060779-9060791(+) ATGAGGAAGAAG >mm10_chr11:9089311-9089322(+)::chr11:9089310-9089322(+) GGAAGGAAGTAG >mm10_chr11:9092246-9092257(-)::chr11:9092245-9092257(-) TGGAGGAAGTAA >mm10_chr11:9152916-9152927(+)::chr11:9152915-9152927(+) ATGAGGAAATTA >mm10_chr11:9623105-9623116(-)::chr11:9623104-9623116(-) gcaaggaagtgc >mm10_chr11:9978450-9978461(+)::chr11:9978449-9978461(+) TGAAGAAAGTGA >mm10_chr11:9978467-9978478(+)::chr11:9978466-9978478(+) AGGAGGAAGGCT >mm10_chr11:10800796-10800807(+)::chr11:10800795-10800807(+) tgaatgaagtga >mm10_chr11:11084973-11084984(-)::chr11:11084972-11084984(-) ACAAGGAAATGA >mm10_chr11:11098611-11098622(+)::chr11:11098610-11098622(+) gtcaggaagtcc >mm10_chr11:11098646-11098657(+)::chr11:11098645-11098657(+) atgaggaagaag >mm10_chr11:11098664-11098675(+)::chr11:11098663-11098675(+) accaggaagatt >mm10_chr11:11109389-11109400(-)::chr11:11109388-11109400(-) AGAAGGAAGTGA >mm10_chr11:11109675-11109686(-)::chr11:11109674-11109686(-) GTAAGGAAGAAG >mm10_chr11:11256246-11256257(-)::chr11:11256245-11256257(-) tagaggaaggca >mm10_chr11:11256269-11256280(-)::chr11:11256268-11256280(-) agtaggaagtac >mm10_chr11:11640957-11640968(+)::chr11:11640956-11640968(+) ggcaggaagtgg >mm10_chr11:11640988-11640999(-)::chr11:11640987-11640999(-) aggaggaaggcc >mm10_chr11:11641009-11641020(-)::chr11:11641008-11641020(-) tgaaggaagtta >mm10_chr11:11673092-11673103(-)::chr11:11673091-11673103(-) agcaggaagagc >mm10_chr11:11686213-11686224(+)::chr11:11686212-11686224(+) TTGAGGAAGTCA >mm10_chr11:11690243-11690254(+)::chr11:11690242-11690254(+) AAGAGGAAGAGG >mm10_chr11:11690249-11690260(+)::chr11:11690248-11690260(+) AAGAGGAAGAGG >mm10_chr11:11696121-11696132(-)::chr11:11696120-11696132(-) GGCAGGAAGCAC >mm10_chr11:11700823-11700834(-)::chr11:11700822-11700834(-) TCCAGGAAGTCT >mm10_chr11:11711300-11711311(-)::chr11:11711299-11711311(-) aacaggaagagg >mm10_chr11:11711324-11711335(-)::chr11:11711323-11711335(-) aggaggaagagg >mm10_chr11:11711334-11711345(-)::chr11:11711333-11711345(-) agaaggaaagag >mm10_chr11:11711379-11711390(-)::chr11:11711378-11711390(-) aggaggaagaag >mm10_chr11:11735210-11735221(-)::chr11:11735209-11735221(-) AGCAGGAAGAGG >mm10_chr11:11743377-11743388(+)::chr11:11743376-11743388(+) TAGAGGAAATAA >mm10_chr11:11743811-11743822(-)::chr11:11743810-11743822(-) TGAAGGAACTCA >mm10_chr11:11826113-11826124(-)::chr11:11826112-11826124(-) atgaggaaatgc >mm10_chr11:11899062-11899073(+)::chr11:11899061-11899073(+) AAGAGGAAGTAG >mm10_chr11:11899140-11899151(+)::chr11:11899139-11899151(+) ATAAGGAAGTTA >mm10_chr11:11977011-11977022(+)::chr11:11977010-11977022(+) TGAAGGAAATCC >mm10_chr11:12000749-12000760(+)::chr11:12000748-12000760(+) AGCAGGAAATGG >mm10_chr11:12199586-12199597(-)::chr11:12199585-12199597(-) AGAAGGAAGAAA >mm10_chr11:12235029-12235040(-)::chr11:12235028-12235040(-) AACAGGAAGTAA >mm10_chr11:12269372-12269383(-)::chr11:12269371-12269383(-) GTAAGGACGTGA >mm10_chr11:12296571-12296582(+)::chr11:12296570-12296582(+) TAGAGGAAATAG >mm10_chr11:12336295-12336306(-)::chr11:12336294-12336306(-) GGAAGGAAACGG >mm10_chr11:12336349-12336360(-)::chr11:12336348-12336360(-) CAGAGGAAGTTC >mm10_chr11:12480021-12480032(-)::chr11:12480020-12480032(-) aaaagggagtta >mm10_chr11:12480184-12480195(+)::chr11:12480183-12480195(+) aacaggaagcaa >mm10_chr11:12506678-12506689(+)::chr11:12506677-12506689(+) AGGAGGAAGAGC >mm10_chr11:12514994-12515005(+)::chr11:12514993-12515005(+) tccaggaagtgg >mm10_chr11:13088600-13088611(+)::chr11:13088599-13088611(+) AGCCGGAAGTGC >mm10_chr11:13338999-13339010(+)::chr11:13338998-13339010(+) ctcaggaagttt >mm10_chr11:13361502-13361513(+)::chr11:13361501-13361513(+) ACAAGGAAGAAA >mm10_chr11:14357419-14357430(+)::chr11:14357418-14357430(+) CGAACGAAGTCT >mm10_chr11:14479236-14479247(+)::chr11:14479235-14479247(+) ACAGGGAAGTGC >mm10_chr11:14926731-14926742(+)::chr11:14926730-14926742(+) aataggaagcaa >mm10_chr11:15015549-15015560(-)::chr11:15015548-15015560(-) aaaaggaagtgc >mm10_chr11:16459747-16459758(-)::chr11:16459746-16459758(-) GACAGGAAATGG >mm10_chr11:16472244-16472255(-)::chr11:16472243-16472255(-) aagaggaagttg >mm10_chr11:16581497-16581508(-)::chr11:16581496-16581508(-) acaaggaaggag >mm10_chr11:16581514-16581525(-)::chr11:16581513-16581525(-) aggaggaaatgg >mm10_chr11:16614577-16614588(+)::chr11:16614576-16614588(+) TCAAGGAAGTGG >mm10_chr11:16638724-16638735(+)::chr11:16638723-16638735(+) ACAAGGAAACAT >mm10_chr11:16698014-16698025(-)::chr11:16698013-16698025(-) ACACGGAAGGGG >mm10_chr11:16745130-16745141(-)::chr11:16745129-16745141(-) tgcaggaagtca >mm10_chr11:16752119-16752130(-)::chr11:16752118-16752130(-) GAGAGGAAGCGC >mm10_chr11:16754219-16754230(+)::chr11:16754218-16754230(+) TACAGGAAATAG >mm10_chr11:16760161-16760172(+)::chr11:16760160-16760172(+) AGAAGGAAGTAA >mm10_chr11:16768165-16768176(+)::chr11:16768164-16768176(+) TACAGGAAGCAT >mm10_chr11:16768187-16768198(-)::chr11:16768186-16768198(-) AGGAGGAAGTTA >mm10_chr11:16791325-16791336(+)::chr11:16791324-16791336(+) GACAGGAAATTA >mm10_chr11:16791387-16791398(-)::chr11:16791386-16791398(-) AGCAGGAAATAG >mm10_chr11:16806199-16806210(-)::chr11:16806198-16806210(-) ACAAGGAAACAA >mm10_chr11:16809490-16809501(-)::chr11:16809489-16809501(-) GCAAGGAAATCC >mm10_chr11:16819389-16819400(-)::chr11:16819388-16819400(-) AGCAGGAAGTGA >mm10_chr11:16841537-16841548(-)::chr11:16841536-16841548(-) GAGAGGAAGACA >mm10_chr11:16841576-16841587(-)::chr11:16841575-16841587(-) TGAAGGAAGGAG >mm10_chr11:16845023-16845034(-)::chr11:16845022-16845034(-) ACCAGGAAGTAC >mm10_chr11:17002986-17002997(-)::chr11:17002985-17002997(-) TCCAGGAAGTGT >mm10_chr11:17003012-17003023(-)::chr11:17003011-17003023(-) AAGGGGAAGTTA >mm10_chr11:17015183-17015194(-)::chr11:17015182-17015194(-) AGAAGGAAGAGA >mm10_chr11:17085994-17086005(-)::chr11:17085993-17086005(-) TCAAGGAAGCTG >mm10_chr11:17159057-17159068(-)::chr11:17159056-17159068(-) AGGAGGAAGACT >mm10_chr11:17159072-17159083(-)::chr11:17159071-17159083(-) CGAAGGAAGGCC >mm10_chr11:17159091-17159102(+)::chr11:17159090-17159102(+) GACAGGAAGCGC >mm10_chr11:17192312-17192323(-)::chr11:17192311-17192323(-) AGGAGGAAGCAC >mm10_chr11:17192354-17192365(-)::chr11:17192353-17192365(-) ACAAGGAAGCAG >mm10_chr11:17215336-17215347(+)::chr11:17215335-17215347(+) AGAAGGAAGGAA >mm10_chr11:17215340-17215351(+)::chr11:17215339-17215351(+) GGAAGGAAGGAA >mm10_chr11:17215368-17215379(-)::chr11:17215367-17215379(-) GGAAGGAAGTGC >mm10_chr11:17257987-17257998(-)::chr11:17257986-17257998(-) AACAGGAAGGGC >mm10_chr11:17400834-17400845(-)::chr11:17400833-17400845(-) gggaggaagaaa >mm10_chr11:17461171-17461182(+)::chr11:17461170-17461182(+) ACCAGGAAGCAC >mm10_chr11:17468966-17468977(+)::chr11:17468965-17468977(+) ccaaggaagaat >mm10_chr11:17493326-17493337(-)::chr11:17493325-17493337(-) CTCAGGAAGTGT >mm10_chr11:17525522-17525533(+)::chr11:17525521-17525533(+) TCCAGGAAGAGA >mm10_chr11:17525555-17525566(+)::chr11:17525554-17525566(+) ATAAGGAAATAC >mm10_chr11:17528846-17528857(+)::chr11:17528845-17528857(+) TGAAGGAACTGA >mm10_chr11:17612123-17612134(-)::chr11:17612122-17612134(-) TTAAGGAAGTAG >mm10_chr11:17612205-17612216(+)::chr11:17612204-17612216(+) TTAAGGAAGGAT >mm10_chr11:17612578-17612589(+)::chr11:17612577-17612589(+) GGGAGGAAGTGT >mm10_chr11:17613107-17613118(+)::chr11:17613106-17613118(+) AGTAGGAAGAAC >mm10_chr11:17613115-17613126(-)::chr11:17613114-17613126(-) TGAGGGAAGTTC >mm10_chr11:17613141-17613152(-)::chr11:17613140-17613152(-) AGAAGGAAATTA >mm10_chr11:18151957-18151968(-)::chr11:18151956-18151968(-) GGCAGGAAGCTA >mm10_chr11:18355193-18355204(+)::chr11:18355192-18355204(+) ACAAGGAAGAAC >mm10_chr11:18355230-18355241(+)::chr11:18355229-18355241(+) AAAAGGAAGTGG >mm10_chr11:18648569-18648580(-)::chr11:18648568-18648580(-) tccgggaagtgg >mm10_chr11:18753897-18753908(-)::chr11:18753896-18753908(-) TGTAGGAAGAGA >mm10_chr11:18753935-18753946(+)::chr11:18753934-18753946(+) TGGAGGAAGTCG >mm10_chr11:18764031-18764042(-)::chr11:18764030-18764042(-) aagaggaagaag >mm10_chr11:18764037-18764048(-)::chr11:18764036-18764048(-) aagaggaagagg >mm10_chr11:18764043-18764054(-)::chr11:18764042-18764054(-) aggaggaagagg >mm10_chr11:18764061-18764072(-)::chr11:18764060-18764072(-) aagaggaagaga >mm10_chr11:18764067-18764078(-)::chr11:18764066-18764078(-) aggaggaagagg >mm10_chr11:18818569-18818580(-)::chr11:18818568-18818580(-) AAAAGGAAATGC >mm10_chr11:18818625-18818636(+)::chr11:18818624-18818636(+) TGAAGGAAGAAG >mm10_chr11:18821487-18821498(+)::chr11:18821486-18821498(+) GAAAGCAAGTAG >mm10_chr11:18867741-18867752(-)::chr11:18867740-18867752(-) ACCAGGAAGTCA >mm10_chr11:18898924-18898935(+)::chr11:18898923-18898935(+) GAGAGGAAGTAG >mm10_chr11:18925088-18925099(-)::chr11:18925087-18925099(-) AAGAGGAAGTAA >mm10_chr11:18925111-18925122(+)::chr11:18925110-18925122(+) ACAAGGAAGGAC >mm10_chr11:18957399-18957410(-)::chr11:18957398-18957410(-) ATGAGGAAGGGT >mm10_chr11:18957532-18957543(+)::chr11:18957531-18957543(+) CAGAGGAAGTAA >mm10_chr11:18957570-18957581(+)::chr11:18957569-18957581(+) ACAAAGAAGTCG >mm10_chr11:18965139-18965150(+)::chr11:18965138-18965150(+) ACCAGGAAGAAT >mm10_chr11:19018973-19018984(+)::chr11:19018972-19018984(+) ACCCGGAAGTAG >mm10_chr11:19103051-19103062(-)::chr11:19103050-19103062(-) GAAAGGAAGACT >mm10_chr11:19140656-19140667(-)::chr11:19140655-19140667(-) ACCAGGAAGTCA >mm10_chr11:19223922-19223933(+)::chr11:19223921-19223933(+) ATACGGAAGCAC >mm10_chr11:19341774-19341785(-)::chr11:19341773-19341785(-) ATAAGGGAGTAC >mm10_chr11:19689754-19689765(-)::chr11:19689753-19689765(-) acaaggaagaga >mm10_chr11:19728705-19728716(+)::chr11:19728704-19728716(+) aacaggaaatga >mm10_chr11:19728713-19728724(+)::chr11:19728712-19728724(+) atgaggaTGTAA >mm10_chr11:19783559-19783570(-)::chr11:19783558-19783570(-) gggaggaagaag >mm10_chr11:19783570-19783581(-)::chr11:19783569-19783581(-) aggaggaaggag >mm10_chr11:19783577-19783588(-)::chr11:19783576-19783588(-) aggaggaaggag >mm10_chr11:19783594-19783605(-)::chr11:19783593-19783605(-) agaaggaaggag >mm10_chr11:19783626-19783637(-)::chr11:19783625-19783637(-) AAGAGGAaggag >mm10_chr11:19829483-19829494(-)::chr11:19829482-19829494(-) TGGAGGAAGAGG >mm10_chr11:19863612-19863623(+)::chr11:19863611-19863623(+) AGGAGGAAGCCA >mm10_chr11:19919867-19919878(-)::chr11:19919866-19919878(-) AGAAGGAAGCAT >mm10_chr11:19919891-19919902(-)::chr11:19919890-19919902(-) TGCAGGAAGTAA >mm10_chr11:19924407-19924418(-)::chr11:19924406-19924418(-) AGGAGGAAGTAG >mm10_chr11:19927026-19927037(-)::chr11:19927025-19927037(-) ATGAGGAAGTAT >mm10_chr11:19929723-19929734(+)::chr11:19929722-19929734(+) AGCAGGAAGTTT >mm10_chr11:19929771-19929782(+)::chr11:19929770-19929782(+) TGAAGGAAGTTA >mm10_chr11:19933207-19933218(-)::chr11:19933206-19933218(-) TCCGGGAAGTTA >mm10_chr11:19938576-19938587(-)::chr11:19938575-19938587(-) GAGAGGAAGTCT >mm10_chr11:19939648-19939659(+)::chr11:19939647-19939659(+) AGCAGGAAGCAG >mm10_chr11:19939655-19939666(+)::chr11:19939654-19939666(+) AGCAGGAAGGAG >mm10_chr11:19955540-19955551(-)::chr11:19955539-19955551(-) AGCAGGAAATCC >mm10_chr11:19955613-19955624(+)::chr11:19955612-19955624(+) GGAAGGAAGCAG >mm10_chr11:19963928-19963939(-)::chr11:19963927-19963939(-) AGCAGGAAGTTT >mm10_chr11:19963937-19963948(-)::chr11:19963936-19963948(-) AACAGGAAGAGC >mm10_chr11:19974338-19974349(+)::chr11:19974337-19974349(+) ATGAGGAAGTCA >mm10_chr11:19979450-19979461(+)::chr11:19979449-19979461(+) AGCAGGAAGTGG >mm10_chr11:19987291-19987302(-)::chr11:19987290-19987302(-) GGAAGGAAGGAG >mm10_chr11:20001901-20001912(-)::chr11:20001900-20001912(-) atgaggaagacg >mm10_chr11:20060109-20060120(-)::chr11:20060108-20060120(-) aggaggaagtgt >mm10_chr11:20060172-20060183(-)::chr11:20060171-20060183(-) caaaggaaggca >mm10_chr11:20107881-20107892(-)::chr11:20107880-20107892(-) ACAAGGAAGAAG >mm10_chr11:20107917-20107928(-)::chr11:20107916-20107928(-) AGTAGGAAGTAG >mm10_chr11:20112414-20112425(-)::chr11:20112413-20112425(-) AAGAGGAAGTGC >mm10_chr11:20112934-20112945(+)::chr11:20112933-20112945(+) AACCGGAAGTCC >mm10_chr11:20187383-20187394(-)::chr11:20187382-20187394(-) ACAAGGAAGCCA >mm10_chr11:20193171-20193182(-)::chr11:20193170-20193182(-) TAAAGGAAGTAT >mm10_chr11:20193189-20193200(+)::chr11:20193188-20193200(+) AGTAGGAAGAGC >mm10_chr11:20193227-20193238(-)::chr11:20193226-20193238(-) AGAGGGAAGTTA >mm10_chr11:20201035-20201046(-)::chr11:20201034-20201046(-) GGAAGGAACTCG >mm10_chr11:20201039-20201050(-)::chr11:20201038-20201050(-) AGGAGGAAGGAA >mm10_chr11:20204508-20204519(-)::chr11:20204507-20204519(-) aacaggaagact >mm10_chr11:20231391-20231402(+)::chr11:20231390-20231402(+) GGCAGGAAGGGA >mm10_chr11:20232286-20232297(+)::chr11:20232285-20232297(+) AAGAGGAAGTAG >mm10_chr11:20242748-20242759(+)::chr11:20242747-20242759(+) GGGAGGAAGACC >mm10_chr11:20242772-20242783(-)::chr11:20242771-20242783(-) GCAAGGAAGATG >mm10_chr11:20242798-20242809(+)::chr11:20242797-20242809(+) GACAGGAAGCAC >mm10_chr11:20308880-20308891(-)::chr11:20308879-20308891(-) AAAAGGAAGGTC >mm10_chr11:20308904-20308915(-)::chr11:20308903-20308915(-) GAGAGGAAGGAG >mm10_chr11:20324259-20324270(+)::chr11:20324258-20324270(+) AAAGGGAAGTTA >mm10_chr11:20365141-20365152(-)::chr11:20365140-20365152(-) accaggaagcat >mm10_chr11:20365173-20365184(-)::chr11:20365172-20365184(-) agaaggaagtag >mm10_chr11:20384492-20384503(+)::chr11:20384491-20384503(+) AGAAGGAAGAAT >mm10_chr11:20418159-20418170(+)::chr11:20418158-20418170(+) cggaggaagtga >mm10_chr11:20429541-20429552(-)::chr11:20429540-20429552(-) ACAAGGAAGTAA >mm10_chr11:20466080-20466091(-)::chr11:20466079-20466091(-) AGGAGGAAGGCA >mm10_chr11:20466122-20466133(-)::chr11:20466121-20466133(-) GGCAGGAAGACA >mm10_chr11:20466146-20466157(-)::chr11:20466145-20466157(-) GGAAGGAAGTAG >mm10_chr11:20468827-20468838(+)::chr11:20468826-20468838(+) aaagggaagtag >mm10_chr11:20468849-20468860(-)::chr11:20468848-20468860(-) gagaggaaggac >mm10_chr11:20468859-20468870(-)::chr11:20468858-20468870(-) ggacggaagaga >mm10_chr11:20468886-20468897(+)::chr11:20468885-20468897(+) agcaggaagtac >mm10_chr11:20475443-20475454(+)::chr11:20475442-20475454(+) ATAAGGAAGCTA >mm10_chr11:20476817-20476828(+)::chr11:20476816-20476828(+) tccaggaaatac >mm10_chr11:20489530-20489541(-)::chr11:20489529-20489541(-) AGAAGGAAGCAG >mm10_chr11:20489537-20489548(-)::chr11:20489536-20489548(-) TGGAGGAAGAAG >mm10_chr11:20532803-20532814(-)::chr11:20532802-20532814(-) ATGAGGAAGCCA >mm10_chr11:20558661-20558672(+)::chr11:20558660-20558672(+) TGAAGGAAGTGC >mm10_chr11:20558678-20558689(-)::chr11:20558677-20558689(-) AGTAGGAAGTGT >mm10_chr11:20565900-20565911(+)::chr11:20565899-20565911(+) aggaggaagagg >mm10_chr11:20565906-20565917(+)::chr11:20565905-20565917(+) aagaggaaggga >mm10_chr11:20565921-20565932(+)::chr11:20565920-20565932(+) aggaggaagagg >mm10_chr11:20565927-20565938(+)::chr11:20565926-20565938(+) aagaggaaggga >mm10_chr11:20565953-20565964(+)::chr11:20565952-20565964(+) GGGAGGAAGAGG >mm10_chr11:20572119-20572130(+)::chr11:20572118-20572130(+) AGTAGGAAGAAT >mm10_chr11:20576315-20576326(-)::chr11:20576314-20576326(-) AAGAGGAAATGC >mm10_chr11:20619378-20619389(-)::chr11:20619377-20619389(-) accaggaaatgc >mm10_chr11:20640480-20640491(-)::chr11:20640479-20640491(-) CTAAGGAAGTGG >mm10_chr11:20648035-20648046(+)::chr11:20648034-20648046(+) AGCAGGAAGGCA >mm10_chr11:20672783-20672794(+)::chr11:20672782-20672794(+) TGAAGGAAGGAA >mm10_chr11:20700188-20700199(-)::chr11:20700187-20700199(-) TCAAGGAAATAA >mm10_chr11:20732731-20732742(-)::chr11:20732730-20732742(-) aggaggaagaTG >mm10_chr11:20732743-20732754(-)::chr11:20732742-20732754(-) aggaggaagaag >mm10_chr11:20732776-20732787(-)::chr11:20732775-20732787(-) aggaggaagaag >mm10_chr11:20734803-20734814(+)::chr11:20734802-20734814(+) accaggaagata >mm10_chr11:20741616-20741627(-)::chr11:20741615-20741627(-) GCCCGGAAGTGA >mm10_chr11:20794753-20794764(+)::chr11:20794752-20794764(+) ACAAGGAAAAGG >mm10_chr11:20816157-20816168(-)::chr11:20816156-20816168(-) GGAAGGAAGTCA >mm10_chr11:20865650-20865661(+)::chr11:20865649-20865661(+) ACCAGGAAATGT >mm10_chr11:20915263-20915274(+)::chr11:20915262-20915274(+) AGGAGGAAGGGC >mm10_chr11:20937118-20937129(+)::chr11:20937117-20937129(+) AGTAGGAAGCAG >mm10_chr11:20937125-20937136(+)::chr11:20937124-20937136(+) AGCAGGAAGAGG >mm10_chr11:20958469-20958480(+)::chr11:20958468-20958480(+) GACAGGAAGAAA >mm10_chr11:20960704-20960715(-)::chr11:20960703-20960715(-) AACAGGAAGAAG >mm10_chr11:20960739-20960750(+)::chr11:20960738-20960750(+) TCAAGGAAGTAG >mm10_chr11:20966175-20966186(+)::chr11:20966174-20966186(+) GGGAGGAAGTCC >mm10_chr11:20967722-20967733(+)::chr11:20967721-20967733(+) acaaggaaatct >mm10_chr11:20985115-20985126(-)::chr11:20985114-20985126(-) TACAGGAAGGAG >mm10_chr11:21007385-21007396(+)::chr11:21007384-21007396(+) ATGAGGAAATGC >mm10_chr11:21007410-21007421(+)::chr11:21007409-21007421(+) ACAAGGAAATGT >mm10_chr11:21009691-21009702(+)::chr11:21009690-21009702(+) AAGAGGAAATGA >mm10_chr11:21009723-21009734(-)::chr11:21009722-21009734(-) TAGAGGAAGGAG >mm10_chr11:21024748-21024759(+)::chr11:21024747-21024759(+) AGGAGGAAGTCA >mm10_chr11:21033961-21033972(+)::chr11:21033960-21033972(+) AACAGGAAGTCG >mm10_chr11:21054296-21054307(+)::chr11:21054295-21054307(+) TCTAGGAAGTAC >mm10_chr11:21054358-21054369(-)::chr11:21054357-21054369(-) AGCAGGAAGAGG >mm10_chr11:21062479-21062490(-)::chr11:21062478-21062490(-) ATAAGGAAAGGC >mm10_chr11:21062492-21062503(-)::chr11:21062491-21062503(-) GGAAGGAAGGCA >mm10_chr11:21062496-21062507(-)::chr11:21062495-21062507(-) GGGAGGAAGGAA >mm10_chr11:21090950-21090961(+)::chr11:21090949-21090961(+) AAGAGGAAGTTA >mm10_chr11:21116756-21116767(+)::chr11:21116755-21116767(+) ACCAGGAAGGTG >mm10_chr11:21116772-21116783(+)::chr11:21116771-21116783(+) TAAAGGAAGAAA >mm10_chr11:21117432-21117443(+)::chr11:21117431-21117443(+) ACAGGGAAGTTT >mm10_chr11:21131510-21131521(-)::chr11:21131509-21131521(-) ATAAGGAAACAT >mm10_chr11:21134866-21134877(-)::chr11:21134865-21134877(-) acaaggaaatct >mm10_chr11:21140045-21140056(-)::chr11:21140044-21140056(-) GCAAGCAAGTAA >mm10_chr11:21140591-21140602(+)::chr11:21140590-21140602(+) TAGAGGAAGGAG >mm10_chr11:21149724-21149735(-)::chr11:21149723-21149735(-) TGGAGGAAGAGA >mm10_chr11:21164747-21164758(-)::chr11:21164746-21164758(-) ACAGGGAAGTAG >mm10_chr11:21174624-21174635(+)::chr11:21174623-21174635(+) GAACGGAAGTCA >mm10_chr11:21223820-21223831(-)::chr11:21223819-21223831(-) ATCAGGAAGAGG >mm10_chr11:21223859-21223870(-)::chr11:21223858-21223870(-) aagaggaagagg >mm10_chr11:21239524-21239535(-)::chr11:21239523-21239535(-) AGAAGGAAGTGG >mm10_chr11:21241482-21241493(-)::chr11:21241481-21241493(-) AGCAGGAAGTAT >mm10_chr11:21265592-21265603(+)::chr11:21265591-21265603(+) ATAAGGAAGTAA >mm10_chr11:21265604-21265615(+)::chr11:21265603-21265615(+) AAGAGGAAGTGG >mm10_chr11:21274113-21274124(+)::chr11:21274112-21274124(+) ATAGGGAAGTTA >mm10_chr11:21287570-21287581(-)::chr11:21287569-21287581(-) GGTAGGAAGTGA >mm10_chr11:21321404-21321415(-)::chr11:21321403-21321415(-) GGAAGGAAGGGG >mm10_chr11:21358328-21358339(+)::chr11:21358327-21358339(+) AGAAGGAAGTAG >mm10_chr11:21377451-21377462(+)::chr11:21377450-21377462(+) gagaggaaggag >mm10_chr11:21377458-21377469(+)::chr11:21377457-21377469(+) aggaggaaggag >mm10_chr11:21402661-21402672(+)::chr11:21402660-21402672(+) ATAAGGAAATCC >mm10_chr11:21415058-21415069(+)::chr11:21415057-21415069(+) ACAAGGAAATTA >mm10_chr11:21422085-21422096(-)::chr11:21422084-21422096(-) ACAAGGAAGCAT >mm10_chr11:21423796-21423807(-)::chr11:21423795-21423807(-) AGAGGGAAGTGC >mm10_chr11:21425948-21425959(-)::chr11:21425947-21425959(-) AAGAGGAAGGGT >mm10_chr11:21425954-21425965(-)::chr11:21425953-21425965(-) AAGAGGAAGAGG >mm10_chr11:21425960-21425971(-)::chr11:21425959-21425971(-) AGCAGGAAGAGG >mm10_chr11:21540241-21540252(-)::chr11:21540240-21540252(-) agcaggaactta >mm10_chr11:21552033-21552044(-)::chr11:21552032-21552044(-) TACAGGAAGGAC >mm10_chr11:21552074-21552085(-)::chr11:21552073-21552085(-) TCAAGGAAGTGA >mm10_chr11:21565403-21565414(+)::chr11:21565402-21565414(+) AAGAGGAAATAT >mm10_chr11:21567122-21567133(+)::chr11:21567121-21567133(+) ACCAGGAAGAGA >mm10_chr11:21571953-21571964(-)::chr11:21571952-21571964(-) CGTAGGAAGAAG >mm10_chr11:21580626-21580637(-)::chr11:21580625-21580637(-) ggaaggcagtga >mm10_chr11:21580657-21580668(-)::chr11:21580656-21580668(-) atatggaagtag >mm10_chr11:21580674-21580685(-)::chr11:21580673-21580685(-) aagaggaagaga >mm10_chr11:21583379-21583390(-)::chr11:21583378-21583390(-) TTAAGGAAATGG >mm10_chr11:21583428-21583439(+)::chr11:21583427-21583439(+) AAGAGGAAATAC >mm10_chr11:21594560-21594571(+)::chr11:21594559-21594571(+) AACAGGAAATAG >mm10_chr11:21647082-21647093(-)::chr11:21647081-21647093(-) TGGAGGAAGTAA >mm10_chr11:21647108-21647119(+)::chr11:21647107-21647119(+) ACCAGGAAATTA >mm10_chr11:21864028-21864039(-)::chr11:21864027-21864039(-) CAAAGGAAGGCC >mm10_chr11:21877467-21877478(-)::chr11:21877466-21877478(-) ACAAGGAAGTTG >mm10_chr11:21877727-21877738(+)::chr11:21877726-21877738(+) AGCAGGAAGTCA >mm10_chr11:21899440-21899451(-)::chr11:21899439-21899451(-) agagggaagtta >mm10_chr11:22014937-22014948(-)::chr11:22014936-22014948(-) ACACGGAAGAAG >mm10_chr11:22014944-22014955(-)::chr11:22014943-22014955(-) GGAAGGAACACG >mm10_chr11:22014948-22014959(-)::chr11:22014947-22014959(-) TGCAGGAAGGAA >mm10_chr11:22198107-22198118(-)::chr11:22198106-22198118(-) ACAAGGAGGTGT >mm10_chr11:22290121-22290132(-)::chr11:22290120-22290132(-) AGGAGGAAGCGT >mm10_chr11:22332824-22332835(+)::chr11:22332823-22332835(+) AGAAGGAAGATG >mm10_chr11:22332886-22332897(-)::chr11:22332885-22332897(-) ACGTGGAAGTTA >mm10_chr11:22389163-22389174(-)::chr11:22389162-22389174(-) attaggaagtgt >mm10_chr11:22389179-22389190(-)::chr11:22389178-22389190(-) cccaggaagtgg >mm10_chr11:22389211-22389222(-)::chr11:22389210-22389222(-) agtaggaaggag >mm10_chr11:22389226-22389237(-)::chr11:22389225-22389237(-) agtaggaaggag >mm10_chr11:22439259-22439270(+)::chr11:22439258-22439270(+) ACAGGGAAGTGT >mm10_chr11:22462153-22462164(+)::chr11:22462152-22462164(+) aacaggaagtgg >mm10_chr11:22471141-22471152(+)::chr11:22471140-22471152(+) ACAAGGAAAAGG >mm10_chr11:22471158-22471169(+)::chr11:22471157-22471169(+) TAGAGGAAGTAA >mm10_chr11:22573976-22573987(-)::chr11:22573975-22573987(-) AGAAGGAAATCC >mm10_chr11:22573983-22573994(-)::chr11:22573982-22573994(-) GGCAGGAAGAAG >mm10_chr11:22590419-22590430(+)::chr11:22590418-22590430(+) AAAAGGAACTCT >mm10_chr11:22610368-22610379(+)::chr11:22610367-22610379(+) ATCAGGAAGATC >mm10_chr11:22610674-22610685(-)::chr11:22610673-22610685(-) AGCAGGAAGCAA >mm10_chr11:22610681-22610692(-)::chr11:22610680-22610692(-) CGCAGGAAGCAG >mm10_chr11:22611101-22611112(+)::chr11:22611100-22611112(+) AGTAGGAAGTTA >mm10_chr11:22617584-22617595(-)::chr11:22617583-22617595(-) TGGAGGAAGCTA >mm10_chr11:22629239-22629250(-)::chr11:22629238-22629250(-) AAAAGGAAGTCA >mm10_chr11:22636880-22636891(+)::chr11:22636879-22636891(+) GCAAGGAAGAAG >mm10_chr11:22636910-22636921(-)::chr11:22636909-22636921(-) GAAAGGAAATGC >mm10_chr11:22644213-22644224(-)::chr11:22644212-22644224(-) AGAAGGCAGTGA >mm10_chr11:22665566-22665577(-)::chr11:22665565-22665577(-) tgcaggaagacc >mm10_chr11:22665965-22665976(+)::chr11:22665964-22665976(+) tgaaggaagggg >mm10_chr11:22676744-22676755(+)::chr11:22676743-22676755(+) AACAGGAAGCTA >mm10_chr11:22755294-22755305(+)::chr11:22755293-22755305(+) ctcaggaagtgt >mm10_chr11:22755319-22755330(+)::chr11:22755318-22755330(+) TACAGGAAGGGC >mm10_chr11:22780346-22780357(+)::chr11:22780345-22780357(+) AGCAGGAAGTAG >mm10_chr11:22780368-22780379(+)::chr11:22780367-22780379(+) AACAGGAAGAAG >mm10_chr11:22780401-22780412(-)::chr11:22780400-22780412(-) AGAAGGAAGCCT >mm10_chr11:22780916-22780927(+)::chr11:22780915-22780927(+) TCCAGGAAGAAT >mm10_chr11:22859252-22859263(+)::chr11:22859251-22859263(+) GTGAGGAAGCCG >mm10_chr11:22871850-22871861(+)::chr11:22871849-22871861(+) AACAGGAAGGGC >mm10_chr11:22873556-22873567(+)::chr11:22873555-22873567(+) CAAGGGAAGTGA >mm10_chr11:22873632-22873643(-)::chr11:22873631-22873643(-) TGCAGGAAATGC >mm10_chr11:22905309-22905320(+)::chr11:22905308-22905320(+) aaaaggaagaaa >mm10_chr11:22905338-22905349(+)::chr11:22905337-22905349(+) agaaggaaggaa >mm10_chr11:22905342-22905353(+)::chr11:22905341-22905353(+) ggaaggaaggag >mm10_chr11:22954298-22954309(+)::chr11:22954297-22954309(+) acaaggaactat >mm10_chr11:22960935-22960946(+)::chr11:22960934-22960946(+) ACAAGGAAGAGA >mm10_chr11:22982101-22982112(+)::chr11:22982100-22982112(+) GCCAGGAAGGGG >mm10_chr11:22982129-22982140(-)::chr11:22982128-22982140(-) ATCCGGAAGTGC >mm10_chr11:22987784-22987795(+)::chr11:22987783-22987795(+) GGCAGGAAGTAA >mm10_chr11:23007413-23007424(+)::chr11:23007412-23007424(+) ACAAGGAAGGGG >mm10_chr11:23048558-23048569(+)::chr11:23048557-23048569(+) TGAAGGAAGCGG >mm10_chr11:23048581-23048592(-)::chr11:23048580-23048592(-) AAGCGGAAGTGA >mm10_chr11:23068011-23068022(-)::chr11:23068010-23068022(-) CTGAGGAAGTGC >mm10_chr11:23076680-23076691(-)::chr11:23076679-23076691(-) gggaggaagtgg >mm10_chr11:23076696-23076707(-)::chr11:23076695-23076707(-) gggaggaagtca >mm10_chr11:23076712-23076723(-)::chr11:23076711-23076723(-) gggaggaagtga >mm10_chr11:23076728-23076739(-)::chr11:23076727-23076739(-) aggaggaagtgg >mm10_chr11:23076744-23076755(-)::chr11:23076743-23076755(-) ggaaggaagagg >mm10_chr11:23076748-23076759(-)::chr11:23076747-23076759(-) aggaggaaggaa >mm10_chr11:23110575-23110586(-)::chr11:23110574-23110586(-) aataggaagatg >mm10_chr11:23110582-23110593(-)::chr11:23110581-23110593(-) aggaggaaatag >mm10_chr11:23110614-23110625(-)::chr11:23110613-23110625(-) agaaggaaaatg >mm10_chr11:23217453-23217464(+)::chr11:23217452-23217464(+) gagaggaagaga >mm10_chr11:23362481-23362492(-)::chr11:23362480-23362492(-) ACAAGGAAGCCT >mm10_chr11:23419059-23419070(-)::chr11:23419058-23419070(-) AGAAGGAAGGAT >mm10_chr11:23490602-23490613(+)::chr11:23490601-23490613(+) ATCAGGAAGAAG >mm10_chr11:23556137-23556148(-)::chr11:23556136-23556148(-) ggagggaagtta >mm10_chr11:23556185-23556196(+)::chr11:23556184-23556196(+) ATAAGGAaacca >mm10_chr11:23665993-23666004(+)::chr11:23665992-23666004(+) TGGAGGAAGGAG >mm10_chr11:23666000-23666011(+)::chr11:23665999-23666011(+) AGGAGGAAGGGA >mm10_chr11:23666460-23666471(+)::chr11:23666459-23666471(+) CCTAGGAAGTAC >mm10_chr11:23668293-23668304(-)::chr11:23668292-23668304(-) tggaggaaggag >mm10_chr11:23688269-23688280(+)::chr11:23688268-23688280(+) aggaggaagtag >mm10_chr11:23696051-23696062(+)::chr11:23696050-23696062(+) AAAAGGAAGTCT >mm10_chr11:23739706-23739717(+)::chr11:23739705-23739717(+) aagaggaagaag >mm10_chr11:23739740-23739751(+)::chr11:23739739-23739751(+) aggaggaagagC >mm10_chr11:23769809-23769820(-)::chr11:23769808-23769820(-) AAGAGGAAGTAG >mm10_chr11:23771149-23771160(-)::chr11:23771148-23771160(-) TGGAGGAAGTAA >mm10_chr11:23810927-23810938(-)::chr11:23810926-23810938(-) ATCAGGAAGCAA >mm10_chr11:23903673-23903684(-)::chr11:23903672-23903684(-) gagaggaagagg >mm10_chr11:24036890-24036901(-)::chr11:24036889-24036901(-) AGAAGGAAGAAG >mm10_chr11:24036897-24036908(-)::chr11:24036896-24036908(-) GGAAGGAAGAAG >mm10_chr11:24036901-24036912(-)::chr11:24036900-24036912(-) CTAAGGAAGGAA >mm10_chr11:24120262-24120273(+)::chr11:24120261-24120273(+) TCAAGGAAATTT >mm10_chr11:24137621-24137632(+)::chr11:24137620-24137632(+) ATAAGGAAGTTT >mm10_chr11:24142465-24142476(-)::chr11:24142464-24142476(-) TACAGGAAGGTC >mm10_chr11:24142475-24142486(-)::chr11:24142474-24142486(-) CACAGGAAGTTA >mm10_chr11:24147981-24147992(+)::chr11:24147980-24147992(+) CCAAGGAAATGT >mm10_chr11:24149640-24149651(-)::chr11:24149639-24149651(-) ACCAGGAAGAAA >mm10_chr11:24175304-24175315(-)::chr11:24175303-24175315(-) atgaggaagagt >mm10_chr11:24212016-24212027(-)::chr11:24212015-24212027(-) ACAAGGAAGGAT >mm10_chr11:24231468-24231479(+)::chr11:24231467-24231479(+) ATAAGGAAGCTG >mm10_chr11:24253489-24253500(-)::chr11:24253488-24253500(-) AGGAGGAAGGAA >mm10_chr11:24295864-24295875(-)::chr11:24295863-24295875(-) AAAAGGAAATAG >mm10_chr11:24307639-24307650(-)::chr11:24307638-24307650(-) TAAAGGAAATGG >mm10_chr11:24308000-24308011(-)::chr11:24307999-24308011(-) ACCAGGAAGAAA >mm10_chr11:24353888-24353899(-)::chr11:24353887-24353899(-) AAGAGGAAGTCC >mm10_chr11:24353926-24353937(-)::chr11:24353925-24353937(-) GGAAGGAAGGCT >mm10_chr11:24357486-24357497(-)::chr11:24357485-24357497(-) aagaggaagagg >mm10_chr11:24357497-24357508(-)::chr11:24357496-24357508(-) ATAAGGAAAAga >mm10_chr11:24357559-24357570(-)::chr11:24357558-24357570(-) AAGAGGAAGACC >mm10_chr11:24370405-24370416(+)::chr11:24370404-24370416(+) GTAGGGAAGTCT >mm10_chr11:24372139-24372150(-)::chr11:24372138-24372150(-) AACAGGAAGCTG >mm10_chr11:24426762-24426773(-)::chr11:24426761-24426773(-) CAAAGGAAGCAG >mm10_chr11:24426807-24426818(+)::chr11:24426806-24426818(+) GCAAGGAAGGTC >mm10_chr11:24664957-24664968(+)::chr11:24664956-24664968(+) ACAAGGATGTAG >mm10_chr11:24743874-24743885(-)::chr11:24743873-24743885(-) TAAAGGAAGTGT >mm10_chr11:24781838-24781849(+)::chr11:24781837-24781849(+) AGAAGGAAAGAC >mm10_chr11:25026999-25027010(+)::chr11:25026998-25027010(+) agaaggaaattt >mm10_chr11:25027027-25027038(+)::chr11:25027026-25027038(+) gagaggaaggag >mm10_chr11:25141683-25141694(+)::chr11:25141682-25141694(+) aagaggaaggtg >mm10_chr11:25141699-25141710(+)::chr11:25141698-25141710(+) aggaggaaggtg >mm10_chr11:25142396-25142407(-)::chr11:25142395-25142407(-) ACAAGGAAGATC >mm10_chr11:25196188-25196199(+)::chr11:25196187-25196199(+) TCCAGGAAGTTA >mm10_chr11:25386596-25386607(-)::chr11:25386595-25386607(-) ctgaggaagtta >mm10_chr11:25387835-25387846(-)::chr11:25387834-25387846(-) AGAAGGAAGCAC >mm10_chr11:25410361-25410372(+)::chr11:25410360-25410372(+) TCCAGGAAGTAA >mm10_chr11:25463212-25463223(+)::chr11:25463211-25463223(+) aacaggaagtca >mm10_chr11:25491422-25491433(+)::chr11:25491421-25491433(+) AAAAGGAAATCA >mm10_chr11:25522742-25522753(-)::chr11:25522741-25522753(-) AAAAGGAAGTTC >mm10_chr11:25522995-25523006(+)::chr11:25522994-25523006(+) aacaggaagtga >mm10_chr11:25710015-25710026(-)::chr11:25710014-25710026(-) ATGAGGAAGGAG >mm10_chr11:25745632-25745643(-)::chr11:25745631-25745643(-) aacaggaagtag >mm10_chr11:25872370-25872381(+)::chr11:25872369-25872381(+) TTCAGGAAGTAT >mm10_chr11:25872390-25872401(-)::chr11:25872389-25872401(-) GACAGGAAGTGC >mm10_chr11:26127682-26127693(+)::chr11:26127681-26127693(+) acagggaagtaa >mm10_chr11:26268194-26268205(-)::chr11:26268193-26268205(-) ACCAGGAAGACC >mm10_chr11:26282213-26282224(+)::chr11:26282212-26282224(+) GAAAGGAAGAGA >mm10_chr11:26358316-26358327(-)::chr11:26358315-26358327(-) TAAAGGAAGTGC >mm10_chr11:26498224-26498235(-)::chr11:26498223-26498235(-) AAAAGGAAGTAG >mm10_chr11:27451652-27451663(-)::chr11:27451651-27451663(-) agaaggaaatag >mm10_chr11:27583465-27583476(+)::chr11:27583464-27583476(+) GGCAGGAAGGGA >mm10_chr11:28653788-28653799(+)::chr11:28653787-28653799(+) agaaggaaagag >mm10_chr11:28728831-28728842(-)::chr11:28728830-28728842(-) GTGAGGAAGAGA >mm10_chr11:29131053-29131064(-)::chr11:29131052-29131064(-) AAAAGGAAATCT >mm10_chr11:29131120-29131131(-)::chr11:29131119-29131131(-) AGGAGGAAGATG >mm10_chr11:29172749-29172760(-)::chr11:29172748-29172760(-) GCGGGGAAGTCC >mm10_chr11:29172778-29172789(+)::chr11:29172777-29172789(+) ATCAGGAAGCGG >mm10_chr11:29172799-29172810(+)::chr11:29172798-29172810(+) AGGAGGAAGTCC >mm10_chr11:29227060-29227071(+)::chr11:29227059-29227071(+) AAAAGGAAATGA >mm10_chr11:29247308-29247319(+)::chr11:29247307-29247319(+) GCCCGGAAGTGA >mm10_chr11:29476083-29476094(+)::chr11:29476082-29476094(+) agaaggaacttg >mm10_chr11:29515024-29515035(+)::chr11:29515023-29515035(+) TACCGGAAGTGC >mm10_chr11:29628799-29628810(-)::chr11:29628798-29628810(-) gggaggaagtac >mm10_chr11:29628830-29628841(-)::chr11:29628829-29628841(-) aacaggaagaat >mm10_chr11:29639057-29639068(-)::chr11:29639056-29639068(-) GATAGGAAGGAC >mm10_chr11:29642257-29642268(+)::chr11:29642256-29642268(+) acaaggaaatgg >mm10_chr11:29642299-29642310(+)::chr11:29642298-29642310(+) acaaggaagtaa >mm10_chr11:29656720-29656731(+)::chr11:29656719-29656731(+) AGCAGGAAATAT >mm10_chr11:29684336-29684347(-)::chr11:29684335-29684347(-) atgaggaaggag >mm10_chr11:29692242-29692253(-)::chr11:29692241-29692253(-) GGAAGGAACGTT >mm10_chr11:29692785-29692796(+)::chr11:29692784-29692796(+) CCAAGGAAGTAT >mm10_chr11:29879806-29879817(+)::chr11:29879805-29879817(+) AGAAGGAAGGAT >mm10_chr11:29879810-29879821(+)::chr11:29879809-29879821(+) GGAAGGATGTAG >mm10_chr11:29884336-29884347(+)::chr11:29884335-29884347(+) TGCAGGAAGGAA >mm10_chr11:29884340-29884351(+)::chr11:29884339-29884351(+) GGAAGGAAGGAA >mm10_chr11:29884344-29884355(+)::chr11:29884343-29884355(+) GGAAGGAATTAG >mm10_chr11:30026005-30026016(-)::chr11:30026004-30026016(-) AGGAGGAAGAGC >mm10_chr11:30026585-30026596(-)::chr11:30026584-30026596(-) CACAGGAAGGCG >mm10_chr11:30166410-30166421(+)::chr11:30166409-30166421(+) AGGAGGAAGGAA >mm10_chr11:30171264-30171275(-)::chr11:30171263-30171275(-) GCAAGGAAGTTA >mm10_chr11:30177624-30177635(+)::chr11:30177623-30177635(+) TCCAGGAAGTAC >mm10_chr11:30183230-30183241(-)::chr11:30183229-30183241(-) ATGCGGAAGTTG >mm10_chr11:30183776-30183787(-)::chr11:30183775-30183787(-) AGAAGGAAGTTT >mm10_chr11:30184078-30184089(-)::chr11:30184077-30184089(-) AAAGGGAAGTGA >mm10_chr11:30185219-30185230(+)::chr11:30185218-30185230(+) AGAAGGAAGACA >mm10_chr11:30190302-30190313(+)::chr11:30190301-30190313(+) TGCAGGAAGTTG >mm10_chr11:30195879-30195890(-)::chr11:30195878-30195890(-) ACAAGGAAATGG >mm10_chr11:30198073-30198084(-)::chr11:30198072-30198084(-) GGAAGGAAATCC >mm10_chr11:30198077-30198088(-)::chr11:30198076-30198088(-) ACAAGGAAGGAA >mm10_chr11:30291321-30291332(-)::chr11:30291320-30291332(-) GAAAGGAAATCT >mm10_chr11:30291343-30291354(-)::chr11:30291342-30291354(-) AAGAGGAAGTGT >mm10_chr11:30491653-30491664(-)::chr11:30491652-30491664(-) AGGAGGAAGACA >mm10_chr11:30522583-30522594(+)::chr11:30522582-30522594(+) GAAAGGAAGAGA >mm10_chr11:30649193-30649204(+)::chr11:30649192-30649204(+) GAGCGGAAGTCG >mm10_chr11:30769153-30769164(-)::chr11:30769152-30769164(-) aaaaggaaggag >mm10_chr11:30772885-30772896(-)::chr11:30772884-30772896(-) CCGAGGAAATGT >mm10_chr11:30786800-30786811(+)::chr11:30786799-30786811(+) TTCAGGAAGTTG >mm10_chr11:30804393-30804404(-)::chr11:30804392-30804404(-) GGTAGGAAGAAA >mm10_chr11:30804405-30804416(-)::chr11:30804404-30804416(-) TGGAGGAAGGGA >mm10_chr11:30812724-30812735(-)::chr11:30812723-30812735(-) agaaggaagaag >mm10_chr11:30812731-30812742(-)::chr11:30812730-30812742(-) aggaggaagaag >mm10_chr11:30812747-30812758(-)::chr11:30812746-30812758(-) aggaggaaggta >mm10_chr11:30812756-30812767(-)::chr11:30812755-30812767(-) tgaaggaagagg >mm10_chr11:30812766-30812777(-)::chr11:30812765-30812777(-) aggaggaaggtg >mm10_chr11:30812778-30812789(-)::chr11:30812777-30812789(-) aggaggaagagg >mm10_chr11:30812788-30812799(-)::chr11:30812787-30812799(-) aggaggaaggag >mm10_chr11:30813095-30813106(-)::chr11:30813094-30813106(-) gggaggaagagg >mm10_chr11:30813127-30813138(-)::chr11:30813126-30813138(-) agaaggaaggag >mm10_chr11:30813134-30813145(-)::chr11:30813133-30813145(-) agaaggaagaag >mm10_chr11:30813144-30813155(-)::chr11:30813143-30813155(-) agaaggaagaag >mm10_chr11:30813157-30813168(-)::chr11:30813156-30813168(-) agaaggaagaag >mm10_chr11:31236403-31236414(-)::chr11:31236402-31236414(-) gggaggaaataa >mm10_chr11:31325322-31325333(+)::chr11:31325321-31325333(+) AGGAGGAAGTGC >mm10_chr11:31357159-31357170(+)::chr11:31357158-31357170(+) ATGAGGAAGTGC >mm10_chr11:31358829-31358840(+)::chr11:31358828-31358840(+) AAGAGGAAGGAG >mm10_chr11:31365463-31365474(-)::chr11:31365462-31365474(-) AGCAGGAAGTGT >mm10_chr11:31369921-31369932(-)::chr11:31369920-31369932(-) aggaggaagagg >mm10_chr11:31369954-31369965(-)::chr11:31369953-31369965(-) aggaggaagagt >mm10_chr11:31527368-31527379(-)::chr11:31527367-31527379(-) ttgaggaagttt >mm10_chr11:31553341-31553352(-)::chr11:31553340-31553352(-) TTCAGGAAGTGA >mm10_chr11:31670354-31670365(+)::chr11:31670353-31670365(+) AGCAGGAAGGAT >mm10_chr11:31680644-31680655(-)::chr11:31680643-31680655(-) AGGAGGAAGGGG >mm10_chr11:31689327-31689338(+)::chr11:31689326-31689338(+) ACAAGGAAGAAG >mm10_chr11:31713370-31713381(-)::chr11:31713369-31713381(-) AAGAGGAAGAGC >mm10_chr11:31713674-31713685(+)::chr11:31713673-31713685(+) GCACGGAAGTGG >mm10_chr11:31726109-31726120(+)::chr11:31726108-31726120(+) TACAGGAAGTGC >mm10_chr11:31738519-31738530(-)::chr11:31738518-31738530(-) GGTAGGAAGGGA >mm10_chr11:31757067-31757078(+)::chr11:31757066-31757078(+) GCAAGGAAATAA >mm10_chr11:31759715-31759726(+)::chr11:31759714-31759726(+) ATGAGGAAGAAG >mm10_chr11:31778748-31778759(-)::chr11:31778747-31778759(-) GGCAGGAAGTGA >mm10_chr11:31787556-31787567(+)::chr11:31787555-31787567(+) GAGAGGAAGAGG >mm10_chr11:31787562-31787573(+)::chr11:31787561-31787573(+) AAGAGGAAGTAG >mm10_chr11:31796500-31796511(+)::chr11:31796499-31796511(+) GCAAGGAAGCGG >mm10_chr11:31801692-31801703(+)::chr11:31801691-31801703(+) tccaggaagtaa >mm10_chr11:31803683-31803694(-)::chr11:31803682-31803694(-) ACACGGAAGACT >mm10_chr11:31814761-31814772(-)::chr11:31814760-31814772(-) AAAAGGAACTTG >mm10_chr11:31814785-31814796(-)::chr11:31814784-31814796(-) aacaggaagTCA >mm10_chr11:31822278-31822289(-)::chr11:31822277-31822289(-) TGGAGGAAATAC >mm10_chr11:31832072-31832083(+)::chr11:31832071-31832083(+) ACCAGGAAGAAC >mm10_chr11:31838518-31838529(+)::chr11:31838517-31838529(+) TCAAGGAAGTGA >mm10_chr11:31850541-31850552(-)::chr11:31850540-31850552(-) agcaggaaGAAA >mm10_chr11:31850579-31850590(+)::chr11:31850578-31850590(+) aggaggaaggat >mm10_chr11:31852302-31852313(+)::chr11:31852301-31852313(+) gtaaggaaggaa >mm10_chr11:31871013-31871024(+)::chr11:31871012-31871024(+) cagaggaagacg >mm10_chr11:31871025-31871036(+)::chr11:31871024-31871036(+) aggaggaagaag >mm10_chr11:31871032-31871043(+)::chr11:31871031-31871043(+) agaaggaagaaa >mm10_chr11:31873446-31873457(+)::chr11:31873445-31873457(+) ATAAGGAAGTAG >mm10_chr11:31900965-31900976(-)::chr11:31900964-31900976(-) AGCAGGAAGGCT >mm10_chr11:31907665-31907676(-)::chr11:31907664-31907676(-) GAAAGGAACTCA >mm10_chr11:31907698-31907709(-)::chr11:31907697-31907709(-) AGAAGGAAGTTT >mm10_chr11:31948468-31948479(+)::chr11:31948467-31948479(+) TAAAGGAAGCAT >mm10_chr11:31954159-31954170(+)::chr11:31954158-31954170(+) aggaggaagcag >mm10_chr11:31954178-31954189(+)::chr11:31954177-31954189(+) aggaggaagagg >mm10_chr11:31954190-31954201(+)::chr11:31954189-31954201(+) aggaggaagggg >mm10_chr11:32210009-32210020(+)::chr11:32210008-32210020(+) GGCAGGAAGGCA >mm10_chr11:32210024-32210035(+)::chr11:32210023-32210035(+) GAAAGGAAGAGG >mm10_chr11:32210096-32210107(+)::chr11:32210095-32210107(+) AAGAGGAAGAGC >mm10_chr11:32210664-32210675(+)::chr11:32210663-32210675(+) TGCAGGAAGAGG >mm10_chr11:32221351-32221362(+)::chr11:32221350-32221362(+) AACAGGAAGTGG >mm10_chr11:32224983-32224994(+)::chr11:32224982-32224994(+) ACCAGGAAGTGG >mm10_chr11:32228017-32228028(-)::chr11:32228016-32228028(-) ACAAGGAAGGCC >mm10_chr11:32235331-32235342(+)::chr11:32235330-32235342(+) AACAGGAAGGGG >mm10_chr11:32235370-32235381(-)::chr11:32235369-32235381(-) ATAAGGAAGAGC >mm10_chr11:32267415-32267426(-)::chr11:32267414-32267426(-) ACAAGTAAGTGG >mm10_chr11:32331237-32331248(-)::chr11:32331236-32331248(-) ACCGGGAAGTCC >mm10_chr11:32348355-32348366(-)::chr11:32348354-32348366(-) AAGCGGAAGTTA >mm10_chr11:32365666-32365677(-)::chr11:32365665-32365677(-) aggaggaagtct >mm10_chr11:32365703-32365714(-)::chr11:32365702-32365714(-) GGAAGGAAGTGC >mm10_chr11:32369131-32369142(+)::chr11:32369130-32369142(+) AGGAGGAAGGGT >mm10_chr11:32384252-32384263(-)::chr11:32384251-32384263(-) GTGAGGAAGAAA >mm10_chr11:32405004-32405015(+)::chr11:32405003-32405015(+) GGAAGGAAGGAA >mm10_chr11:32405008-32405019(+)::chr11:32405007-32405019(+) GGAAGGAAGGAT >mm10_chr11:32408030-32408041(-)::chr11:32408029-32408041(-) AAAAGGAAGTGT >mm10_chr11:32423434-32423445(+)::chr11:32423433-32423445(+) TCCAGGAAGGGA >mm10_chr11:32424426-32424437(+)::chr11:32424425-32424437(+) ggtaggaagtgg >mm10_chr11:32431726-32431737(+)::chr11:32431725-32431737(+) ggccggaagacg >mm10_chr11:32431746-32431757(-)::chr11:32431745-32431757(-) tagaggaagaca >mm10_chr11:32480222-32480233(+)::chr11:32480221-32480233(+) AAAGGGAAGTAG >mm10_chr11:32480302-32480313(+)::chr11:32480301-32480313(+) TGGAGGAAATGG >mm10_chr11:32538572-32538583(-)::chr11:32538571-32538583(-) aggaggaagaag >mm10_chr11:32571864-32571875(-)::chr11:32571863-32571875(-) accaggaAGCCA >mm10_chr11:32599644-32599655(-)::chr11:32599643-32599655(-) ACAAGGAAAGGG >mm10_chr11:32599677-32599688(-)::chr11:32599676-32599688(-) ACAAGGAAGGGG >mm10_chr11:32607564-32607575(+)::chr11:32607563-32607575(+) agcaggaagtca >mm10_chr11:32607592-32607603(-)::chr11:32607591-32607603(-) acaaggaagaac >mm10_chr11:32619681-32619692(-)::chr11:32619680-32619692(-) AAAAGGAAGCCG >mm10_chr11:32619703-32619714(-)::chr11:32619702-32619714(-) ATCAGGAAGTCT >mm10_chr11:32642467-32642478(+)::chr11:32642466-32642478(+) GCCAGGAAGTCA >mm10_chr11:32650558-32650569(+)::chr11:32650557-32650569(+) AACAGGAAGTTT >mm10_chr11:32680172-32680183(-)::chr11:32680171-32680183(-) GGAAGGAAGAGA >mm10_chr11:32680176-32680187(-)::chr11:32680175-32680187(-) AAAAGGAAGGAA >mm10_chr11:32684142-32684153(-)::chr11:32684141-32684153(-) AGGAGGAAGTCA >mm10_chr11:32952602-32952613(+)::chr11:32952601-32952613(+) TTCAGGAAGTAG >mm10_chr11:33039963-33039974(-)::chr11:33039962-33039974(-) TAAAGGAAGGCC >mm10_chr11:33145870-33145881(-)::chr11:33145869-33145881(-) ACAAGGAAGTGT >mm10_chr11:33163076-33163087(+)::chr11:33163075-33163087(+) GAAAGGACGCGG >mm10_chr11:33209900-33209911(+)::chr11:33209899-33209911(+) acaaggaaaaca >mm10_chr11:33217359-33217370(-)::chr11:33217358-33217370(-) AGCAGGAAGTCC >mm10_chr11:33358765-33358776(-)::chr11:33358764-33358776(-) GGAAGGAAGTGG >mm10_chr11:33358814-33358825(-)::chr11:33358813-33358825(-) AAGAGGAAGAAC >mm10_chr11:33359627-33359638(+)::chr11:33359626-33359638(+) TAAAGGAAGAGT >mm10_chr11:33473316-33473327(+)::chr11:33473315-33473327(+) atgaggaagcgc >mm10_chr11:33504548-33504559(-)::chr11:33504547-33504559(-) AGCAGGAAGAAC >mm10_chr11:33548318-33548329(-)::chr11:33548317-33548329(-) ATAAGGAAATGC >mm10_chr11:33548343-33548354(-)::chr11:33548342-33548354(-) AGAAGGAAGAAA >mm10_chr11:33553466-33553477(+)::chr11:33553465-33553477(+) ATACGGAAGAAA >mm10_chr11:33564806-33564817(-)::chr11:33564805-33564817(-) accaggaagcta >mm10_chr11:33564837-33564848(-)::chr11:33564836-33564848(-) aagaggaagtgt >mm10_chr11:33579674-33579685(-)::chr11:33579673-33579685(-) AGAAGGAAGAAG >mm10_chr11:33595586-33595597(-)::chr11:33595585-33595597(-) ATGAGGAAGAAG >mm10_chr11:33604844-33604855(-)::chr11:33604843-33604855(-) agcaggaagggt >mm10_chr11:33604851-33604862(-)::chr11:33604850-33604862(-) aagaggaagcag >mm10_chr11:33784754-33784765(-)::chr11:33784753-33784765(-) AGGAGGAAGTGG >mm10_chr11:33792386-33792397(-)::chr11:33792385-33792397(-) CGCAGGAAGATG >mm10_chr11:33861375-33861386(-)::chr11:33861374-33861386(-) TGAAGGAAGAAC >mm10_chr11:33861402-33861413(-)::chr11:33861401-33861413(-) GGAAGGAAGTGC >mm10_chr11:34013248-34013259(-)::chr11:34013247-34013259(-) ggtaggaaatgg >mm10_chr11:34031206-34031217(-)::chr11:34031205-34031217(-) GGGAGGAAGATA >mm10_chr11:34038646-34038657(-)::chr11:34038645-34038657(-) Tggaggaaggtg >mm10_chr11:34073826-34073837(-)::chr11:34073825-34073837(-) GGAAGGGAGTAT >mm10_chr11:34079655-34079666(+)::chr11:34079654-34079666(+) CCCAGGAAGTAC >mm10_chr11:34175609-34175620(+)::chr11:34175608-34175620(+) ACTAGGAAGTCT >mm10_chr11:34398714-34398725(+)::chr11:34398713-34398725(+) AACAGGAAGAAC >mm10_chr11:34398724-34398735(-)::chr11:34398723-34398735(-) AACAGGAAGTGT >mm10_chr11:34398744-34398755(-)::chr11:34398743-34398755(-) GACAGGAAGTGA >mm10_chr11:34769618-34769629(-)::chr11:34769617-34769629(-) GGCAGGAAGGAG >mm10_chr11:34769653-34769664(-)::chr11:34769652-34769664(-) GAGAGGAAGTCT >mm10_chr11:34770917-34770928(-)::chr11:34770916-34770928(-) ATGAGGAAGCAT >mm10_chr11:34833396-34833407(+)::chr11:34833395-34833407(+) ACCCGGAAGGCG >mm10_chr11:35007467-35007478(-)::chr11:35007466-35007478(-) CCAAGGAAGTAA >mm10_chr11:35178827-35178838(-)::chr11:35178826-35178838(-) ACAAGGAAGTTG >mm10_chr11:35261067-35261078(-)::chr11:35261066-35261078(-) AGGAGGAAGCTA >mm10_chr11:35288672-35288683(-)::chr11:35288671-35288683(-) GCCAGGAAGAGA >mm10_chr11:35289095-35289106(-)::chr11:35289094-35289106(-) CGCAGGAAGCAG >mm10_chr11:35294957-35294968(+)::chr11:35294956-35294968(+) ACAAGGAAATGA >mm10_chr11:35297553-35297564(+)::chr11:35297552-35297564(+) TGGAGGAAGTTA >mm10_chr11:35297582-35297593(-)::chr11:35297581-35297593(-) GGAAGTAAGTGA >mm10_chr11:35297586-35297597(-)::chr11:35297585-35297597(-) AACGGGAAGTAA >mm10_chr11:35298160-35298171(+)::chr11:35298159-35298171(+) AGAAGGAAGCAA >mm10_chr11:35302537-35302548(+)::chr11:35302536-35302548(+) CTGAGGAAGTGT >mm10_chr11:35304249-35304260(+)::chr11:35304248-35304260(+) gagaggaagagg >mm10_chr11:35304261-35304272(+)::chr11:35304260-35304272(+) aaaaggaagcca >mm10_chr11:35308531-35308542(+)::chr11:35308530-35308542(+) CTAAGGAAGTGA >mm10_chr11:35308552-35308563(-)::chr11:35308551-35308563(-) AAAAGGAAGTAT >mm10_chr11:35310753-35310764(-)::chr11:35310752-35310764(-) ACAAGGAAGGGA >mm10_chr11:35320340-35320351(-)::chr11:35320339-35320351(-) GGAAGGAAGAGT >mm10_chr11:35320383-35320394(+)::chr11:35320382-35320394(+) AGGAGGAAGATG >mm10_chr11:35351958-35351969(-)::chr11:35351957-35351969(-) aagaggaagaag >mm10_chr11:35351964-35351975(-)::chr11:35351963-35351975(-) aggaggaagagg >mm10_chr11:35351976-35351987(-)::chr11:35351975-35351987(-) aagaggaagaag >mm10_chr11:35351982-35351993(-)::chr11:35351981-35351993(-) aggaggaagagg >mm10_chr11:35351994-35352005(-)::chr11:35351993-35352005(-) aagaggaagagg >mm10_chr11:35580865-35580876(-)::chr11:35580864-35580876(-) ACAAGGAAGAAG >mm10_chr11:35580896-35580907(-)::chr11:35580895-35580907(-) ACAAGGAAAGAA >mm10_chr11:35670249-35670260(+)::chr11:35670248-35670260(+) GGAAGGAAGatc >mm10_chr11:35694874-35694885(+)::chr11:35694873-35694885(+) attaggaagtgg >mm10_chr11:35694894-35694905(+)::chr11:35694893-35694905(+) aagaggaagtga >mm10_chr11:35699173-35699184(+)::chr11:35699172-35699184(+) ATAAGGAAGAGG >mm10_chr11:35705838-35705849(-)::chr11:35705837-35705849(-) GGAAGGAAATCC >mm10_chr11:35708677-35708688(-)::chr11:35708676-35708688(-) ACAAGGAAGAGG >mm10_chr11:35718625-35718636(-)::chr11:35718624-35718636(-) TGAAGGAAGGAG >mm10_chr11:35750858-35750869(-)::chr11:35750857-35750869(-) GAAGGGAAGTGG >mm10_chr11:35760420-35760431(-)::chr11:35760419-35760431(-) ACAAGGAAGACT >mm10_chr11:35760435-35760446(+)::chr11:35760434-35760446(+) ATAAGGAAGTAT >mm10_chr11:35768372-35768383(-)::chr11:35768371-35768383(-) ttcaggaagtga >mm10_chr11:35768649-35768660(-)::chr11:35768648-35768660(-) AAAAGGAAGAGA >mm10_chr11:35776173-35776184(+)::chr11:35776172-35776184(+) AAGAGGAAGTTG >mm10_chr11:35832384-35832395(+)::chr11:35832383-35832395(+) AGAAGGAAGTAC >mm10_chr11:35834516-35834527(+)::chr11:35834515-35834527(+) AAGCGGAAGTGT >mm10_chr11:35894092-35894103(-)::chr11:35894091-35894103(-) AGAAGGAAAAGC >mm10_chr11:35894146-35894157(-)::chr11:35894145-35894157(-) AAAAGGAAGGAG >mm10_chr11:35903520-35903531(-)::chr11:35903519-35903531(-) AGTAGGAAGGAC >mm10_chr11:35919328-35919339(+)::chr11:35919327-35919339(+) ATGAGGAAGATA >mm10_chr11:35919376-35919387(+)::chr11:35919375-35919387(+) AACAGGAAGGAA >mm10_chr11:35920690-35920701(-)::chr11:35920689-35920701(-) AGGAGGAAGGCT >mm10_chr11:35945361-35945372(-)::chr11:35945360-35945372(-) GGAAGGAAGGAG >mm10_chr11:35945387-35945398(-)::chr11:35945386-35945398(-) TGAAGGAAGTAA >mm10_chr11:35947933-35947944(-)::chr11:35947932-35947944(-) AAAGGGAAGTCT >mm10_chr11:35955163-35955174(+)::chr11:35955162-35955174(+) TTaaggaagaga >mm10_chr11:35955703-35955714(-)::chr11:35955702-35955714(-) ACAAGGAAACAA >mm10_chr11:35955743-35955754(+)::chr11:35955742-35955754(+) ATGAGGAAGTGG >mm10_chr11:35980861-35980872(-)::chr11:35980860-35980872(-) AGGAGGAAGACA >mm10_chr11:35986277-35986288(+)::chr11:35986276-35986288(+) AGAAGGATGTAG >mm10_chr11:35995520-35995531(+)::chr11:35995519-35995531(+) TGGAGGaagtgc >mm10_chr11:35995588-35995599(-)::chr11:35995587-35995599(-) aacaggaagaag >mm10_chr11:36045742-36045753(+)::chr11:36045741-36045753(+) TGAAGGATGTGA >mm10_chr11:36045782-36045793(+)::chr11:36045781-36045793(+) AGAAGGAAGACA >mm10_chr11:36108724-36108735(+)::chr11:36108723-36108735(+) ACAGGGAAGTTC >mm10_chr11:36109498-36109509(+)::chr11:36109497-36109509(+) ACGAGGAAGATG >mm10_chr11:36122959-36122970(+)::chr11:36122958-36122970(+) ATCAGGAAGTGG >mm10_chr11:36122995-36123006(-)::chr11:36122994-36123006(-) TCAAGGAAGATG >mm10_chr11:36221440-36221451(-)::chr11:36221439-36221451(-) ACCAGGAAACGA >mm10_chr11:36245365-36245376(+)::chr11:36245364-36245376(+) AAaaggaagaaa >mm10_chr11:36264944-36264955(-)::chr11:36264943-36264955(-) GCTAGGAAGTGT >mm10_chr11:36286247-36286258(+)::chr11:36286246-36286258(+) TCAAGGAAGAGG >mm10_chr11:36296843-36296854(+)::chr11:36296842-36296854(+) AGGAGGAAGTTA >mm10_chr11:36318284-36318295(-)::chr11:36318283-36318295(-) TGGAGGAAGTGT >mm10_chr11:36457198-36457209(-)::chr11:36457197-36457209(-) agaaggaAATAT >mm10_chr11:36457216-36457227(-)::chr11:36457215-36457227(-) aggaggaagaca >mm10_chr11:36457228-36457239(-)::chr11:36457227-36457239(-) aggaggaagagg >mm10_chr11:36457270-36457281(-)::chr11:36457269-36457281(-) aggaggaagagg >mm10_chr11:36464167-36464178(-)::chr11:36464166-36464178(-) ATCAGGAAGTTC >mm10_chr11:37957114-37957125(-)::chr11:37957113-37957125(-) CGAAGGAAGCAC >mm10_chr11:37957129-37957140(-)::chr11:37957128-37957140(-) GTAAGGAAGGGT >mm10_chr11:38119374-38119385(+)::chr11:38119373-38119385(+) ACAAGGAAGAGT >mm10_chr11:38263172-38263183(+)::chr11:38263171-38263183(+) aataggaagggg >mm10_chr11:38726746-38726757(-)::chr11:38726745-38726757(-) atacggaagcac >mm10_chr11:38755276-38755287(+)::chr11:38755275-38755287(+) AGCAGGAAGTTT >mm10_chr11:38755306-38755317(+)::chr11:38755305-38755317(+) ACAAGGAAGGAA >mm10_chr11:38782277-38782288(+)::chr11:38782276-38782288(+) TGGAGGAAGGTG >mm10_chr11:38783731-38783742(+)::chr11:38783730-38783742(+) ggaaggaactct >mm10_chr11:38785923-38785934(-)::chr11:38785922-38785934(-) agtaggaagaac >mm10_chr11:38785930-38785941(-)::chr11:38785929-38785941(-) ggcaggaagtag >mm10_chr11:40716198-40716209(-)::chr11:40716197-40716209(-) GGAAGGAACTAG >mm10_chr11:40754749-40754760(-)::chr11:40754748-40754760(-) CAGAGGAAGTGT >mm10_chr11:40755424-40755435(-)::chr11:40755423-40755435(-) TTAAGGAAGGAC >mm10_chr11:41034270-41034281(-)::chr11:41034269-41034281(-) ggagggaagtaa >mm10_chr11:41034282-41034293(-)::chr11:41034281-41034293(-) aacaggaaggag >mm10_chr11:41972125-41972136(-)::chr11:41972124-41972136(-) GGAAGGTAGTTA >mm10_chr11:41972129-41972140(-)::chr11:41972128-41972140(-) TGAAGGAAGGTA >mm10_chr11:42890507-42890518(+)::chr11:42890506-42890518(+) aacaggaagaga >mm10_chr11:42928141-42928152(-)::chr11:42928140-42928152(-) AGGAGGAAGAAA >mm10_chr11:42928148-42928159(-)::chr11:42928147-42928159(-) AGCAGGAAGGAG >mm10_chr11:42928161-42928172(-)::chr11:42928160-42928172(-) CTAGGGAAGTGG >mm10_chr11:42944874-42944885(-)::chr11:42944873-42944885(-) ACCAGGAAGGGC >mm10_chr11:43087746-43087757(+)::chr11:43087745-43087757(+) AGCAGTAAGTAT >mm10_chr11:43155603-43155614(+)::chr11:43155602-43155614(+) GAAAGGAAGACA >mm10_chr11:43155664-43155675(+)::chr11:43155663-43155675(+) AGAAGGAAGTGC >mm10_chr11:43157958-43157969(+)::chr11:43157957-43157969(+) AGGAGGAAGTTG >mm10_chr11:43157996-43158007(-)::chr11:43157995-43158007(-) AGCAGGAAGAGC >mm10_chr11:43185131-43185142(-)::chr11:43185130-43185142(-) AGGAGGAAGTGG >mm10_chr11:43191827-43191838(+)::chr11:43191826-43191838(+) aagaggaagagg >mm10_chr11:43191833-43191844(+)::chr11:43191832-43191844(+) aagaggaagagg >mm10_chr11:43191839-43191850(+)::chr11:43191838-43191850(+) aagaggaagagg >mm10_chr11:43191845-43191856(+)::chr11:43191844-43191856(+) aagaggaagaag >mm10_chr11:43223739-43223750(-)::chr11:43223738-43223750(-) AACAGGAAGGTT >mm10_chr11:43353854-43353865(-)::chr11:43353853-43353865(-) ATGAGGAAGCAG >mm10_chr11:43385502-43385513(+)::chr11:43385501-43385513(+) CTGAGGAAGTGC >mm10_chr11:43395310-43395321(+)::chr11:43395309-43395321(+) AGGAGGAAATAG >mm10_chr11:43433709-43433720(+)::chr11:43433708-43433720(+) TCCCGGAAGTTA >mm10_chr11:43433749-43433760(+)::chr11:43433748-43433760(+) ACCCGGAAGTAA >mm10_chr11:43531362-43531373(+)::chr11:43531361-43531373(+) GGGAGGAAGAGG >mm10_chr11:43531401-43531412(-)::chr11:43531400-43531412(-) TCAGGGAAGTAA >mm10_chr11:43531474-43531485(-)::chr11:43531473-43531485(-) TGAAGGAAGTGC >mm10_chr11:43535080-43535091(-)::chr11:43535079-43535091(-) TTCAGGAAGTAG >mm10_chr11:43557044-43557055(-)::chr11:43557043-43557055(-) atcaggaagcta >mm10_chr11:43557079-43557090(-)::chr11:43557078-43557090(-) agcaggaaatac >mm10_chr11:43569814-43569825(-)::chr11:43569813-43569825(-) GCGAGGACGTCG >mm10_chr11:43570865-43570876(+)::chr11:43570864-43570876(+) AGTAGGAAGTAC >mm10_chr11:43571174-43571185(+)::chr11:43571173-43571185(+) GACAGGAAGAGG >mm10_chr11:43610831-43610842(+)::chr11:43610830-43610842(+) ATAAGGAAATAC >mm10_chr11:43632474-43632485(-)::chr11:43632473-43632485(-) ACAAGGAGGTGT >mm10_chr11:43634246-43634257(-)::chr11:43634245-43634257(-) ACGCGGAAGTGC >mm10_chr11:43643555-43643566(+)::chr11:43643554-43643566(+) GAAAGGAAGAGT >mm10_chr11:43729046-43729057(+)::chr11:43729045-43729057(+) ATCAGGAAATGT >mm10_chr11:43729068-43729079(-)::chr11:43729067-43729079(-) AACAGGAAATGA >mm10_chr11:43747997-43748008(-)::chr11:43747996-43748008(-) AGGAGGAAGTAG >mm10_chr11:43748018-43748029(-)::chr11:43748017-43748029(-) GGCCGGAAGTGC >mm10_chr11:43759204-43759215(+)::chr11:43759203-43759215(+) agaaggaaaacg >mm10_chr11:43914869-43914880(-)::chr11:43914868-43914880(-) ACAAGGAAGTGG >mm10_chr11:43921990-43922001(-)::chr11:43921989-43922001(-) ATAAGGAAATTA >mm10_chr11:43922041-43922052(-)::chr11:43922040-43922052(-) ACCAGGAAGTCA >mm10_chr11:43924734-43924745(+)::chr11:43924733-43924745(+) tcaaggaagtac >mm10_chr11:43998739-43998750(+)::chr11:43998738-43998750(+) TGAAGGAAATAG >mm10_chr11:44304845-44304856(-)::chr11:44304844-44304856(-) GACAGGAAGACA >mm10_chr11:44304858-44304869(+)::chr11:44304857-44304869(+) CTAAGGAAATCA >mm10_chr11:44364550-44364561(-)::chr11:44364549-44364561(-) ACAGGGAAGTGA >mm10_chr11:44364580-44364591(-)::chr11:44364579-44364591(-) TAGAGGAAGGGA >mm10_chr11:44372989-44373000(-)::chr11:44372988-44373000(-) AAGAGGAAATCG >mm10_chr11:44373012-44373023(-)::chr11:44373011-44373023(-) AGTAGGAAGAAG >mm10_chr11:44373057-44373068(-)::chr11:44373056-44373068(-) TAGAGGAAGAAG >mm10_chr11:44373066-44373077(-)::chr11:44373065-44373077(-) AGGAGGAAATAG >mm10_chr11:44462533-44462544(+)::chr11:44462532-44462544(+) ACACGGAAGGCA >mm10_chr11:44462541-44462552(+)::chr11:44462540-44462552(+) GGCAGGAAGGAG >mm10_chr11:44462894-44462905(+)::chr11:44462893-44462905(+) GTAAGGAAGGCA >mm10_chr11:44462902-44462913(+)::chr11:44462901-44462913(+) GGCAGGAAGGAG >mm10_chr11:44496298-44496309(+)::chr11:44496297-44496309(+) ACCAGGAAGTCC >mm10_chr11:44504700-44504711(-)::chr11:44504699-44504711(-) AGGAGGAAGTTC >mm10_chr11:44511505-44511516(+)::chr11:44511504-44511516(+) aggaggaaggag >mm10_chr11:44512594-44512605(+)::chr11:44512593-44512605(+) AACAGGAAGCAA >mm10_chr11:44520715-44520726(+)::chr11:44520714-44520726(+) GTAAGGAAGTTC >mm10_chr11:44529451-44529462(-)::chr11:44529450-44529462(-) AAGAGGAAGTCT >mm10_chr11:44529457-44529468(-)::chr11:44529456-44529468(-) AGGAGGAAGAGG >mm10_chr11:44531775-44531786(-)::chr11:44531774-44531786(-) acaaggaagaag >mm10_chr11:44533463-44533474(+)::chr11:44533462-44533474(+) aagaggaaatgg >mm10_chr11:44544185-44544196(-)::chr11:44544184-44544196(-) TACAGGAAGAAA >mm10_chr11:44545490-44545501(-)::chr11:44545489-44545501(-) aggaggaagagg >mm10_chr11:44545497-44545508(-)::chr11:44545496-44545508(-) taaaggaaggag >mm10_chr11:44546658-44546669(-)::chr11:44546657-44546669(-) GGGAGGAAGGGT >mm10_chr11:44546679-44546690(-)::chr11:44546678-44546690(-) ACAAGGAAGAGC >mm10_chr11:44570624-44570635(-)::chr11:44570623-44570635(-) agcaggaagctc >mm10_chr11:44577414-44577425(-)::chr11:44577413-44577425(-) ttaaggaagaaa >mm10_chr11:44581329-44581340(+)::chr11:44581328-44581340(+) AAAAGGAAGGAA >mm10_chr11:44581333-44581344(+)::chr11:44581332-44581344(+) GGAAGGAAGCAG >mm10_chr11:45514074-45514085(+)::chr11:45514073-45514085(+) GAAGGGAAGTAA >mm10_chr11:45622086-45622097(-)::chr11:45622085-45622097(-) cagaggaagttt >mm10_chr11:45622111-45622122(-)::chr11:45622110-45622122(-) aaaaggatgttg >mm10_chr11:45750460-45750471(-)::chr11:45750459-45750471(-) GAAAGGAAGTTT >mm10_chr11:45794779-45794790(-)::chr11:45794778-45794790(-) AGCAGGAAGTGG >mm10_chr11:45794805-45794816(-)::chr11:45794804-45794816(-) AGGAGGAAATAG >mm10_chr11:45806710-45806721(-)::chr11:45806709-45806721(-) ATAAGGAAAAAA >mm10_chr11:45816816-45816827(+)::chr11:45816815-45816827(+) agaaggaaggag >mm10_chr11:45816823-45816834(+)::chr11:45816822-45816834(+) aggagGaagaaa >mm10_chr11:45818498-45818509(+)::chr11:45818497-45818509(+) AGGAGGAAGACT >mm10_chr11:45822307-45822318(+)::chr11:45822306-45822318(+) TAAAGGAAGGCA >mm10_chr11:45831656-45831667(+)::chr11:45831655-45831667(+) aagaggaaatga >mm10_chr11:45835722-45835733(+)::chr11:45835721-45835733(+) GGGAGGAAATTA >mm10_chr11:45847700-45847711(+)::chr11:45847699-45847711(+) TGAAGGAAGAGA >mm10_chr11:45847709-45847720(+)::chr11:45847708-45847720(+) AGAAGGAAGCGA >mm10_chr11:45853076-45853087(+)::chr11:45853075-45853087(+) ACCAGGAAGTAT >mm10_chr11:45872457-45872468(+)::chr11:45872456-45872468(+) AGCAGGAAGGGG >mm10_chr11:45876290-45876301(-)::chr11:45876289-45876301(-) AGCAGGAAGTAT >mm10_chr11:45878155-45878166(-)::chr11:45878154-45878166(-) ggaaggaaggaa >mm10_chr11:45878159-45878170(-)::chr11:45878158-45878170(-) ggaaggaaggaa >mm10_chr11:45878163-45878174(-)::chr11:45878162-45878174(-) ggaaggaaggaa >mm10_chr11:45878167-45878178(-)::chr11:45878166-45878178(-) agaaggaaggaa >mm10_chr11:45878179-45878190(-)::chr11:45878178-45878190(-) ggaaggaaggaa >mm10_chr11:45878183-45878194(-)::chr11:45878182-45878194(-) ggaaggaaggaa >mm10_chr11:45878187-45878198(-)::chr11:45878186-45878198(-) ggaaggaaggaa >mm10_chr11:45878191-45878202(-)::chr11:45878190-45878202(-) Agaaggaaggaa >mm10_chr11:45878209-45878220(-)::chr11:45878208-45878220(-) AACAGGAAGATG >mm10_chr11:45881792-45881803(+)::chr11:45881791-45881803(+) TTAAGGAAATGA >mm10_chr11:45955471-45955482(+)::chr11:45955470-45955482(+) ATAAGGAAGCAA >mm10_chr11:46016182-46016193(-)::chr11:46016181-46016193(-) aggaggaagcgg >mm10_chr11:46016189-46016200(-)::chr11:46016188-46016200(-) aagaggaaggag >mm10_chr11:46016196-46016207(-)::chr11:46016195-46016207(-) agaaggaaagag >mm10_chr11:46039631-46039642(-)::chr11:46039630-46039642(-) AAGAGGAAATTA >mm10_chr11:46068280-46068291(-)::chr11:46068279-46068291(-) atgaggaagggg >mm10_chr11:46068907-46068918(-)::chr11:46068906-46068918(-) AGCAGGAAGTCC >mm10_chr11:46068988-46068999(-)::chr11:46068987-46068999(-) ACCAGGAAGTGC >mm10_chr11:46273633-46273644(-)::chr11:46273632-46273644(-) GGAAGGAAGGTA >mm10_chr11:46273637-46273648(-)::chr11:46273636-46273648(-) AGGAGGAAGGAA >mm10_chr11:46401933-46401944(+)::chr11:46401932-46401944(+) tccaggaagttc >mm10_chr11:46448189-46448200(+)::chr11:46448188-46448200(+) ttcaggaagtat >mm10_chr11:46448207-46448218(+)::chr11:46448206-46448218(+) aacaggaactaa >mm10_chr11:46569271-46569282(-)::chr11:46569270-46569282(-) AGAAGGAAATGT >mm10_chr11:46579224-46579235(-)::chr11:46579223-46579235(-) TCAAGGAAATCA >mm10_chr11:46586413-46586424(-)::chr11:46586412-46586424(-) Tggaggaagagg >mm10_chr11:46586438-46586449(+)::chr11:46586437-46586449(+) GGCAGGAAGATA >mm10_chr11:46591213-46591224(-)::chr11:46591212-46591224(-) AGGAGGAAGGAG >mm10_chr11:46630829-46630840(-)::chr11:46630828-46630840(-) GACAGGAAGTAG >mm10_chr11:46651784-46651795(-)::chr11:46651783-46651795(-) TCCAGGAAGTAT >mm10_chr11:46658889-46658900(-)::chr11:46658888-46658900(-) accaggaagtgc >mm10_chr11:46685327-46685338(+)::chr11:46685326-46685338(+) TCCAGGAAGTCC >mm10_chr11:46685385-46685396(+)::chr11:46685384-46685396(+) AACAGGAAGAAC >mm10_chr11:46686173-46686184(+)::chr11:46686172-46686184(+) CGGAGGAAATTG >mm10_chr11:46706835-46706846(+)::chr11:46706834-46706846(+) ggcaggaagtgt >mm10_chr11:46945648-46945659(+)::chr11:46945647-46945659(+) ATAAGGAACTTC >mm10_chr11:46945653-46945664(-)::chr11:46945652-46945664(-) AAGAGGAAGTTC >mm10_chr11:46945679-46945690(-)::chr11:46945678-46945690(-) TCAAGGAAGTGT >mm10_chr11:47112761-47112772(+)::chr11:47112760-47112772(+) GGAAGGAAATGC >mm10_chr11:47112792-47112803(-)::chr11:47112791-47112803(-) GTCAGGAAGTAT >mm10_chr11:47276727-47276738(-)::chr11:47276726-47276738(-) GGAAGGAAGGCA >mm10_chr11:47741866-47741877(+)::chr11:47741865-47741877(+) agaaggaagaGG >mm10_chr11:47741872-47741883(+)::chr11:47741871-47741883(+) aagaGGAAGAGG >mm10_chr11:47741878-47741889(+)::chr11:47741877-47741889(+) AAGAGGAAGAAG >mm10_chr11:48605440-48605451(-)::chr11:48605439-48605451(-) GGTAGGAAGTGT >mm10_chr11:48795912-48795923(+)::chr11:48795911-48795923(+) aggaggaagagg >mm10_chr11:48795918-48795929(+)::chr11:48795917-48795929(+) aagaggaaggag >mm10_chr11:48795934-48795945(+)::chr11:48795933-48795945(+) aagaggaaggag >mm10_chr11:48795963-48795974(+)::chr11:48795962-48795974(+) aggaggaagagg >mm10_chr11:48799980-48799991(-)::chr11:48799979-48799991(-) TTACGGAAGTAC >mm10_chr11:48816436-48816447(-)::chr11:48816435-48816447(-) aggaggaagaag >mm10_chr11:48817258-48817269(+)::chr11:48817257-48817269(+) GCGAGGACGTTG >mm10_chr11:48838364-48838375(+)::chr11:48838363-48838375(+) AAGAGGAAGAAC >mm10_chr11:48838618-48838629(+)::chr11:48838617-48838629(+) TTGAGGAAGTGA >mm10_chr11:48845542-48845553(+)::chr11:48845541-48845553(+) CCCGGGAAGTGA >mm10_chr11:48846174-48846185(+)::chr11:48846173-48846185(+) acaaggaagaag >mm10_chr11:48853734-48853745(+)::chr11:48853733-48853745(+) AAGAGGAAATGC >mm10_chr11:48864025-48864036(-)::chr11:48864024-48864036(-) AAAGGGAAGTCA >mm10_chr11:48902051-48902062(-)::chr11:48902050-48902062(-) GGAAGGAAATAT >mm10_chr11:48902119-48902130(-)::chr11:48902118-48902130(-) ACAAGGAAGGTA >mm10_chr11:48903035-48903046(+)::chr11:48903034-48903046(+) ATGAGGAAGTTG >mm10_chr11:48903086-48903097(-)::chr11:48903085-48903097(-) ACCAGGAAATAG >mm10_chr11:49076437-49076448(+)::chr11:49076436-49076448(+) ATGAGGAAGTTG >mm10_chr11:49082125-49082136(+)::chr11:49082124-49082136(+) ACCAGGAAGCAC >mm10_chr11:49082135-49082146(+)::chr11:49082134-49082146(+) ACCAGGAAGTGC >mm10_chr11:49086870-49086881(-)::chr11:49086869-49086881(-) GCCAGGAAGACA >mm10_chr11:49100625-49100636(+)::chr11:49100624-49100636(+) tccaggaagaga >mm10_chr11:49196246-49196257(+)::chr11:49196245-49196257(+) AAAGGGAAGTCA >mm10_chr11:49203324-49203335(-)::chr11:49203323-49203335(-) GAGAGGAAGGGG >mm10_chr11:49203689-49203700(+)::chr11:49203688-49203700(+) GGAAGGAAGAGC >mm10_chr11:49210831-49210842(+)::chr11:49210830-49210842(+) AACAGGAAGTGG >mm10_chr11:49249135-49249146(+)::chr11:49249134-49249146(+) GGACGGAAGGGC >mm10_chr11:49250434-49250445(+)::chr11:49250433-49250445(+) ATCAGGAAGTCA >mm10_chr11:49253235-49253246(-)::chr11:49253234-49253246(-) agaaggaagtgc >mm10_chr11:49656168-49656179(+)::chr11:49656167-49656179(+) ATGAGGAAGGAG >mm10_chr11:49656175-49656186(+)::chr11:49656174-49656186(+) AGGAGGAAGGAG >mm10_chr11:49664616-49664627(-)::chr11:49664615-49664627(-) GAAAGGAAGATG >mm10_chr11:49664632-49664643(-)::chr11:49664631-49664643(-) AGGAGGAAGTAA >mm10_chr11:49713616-49713627(+)::chr11:49713615-49713627(+) TCAAGGAAGCCC >mm10_chr11:49726947-49726958(-)::chr11:49726946-49726958(-) aggaggaagaga >mm10_chr11:49737798-49737809(+)::chr11:49737797-49737809(+) TCCAGGAAGTGG >mm10_chr11:49776075-49776086(-)::chr11:49776074-49776086(-) TCGAGGAAATGG >mm10_chr11:49829321-49829332(+)::chr11:49829320-49829332(+) accaggaagttg >mm10_chr11:49829384-49829395(+)::chr11:49829383-49829395(+) GCAAGGAACTGA >mm10_chr11:49931110-49931121(+)::chr11:49931109-49931121(+) GAGAGGAAGGAC >mm10_chr11:49994756-49994767(+)::chr11:49994755-49994767(+) atcaggaagcaa >mm10_chr11:50023505-50023516(+)::chr11:50023504-50023516(+) ACGAGGAAGCAC >mm10_chr11:50023517-50023528(+)::chr11:50023516-50023528(+) AAGAGGAAGAAG >mm10_chr11:50023545-50023556(+)::chr11:50023544-50023556(+) Tggaggaagaga >mm10_chr11:50033413-50033424(+)::chr11:50033412-50033424(+) CTAAGGAAGAAG >mm10_chr11:50041320-50041331(-)::chr11:50041319-50041331(-) aagaggaagagg >mm10_chr11:50041326-50041337(-)::chr11:50041325-50041337(-) aagaggaagagg >mm10_chr11:50047851-50047862(+)::chr11:50047850-50047862(+) aagaggaaataA >mm10_chr11:50075440-50075451(+)::chr11:50075439-50075451(+) tggaggaagaac >mm10_chr11:50075482-50075493(+)::chr11:50075481-50075493(+) agcaggaagcaa >mm10_chr11:50099118-50099129(-)::chr11:50099117-50099129(-) TCAAGGAAGTCA >mm10_chr11:50099172-50099183(+)::chr11:50099171-50099183(+) TGAGGGAAGTGT >mm10_chr11:50108987-50108998(-)::chr11:50108986-50108998(-) GGAAGGAAATGA >mm10_chr11:50108991-50109002(-)::chr11:50108990-50109002(-) AACAGGAAGGAA >mm10_chr11:50149776-50149787(-)::chr11:50149775-50149787(-) AACAGGAAGGTC >mm10_chr11:50149806-50149817(-)::chr11:50149805-50149817(-) ACCAGGAAGTCA >mm10_chr11:50155072-50155083(-)::chr11:50155071-50155083(-) GCCAGGAAGAGA >mm10_chr11:50155099-50155110(-)::chr11:50155098-50155110(-) GCACGGAAGTCA >mm10_chr11:50179206-50179217(-)::chr11:50179205-50179217(-) GCCAGGAAGCTA >mm10_chr11:50227131-50227142(-)::chr11:50227130-50227142(-) AGGAGGAAGAAC >mm10_chr11:50227820-50227831(-)::chr11:50227819-50227831(-) TAGAGGAAATAG >mm10_chr11:50229317-50229328(-)::chr11:50229316-50229328(-) AGGAGGAAGAGA >mm10_chr11:50229374-50229385(+)::chr11:50229373-50229385(+) AGGAGGAAGTGT >mm10_chr11:50230606-50230617(+)::chr11:50230605-50230617(+) GACAGGAAATGG >mm10_chr11:50230631-50230642(-)::chr11:50230630-50230642(-) ACCAGGAAATAG >mm10_chr11:50275487-50275498(+)::chr11:50275486-50275498(+) AGAAGGAAGGAA >mm10_chr11:50275491-50275502(+)::chr11:50275490-50275502(+) GGAAGGAAGGAG >mm10_chr11:50325829-50325840(+)::chr11:50325828-50325840(+) GGCAGGAAGTGG >mm10_chr11:50344583-50344594(+)::chr11:50344582-50344594(+) gacaggaagatt >mm10_chr11:50377275-50377286(-)::chr11:50377274-50377286(-) AAAAGGAAGCCA >mm10_chr11:50378033-50378044(-)::chr11:50378032-50378044(-) ACGAGGAAATGG >mm10_chr11:50550381-50550392(-)::chr11:50550380-50550392(-) aagaggaagagc >mm10_chr11:50550404-50550415(-)::chr11:50550403-50550415(-) acacggaagatc >mm10_chr11:50565554-50565565(-)::chr11:50565553-50565565(-) GGCGGGAAGCCG >mm10_chr11:50565895-50565906(-)::chr11:50565894-50565906(-) AGCAGGAAGATT >mm10_chr11:50749836-50749847(+)::chr11:50749835-50749847(+) TTAAGGAACGGG >mm10_chr11:50749843-50749854(+)::chr11:50749842-50749854(+) ACGGGGAAGTGA >mm10_chr11:50840144-50840155(+)::chr11:50840143-50840155(+) AGGAGGAAGTTA >mm10_chr11:50840425-50840436(+)::chr11:50840424-50840436(+) ATAAGGAAGCCC >mm10_chr11:51217293-51217304(-)::chr11:51217292-51217304(-) tccaggaagtgg >mm10_chr11:51231907-51231918(+)::chr11:51231906-51231918(+) agaaggaagcat >mm10_chr11:51254616-51254627(+)::chr11:51254615-51254627(+) AGCAGGAAGACC >mm10_chr11:51262586-51262597(+)::chr11:51262585-51262597(+) aggaggaagaga >mm10_chr11:51292363-51292374(-)::chr11:51292362-51292374(-) aggaggaagggg >mm10_chr11:51292384-51292395(-)::chr11:51292383-51292395(-) aggaggaagagg >mm10_chr11:51292396-51292407(-)::chr11:51292395-51292407(-) gagaggaagtga >mm10_chr11:51292419-51292430(-)::chr11:51292418-51292430(-) aggaggaagatg >mm10_chr11:51328738-51328749(-)::chr11:51328737-51328749(-) GCCAGGAAGGGC >mm10_chr11:51328800-51328811(+)::chr11:51328799-51328811(+) GGGAGGAAGTCT >mm10_chr11:51374895-51374906(-)::chr11:51374894-51374906(-) GGCAGGAAATAT >mm10_chr11:51374922-51374933(+)::chr11:51374921-51374933(+) TTAGGGAAGTCC >mm10_chr11:51374940-51374951(-)::chr11:51374939-51374951(-) ACCAGGAAGTAT >mm10_chr11:51615357-51615368(+)::chr11:51615356-51615368(+) TAAAGGAAATGA >mm10_chr11:51638072-51638083(+)::chr11:51638071-51638083(+) AGAGGGAAGTTA >mm10_chr11:51640633-51640644(+)::chr11:51640632-51640644(+) AACAGGAAGAAA >mm10_chr11:51646853-51646864(+)::chr11:51646852-51646864(+) AACAGGAAGGGC >mm10_chr11:51646870-51646881(+)::chr11:51646869-51646881(+) GGGAGGAAGGAT >mm10_chr11:51657237-51657248(-)::chr11:51657236-51657248(-) GGGAGGAAGGGC >mm10_chr11:51658517-51658528(+)::chr11:51658516-51658528(+) AGCAGGAAGATC >mm10_chr11:51680229-51680240(-)::chr11:51680228-51680240(-) GGAAAGAAGTGG >mm10_chr11:51689313-51689324(-)::chr11:51689312-51689324(-) AGAAGGAATTCA >mm10_chr11:51702665-51702676(-)::chr11:51702664-51702676(-) aggaggaagaag >mm10_chr11:51702677-51702688(-)::chr11:51702676-51702688(-) aggaggaagaag >mm10_chr11:51702689-51702700(-)::chr11:51702688-51702700(-) aggaggaagaag >mm10_chr11:51702704-51702715(-)::chr11:51702703-51702715(-) aagaggaagaag >mm10_chr11:51702710-51702721(-)::chr11:51702709-51702721(-) aagaggaagagg >mm10_chr11:51727352-51727363(-)::chr11:51727351-51727363(-) gacaggaagtac >mm10_chr11:51733124-51733135(+)::chr11:51733123-51733135(+) GTAAGGAAATGC >mm10_chr11:51733188-51733199(+)::chr11:51733187-51733199(+) ATGAGGAAGTGG >mm10_chr11:51756492-51756503(-)::chr11:51756491-51756503(-) AACCGGAAGTGC >mm10_chr11:51768002-51768013(-)::chr11:51768001-51768013(-) ACAAGGAAACAT >mm10_chr11:51794888-51794899(-)::chr11:51794887-51794899(-) ACCAGGAAGCAT >mm10_chr11:51794947-51794958(+)::chr11:51794946-51794958(+) TCAAGGAAGCAA >mm10_chr11:51805421-51805432(+)::chr11:51805420-51805432(+) acaaggaactgg >mm10_chr11:51805835-51805846(-)::chr11:51805834-51805846(-) AGGAGGAAGAGA >mm10_chr11:51817887-51817898(+)::chr11:51817886-51817898(+) AGGAGGAAGTGG >mm10_chr11:51817903-51817914(-)::chr11:51817902-51817914(-) ACAAGGAACTAA >mm10_chr11:51931903-51931914(-)::chr11:51931902-51931914(-) gggaggaagtgt >mm10_chr11:51931931-51931942(-)::chr11:51931930-51931942(-) agcatgaagtaa >mm10_chr11:51931963-51931974(-)::chr11:51931962-51931974(-) aggaggaagagg >mm10_chr11:51936261-51936272(-)::chr11:51936260-51936272(-) AGGAGGAAGCAA >mm10_chr11:51936268-51936279(-)::chr11:51936267-51936279(-) TGCAGGAAGGAG >mm10_chr11:51940834-51940845(-)::chr11:51940833-51940845(-) AGAAGGAAGACA >mm10_chr11:51968232-51968243(-)::chr11:51968231-51968243(-) GAAAGGAAGTCC >mm10_chr11:51968297-51968308(-)::chr11:51968296-51968308(-) TAGAGGAAGAGT >mm10_chr11:52020959-52020970(-)::chr11:52020958-52020970(-) aggaggaagagg >mm10_chr11:52020992-52021003(-)::chr11:52020991-52021003(-) agaaggaaaggg >mm10_chr11:52021004-52021015(-)::chr11:52021003-52021015(-) aagaggaagagg >mm10_chr11:52021010-52021021(-)::chr11:52021009-52021021(-) aggaggaagagg >mm10_chr11:52021031-52021042(-)::chr11:52021030-52021042(-) aggaggaagaag >mm10_chr11:52077351-52077362(+)::chr11:52077350-52077362(+) TTAACGAAGTGA >mm10_chr11:52080053-52080064(-)::chr11:52080052-52080064(-) ACCAGGAAGGGC >mm10_chr11:52080093-52080104(+)::chr11:52080092-52080104(+) GTAAGGAACTAG >mm10_chr11:52080105-52080116(+)::chr11:52080104-52080116(+) AGGAGGAAGCAG >mm10_chr11:52098687-52098698(-)::chr11:52098686-52098698(-) CGAAGGAAGTGG >mm10_chr11:52224564-52224575(-)::chr11:52224563-52224575(-) atgcggaagtgt >mm10_chr11:52232054-52232065(-)::chr11:52232053-52232065(-) ACAACGAAGTAT >mm10_chr11:52306814-52306825(-)::chr11:52306813-52306825(-) aagaggaagagg >mm10_chr11:52306820-52306831(-)::chr11:52306819-52306831(-) aggaggaagagg >mm10_chr11:52319110-52319121(-)::chr11:52319109-52319121(-) ATGAGGAAGAGG >mm10_chr11:52333639-52333650(+)::chr11:52333638-52333650(+) CCGAGGAAGTCC >mm10_chr11:52338012-52338023(+)::chr11:52338011-52338023(+) CACAGGAAGTCA >mm10_chr11:52338075-52338086(+)::chr11:52338074-52338086(+) TGCAGGAAATGC >mm10_chr11:52354317-52354328(-)::chr11:52354316-52354328(-) tcaaggaaggac >mm10_chr11:52374718-52374729(-)::chr11:52374717-52374729(-) TAAAGGAAGCCA >mm10_chr11:52431564-52431575(+)::chr11:52431563-52431575(+) agaaggaaggga >mm10_chr11:52431581-52431592(+)::chr11:52431580-52431592(+) aggaggaagaga >mm10_chr11:52437000-52437011(-)::chr11:52436999-52437011(-) ttaaggaaggaa >mm10_chr11:52623091-52623102(+)::chr11:52623090-52623102(+) AGCAGGAAGAGA >mm10_chr11:52629974-52629985(-)::chr11:52629973-52629985(-) ACGAGGAAATGA >mm10_chr11:52639417-52639428(-)::chr11:52639416-52639428(-) AACAGGAAGCTG >mm10_chr11:52730055-52730066(+)::chr11:52730054-52730066(+) aacaggaagcag >mm10_chr11:52730062-52730073(+)::chr11:52730061-52730073(+) agcaggaagaga >mm10_chr11:52730077-52730088(+)::chr11:52730076-52730088(+) aagaggaagtgg >mm10_chr11:52730127-52730138(-)::chr11:52730126-52730138(-) tgaaggaagtat >mm10_chr11:52765878-52765889(-)::chr11:52765877-52765889(-) GACAGGAAGTCC >mm10_chr11:52785677-52785688(-)::chr11:52785676-52785688(-) ACAAGGAAATGC >mm10_chr11:52880160-52880171(+)::chr11:52880159-52880171(+) AGGAGGAAGTGG >mm10_chr11:52880199-52880210(+)::chr11:52880198-52880210(+) AGGAGGAAATAT >mm10_chr11:52919857-52919868(-)::chr11:52919856-52919868(-) AGCAGGAAATGC >mm10_chr11:52973894-52973905(-)::chr11:52973893-52973905(-) agcaggaagatt >mm10_chr11:52973907-52973918(-)::chr11:52973906-52973918(-) aggaggaagaaa >mm10_chr11:52973927-52973938(-)::chr11:52973926-52973938(-) GGAAGGaagctt >mm10_chr11:52973931-52973942(-)::chr11:52973930-52973942(-) GGAAGGAAGGaa >mm10_chr11:52973935-52973946(-)::chr11:52973934-52973946(-) AAAAGGAAGGAA >mm10_chr11:53052256-53052267(-)::chr11:53052255-53052267(-) AAGAGGAAGAGA >mm10_chr11:53079241-53079252(-)::chr11:53079240-53079252(-) AAAAGGAAGGAC >mm10_chr11:53103597-53103608(-)::chr11:53103596-53103608(-) gggaggaagaaa >mm10_chr11:53103617-53103628(-)::chr11:53103616-53103628(-) ggcaggaaatca >mm10_chr11:53128778-53128789(+)::chr11:53128777-53128789(+) gggaggaaggca >mm10_chr11:53128809-53128820(+)::chr11:53128808-53128820(+) aacaggaagtag >mm10_chr11:53206403-53206414(+)::chr11:53206402-53206414(+) ggcaggaagagg >mm10_chr11:53206417-53206428(+)::chr11:53206416-53206428(+) agcaggaagcag >mm10_chr11:53260231-53260242(+)::chr11:53260230-53260242(+) TCAAGGAAGCAG >mm10_chr11:53300569-53300580(+)::chr11:53300568-53300580(+) GAAAGGAAGTGG >mm10_chr11:53308233-53308244(-)::chr11:53308232-53308244(-) CTAAGGAAGTAG >mm10_chr11:53308264-53308275(-)::chr11:53308263-53308275(-) TGGAGGAAGAAC >mm10_chr11:53314856-53314867(-)::chr11:53314855-53314867(-) atgaggaagagc >mm10_chr11:53324313-53324324(-)::chr11:53324312-53324324(-) gccaggaagtaa >mm10_chr11:53376451-53376462(-)::chr11:53376450-53376462(-) ACAAGGAAGGAA >mm10_chr11:53376500-53376511(-)::chr11:53376499-53376511(-) CAAAGGAAGTGG >mm10_chr11:53384169-53384180(-)::chr11:53384168-53384180(-) agaaggaagaaa >mm10_chr11:53384176-53384187(-)::chr11:53384175-53384187(-) agcaggaagaag >mm10_chr11:53436471-53436482(-)::chr11:53436470-53436482(-) AGGAGGAAGCTA >mm10_chr11:53450195-53450206(+)::chr11:53450194-53450206(+) GGAAGGAAGAAG >mm10_chr11:53450202-53450213(+)::chr11:53450201-53450213(+) AGAAGGAAGTTT >mm10_chr11:53450786-53450797(+)::chr11:53450785-53450797(+) ACAAGGAAGATG >mm10_chr11:53451330-53451341(+)::chr11:53451329-53451341(+) ATGAGGAAGTGG >mm10_chr11:53493652-53493663(-)::chr11:53493651-53493663(-) ACAAGGAAGCAA >mm10_chr11:53497525-53497536(-)::chr11:53497524-53497536(-) GAAAGGAAGGAC >mm10_chr11:53520785-53520796(+)::chr11:53520784-53520796(+) TGAAGGAAGCTG >mm10_chr11:53537543-53537554(+)::chr11:53537542-53537554(+) AGGAGGAAGAGA >mm10_chr11:53592583-53592594(+)::chr11:53592582-53592594(+) GGAAGGAAGTAG >mm10_chr11:53622621-53622632(+)::chr11:53622620-53622632(+) TCGAGGAAGCCC >mm10_chr11:53622633-53622644(+)::chr11:53622632-53622644(+) TAGAGGAAGTGA >mm10_chr11:53706927-53706938(-)::chr11:53706926-53706938(-) GCGGGGAAGACG >mm10_chr11:53761949-53761960(+)::chr11:53761948-53761960(+) AGAAGGAAGTGG >mm10_chr11:53763613-53763624(-)::chr11:53763612-53763624(-) GGAGGGAAGCGG >mm10_chr11:53770340-53770351(+)::chr11:53770339-53770351(+) ACCAGGAAGTGG >mm10_chr11:53771526-53771537(-)::chr11:53771525-53771537(-) GGAAGGAAGAAG >mm10_chr11:53773705-53773716(-)::chr11:53773704-53773716(-) CAACGGAAGTTT >mm10_chr11:53773740-53773751(+)::chr11:53773739-53773751(+) TCGAGGAAGTGA >mm10_chr11:53783404-53783415(+)::chr11:53783403-53783415(+) AAGAGGAAGCGG >mm10_chr11:53783417-53783428(+)::chr11:53783416-53783428(+) ATGAGGAAATAA >mm10_chr11:53783460-53783471(+)::chr11:53783459-53783471(+) ACCCGGAAGTGA >mm10_chr11:53788440-53788451(-)::chr11:53788439-53788451(-) TCAAGGAAGGGT >mm10_chr11:53793001-53793012(+)::chr11:53793000-53793012(+) ttcaggaagttc >mm10_chr11:53797439-53797450(-)::chr11:53797438-53797450(-) TCAAGGAAATGA >mm10_chr11:53800330-53800341(-)::chr11:53800329-53800341(-) AAGAGGAAGAGA >mm10_chr11:53800336-53800347(-)::chr11:53800335-53800347(-) ACAAGGAAGAGG >mm10_chr11:53827754-53827765(-)::chr11:53827753-53827765(-) agaaggaagatc >mm10_chr11:53827768-53827779(-)::chr11:53827767-53827779(-) ggaaggaaggaa >mm10_chr11:53884907-53884918(+)::chr11:53884906-53884918(+) aaaaggaaGTAG >mm10_chr11:53884929-53884940(+)::chr11:53884928-53884940(+) AGGAGGAAGAAA >mm10_chr11:53892080-53892091(-)::chr11:53892079-53892091(-) TCCAGGAAGTGT >mm10_chr11:53898345-53898356(+)::chr11:53898344-53898356(+) ACAaggaagagg >mm10_chr11:53903548-53903559(+)::chr11:53903547-53903559(+) ACAAGGAAGAGG >mm10_chr11:53904215-53904226(+)::chr11:53904214-53904226(+) ACAAGGAAGAAA >mm10_chr11:53904224-53904235(+)::chr11:53904223-53904235(+) AAAAGGAACGGC >mm10_chr11:53904297-53904308(+)::chr11:53904296-53904308(+) TAGAGGAAGTGT >mm10_chr11:53928720-53928731(-)::chr11:53928719-53928731(-) CAAAGGAAGTGT >mm10_chr11:53937768-53937779(-)::chr11:53937767-53937779(-) aggaggaagagg >mm10_chr11:53937785-53937796(-)::chr11:53937784-53937796(-) aggaggaagagg >mm10_chr11:53937802-53937813(-)::chr11:53937801-53937813(-) aggaggaagagg >mm10_chr11:53937822-53937833(-)::chr11:53937821-53937833(-) tgcaggaagaag >mm10_chr11:53937853-53937864(-)::chr11:53937852-53937864(-) aggaggaagagg >mm10_chr11:53970263-53970274(+)::chr11:53970262-53970274(+) ACAAGGAAGTGA >mm10_chr11:54008102-54008113(+)::chr11:54008101-54008113(+) aagaggaaggag >mm10_chr11:54027261-54027272(+)::chr11:54027260-54027272(+) GCAAGGAAATAG >mm10_chr11:54028927-54028938(+)::chr11:54028926-54028938(+) GGGAGGAAGGGA >mm10_chr11:54065943-54065954(+)::chr11:54065942-54065954(+) TGGAGGAAGTTC >mm10_chr11:54076050-54076061(+)::chr11:54076049-54076061(+) agaagaaagtgc >mm10_chr11:54076074-54076085(+)::chr11:54076073-54076085(+) aaaaggaagtga >mm10_chr11:54089006-54089017(+)::chr11:54089005-54089017(+) ATCAGGAAGGCT >mm10_chr11:54108962-54108973(+)::chr11:54108961-54108973(+) AAGAGGAAGAAC >mm10_chr11:54122830-54122841(-)::chr11:54122829-54122841(-) GTAAGGAAGTAA >mm10_chr11:54156075-54156086(-)::chr11:54156074-54156086(-) aaggggaagtat >mm10_chr11:54161010-54161021(+)::chr11:54161009-54161021(+) tgagggaagtga >mm10_chr11:54198367-54198378(+)::chr11:54198366-54198378(+) aggaggaagagg >mm10_chr11:54226335-54226346(-)::chr11:54226334-54226346(-) tagaggaaatat >mm10_chr11:54327543-54327554(+)::chr11:54327542-54327554(+) AGACGGAAGATG >mm10_chr11:54390546-54390557(+)::chr11:54390545-54390557(+) GAGAGGAAGTAG >mm10_chr11:54390608-54390619(-)::chr11:54390607-54390619(-) AGGAGGAAGTTA >mm10_chr11:54464259-54464270(-)::chr11:54464258-54464270(-) ACAAGGAAGGCA >mm10_chr11:54464268-54464279(-)::chr11:54464267-54464279(-) TCAAGGAAGACA >mm10_chr11:54464306-54464317(-)::chr11:54464305-54464317(-) GAGAGGAAGAAA >mm10_chr11:54464804-54464815(-)::chr11:54464803-54464815(-) TTGAGGAAGTAG >mm10_chr11:54523195-54523206(-)::chr11:54523194-54523206(-) GGCAGGAAGCGG >mm10_chr11:54523209-54523220(-)::chr11:54523208-54523220(-) ACAAGGAAGTCC >mm10_chr11:54534009-54534020(+)::chr11:54534008-54534020(+) agcaggaagcag >mm10_chr11:54627138-54627149(+)::chr11:54627137-54627149(+) AAACGGAAGAGA >mm10_chr11:54627150-54627161(+)::chr11:54627149-54627161(+) TACAGGAAGTGA >mm10_chr11:54693941-54693952(+)::chr11:54693940-54693952(+) AACAGGAAGTGA >mm10_chr11:54709251-54709262(+)::chr11:54709250-54709262(+) ATAAGGAAATCT >mm10_chr11:54709265-54709276(+)::chr11:54709264-54709276(+) AACAGGAAGTTG >mm10_chr11:54801973-54801984(-)::chr11:54801972-54801984(-) GGGAGGAAGGAG >mm10_chr11:54802051-54802062(+)::chr11:54802050-54802062(+) AGGAGGAAGTTG >mm10_chr11:54805564-54805575(-)::chr11:54805563-54805575(-) accaggaaatca >mm10_chr11:54860705-54860716(+)::chr11:54860704-54860716(+) AGGAGGAAGTTC >mm10_chr11:54884577-54884588(+)::chr11:54884576-54884588(+) aacaggaagtgt >mm10_chr11:54885055-54885066(-)::chr11:54885054-54885066(-) CGCAGGAAATTG >mm10_chr11:54890603-54890614(+)::chr11:54890602-54890614(+) aggaggaagagg >mm10_chr11:54890621-54890632(+)::chr11:54890620-54890632(+) aggaggaagagg >mm10_chr11:54890642-54890653(+)::chr11:54890641-54890653(+) aggaggaagaag >mm10_chr11:54957158-54957169(+)::chr11:54957157-54957169(+) AGGAGGAAGTGG >mm10_chr11:54958822-54958833(+)::chr11:54958821-54958833(+) AGGAGGAAGTGG >mm10_chr11:54965396-54965407(+)::chr11:54965395-54965407(+) AGCAGGAAGTGa >mm10_chr11:55023361-55023372(+)::chr11:55023360-55023372(+) CCCAGGAAGTGA >mm10_chr11:55097946-55097957(-)::chr11:55097945-55097957(-) CGGAGGAAGGGG >mm10_chr11:55106918-55106929(+)::chr11:55106917-55106929(+) CTGAGGAAGTAG >mm10_chr11:55121604-55121615(+)::chr11:55121603-55121615(+) acaaggaagaga >mm10_chr11:55121631-55121642(+)::chr11:55121630-55121642(+) aagaggaaggaa >mm10_chr11:55121635-55121646(+)::chr11:55121634-55121646(+) ggaaggaagCCT >mm10_chr11:55132668-55132679(+)::chr11:55132667-55132679(+) TATAGGAAGTCT >mm10_chr11:55132715-55132726(+)::chr11:55132714-55132726(+) AGAAGGAAGTTG >mm10_chr11:55156656-55156667(+)::chr11:55156655-55156667(+) aggaggaagggg >mm10_chr11:55156668-55156679(+)::chr11:55156667-55156679(+) aagaggaagagg >mm10_chr11:55156686-55156697(+)::chr11:55156685-55156697(+) aggaggaagaAT >mm10_chr11:55158939-55158950(+)::chr11:55158938-55158950(+) AAAAGGAAATAA >mm10_chr11:55174167-55174178(+)::chr11:55174166-55174178(+) aggaggaaatag >mm10_chr11:55174176-55174187(+)::chr11:55174175-55174187(+) tagaggaagagg >mm10_chr11:55174188-55174199(+)::chr11:55174187-55174199(+) aggaggaagaag >mm10_chr11:55174200-55174211(+)::chr11:55174199-55174211(+) aaaaggaagagg >mm10_chr11:55174212-55174223(+)::chr11:55174211-55174223(+) aggaggaagacc >mm10_chr11:55174229-55174240(+)::chr11:55174228-55174240(+) aggaggaagaga >mm10_chr11:55174627-55174638(-)::chr11:55174626-55174638(-) ACAAGGAAGCTT >mm10_chr11:55256132-55256143(+)::chr11:55256131-55256143(+) TACAGGAAGTGC >mm10_chr11:55298289-55298300(-)::chr11:55298288-55298300(-) TGAAGGAAGTGC >mm10_chr11:55384006-55384017(-)::chr11:55384005-55384017(-) CGAAGGAAAGAG >mm10_chr11:55433100-55433111(+)::chr11:55433099-55433111(+) ACCAGGAAATGG >mm10_chr11:55433113-55433124(+)::chr11:55433112-55433124(+) AAGAGGAAGACA >mm10_chr11:55460220-55460231(+)::chr11:55460219-55460231(+) ACCAGGAAGAAG >mm10_chr11:55469156-55469167(+)::chr11:55469155-55469167(+) AAGAGGAAGAGA >mm10_chr11:55469177-55469188(+)::chr11:55469176-55469188(+) GAACGGAAGACG >mm10_chr11:55469301-55469312(-)::chr11:55469300-55469312(-) GCAAGGAAGCCC >mm10_chr11:55514877-55514888(+)::chr11:55514876-55514888(+) ATGAGGAAGGCC >mm10_chr11:55516412-55516423(-)::chr11:55516411-55516423(-) TCCAGGAAGTCT >mm10_chr11:55516444-55516455(-)::chr11:55516443-55516455(-) GGCAGGAAATAA >mm10_chr11:55529644-55529655(-)::chr11:55529643-55529655(-) GTAAGGAAGGAG >mm10_chr11:55649558-55649569(-)::chr11:55649557-55649569(-) ACAAGGAAGAAG >mm10_chr11:56655684-56655695(-)::chr11:56655683-56655695(-) tcagggaagtaa >mm10_chr11:57144182-57144193(-)::chr11:57144181-57144193(-) GAAAGGAATTTA >mm10_chr11:57144196-57144207(+)::chr11:57144195-57144207(+) AGAAAGAAGTAC >mm10_chr11:57433884-57433895(-)::chr11:57433883-57433895(-) TTAAGGAAGAGA >mm10_chr11:57434385-57434396(-)::chr11:57434384-57434396(-) TCAAGGAAGGAA >mm10_chr11:57434397-57434408(+)::chr11:57434396-57434408(+) ATAAGGAAGTGT >mm10_chr11:57445368-57445379(-)::chr11:57445367-57445379(-) GACAGGAAGTAC >mm10_chr11:57445401-57445412(-)::chr11:57445400-57445412(-) ACGAGGATGTGT >mm10_chr11:57445413-57445424(-)::chr11:57445412-57445424(-) GGCAGGAAGTGC >mm10_chr11:57518612-57518623(+)::chr11:57518611-57518623(+) TCGCGGAAGTAC >mm10_chr11:57518662-57518673(-)::chr11:57518661-57518673(-) ACCCGGAAGTAC >mm10_chr11:57522369-57522380(-)::chr11:57522368-57522380(-) ATAAGGAAGCAA >mm10_chr11:57563690-57563701(-)::chr11:57563689-57563701(-) GGCAGGAAGTGC >mm10_chr11:57563763-57563774(-)::chr11:57563762-57563774(-) ACAAGGAAATGT >mm10_chr11:57564863-57564874(-)::chr11:57564862-57564874(-) taaaggaactga >mm10_chr11:57622051-57622062(-)::chr11:57622050-57622062(-) ggcaggaagcag >mm10_chr11:57627521-57627532(+)::chr11:57627520-57627532(+) CGAAGGAAGGAA >mm10_chr11:57627525-57627536(+)::chr11:57627524-57627536(+) GGAAGGAAATGA >mm10_chr11:57652925-57652936(-)::chr11:57652924-57652936(-) GGTAGGAAGTGA >mm10_chr11:57652986-57652997(+)::chr11:57652985-57652997(+) TCCAGGAAGTGT >mm10_chr11:57657274-57657285(+)::chr11:57657273-57657285(+) AGAAGGAAGTCA >mm10_chr11:57658574-57658585(+)::chr11:57658573-57658585(+) GAAAGGAAGAGG >mm10_chr11:57658594-57658605(-)::chr11:57658593-57658605(-) AGAAGGAAGGCT >mm10_chr11:57660945-57660956(-)::chr11:57660944-57660956(-) AGAAGGAAGGCA >mm10_chr11:57664872-57664883(+)::chr11:57664871-57664883(+) AGGAGGAAGAGA >mm10_chr11:57673236-57673247(-)::chr11:57673235-57673247(-) GGCAGGAAGTGA >mm10_chr11:57674090-57674101(+)::chr11:57674089-57674101(+) ACAAGGAAATCT >mm10_chr11:57674113-57674124(-)::chr11:57674112-57674124(-) GAGAGGAAGTCA >mm10_chr11:57675907-57675918(+)::chr11:57675906-57675918(+) GAAAGGAAGAGG >mm10_chr11:57675920-57675931(+)::chr11:57675919-57675931(+) AAGAGGAAGCAG >mm10_chr11:57675963-57675974(+)::chr11:57675962-57675974(+) GGAAGGAAGTTA >mm10_chr11:57686266-57686277(-)::chr11:57686265-57686277(-) ACCAGGAAGAAA >mm10_chr11:57686282-57686293(+)::chr11:57686281-57686293(+) ATGAGGAAGTTG >mm10_chr11:57693357-57693368(-)::chr11:57693356-57693368(-) GACAGGAAGAAG >mm10_chr11:57697126-57697137(+)::chr11:57697125-57697137(+) AGGAGGAAGGGG >mm10_chr11:57697784-57697795(+)::chr11:57697783-57697795(+) ACAAGGAAGTCT >mm10_chr11:57710363-57710374(+)::chr11:57710362-57710374(+) AGAAGGAAACAA >mm10_chr11:57741579-57741590(+)::chr11:57741578-57741590(+) AGAAGGAAGAAG >mm10_chr11:57768457-57768468(-)::chr11:57768456-57768468(-) GTCAGGAAGCAT >mm10_chr11:57774025-57774036(+)::chr11:57774024-57774036(+) ATGAGGAAGGAA >mm10_chr11:57774029-57774040(+)::chr11:57774028-57774040(+) GGAAGGAAGCTG >mm10_chr11:57800737-57800748(-)::chr11:57800736-57800748(-) ACGAGGAAGTGC >mm10_chr11:57801466-57801477(+)::chr11:57801465-57801477(+) TCCAGGAAGTAG >mm10_chr11:57829054-57829065(-)::chr11:57829053-57829065(-) AGAGGGAAGGCG >mm10_chr11:57829067-57829078(+)::chr11:57829066-57829078(+) GGTAGGAAGTGT >mm10_chr11:57851113-57851124(-)::chr11:57851112-57851124(-) ggcaggaagcgg >mm10_chr11:57851150-57851161(+)::chr11:57851149-57851161(+) taaaggaattgc >mm10_chr11:57861052-57861063(+)::chr11:57861051-57861063(+) AGGCGGAAGTGG >mm10_chr11:57872312-57872323(-)::chr11:57872311-57872323(-) gcgaggaaggag >mm10_chr11:57879645-57879656(-)::chr11:57879644-57879656(-) aagaggaaggag >mm10_chr11:57879675-57879686(-)::chr11:57879674-57879686(-) aaaaggaagggg >mm10_chr11:57879682-57879693(-)::chr11:57879681-57879693(-) agaaggaaaaag >mm10_chr11:57879689-57879700(-)::chr11:57879688-57879700(-) agaaggaagaag >mm10_chr11:57879696-57879707(-)::chr11:57879695-57879707(-) agaaggaagaag >mm10_chr11:57879715-57879726(-)::chr11:57879714-57879726(-) agcaggaagcag >mm10_chr11:57895029-57895040(-)::chr11:57895028-57895040(-) ACAAGGAAATAA >mm10_chr11:57899545-57899556(-)::chr11:57899544-57899556(-) GGCAGGAAGTCT >mm10_chr11:57902201-57902212(-)::chr11:57902200-57902212(-) aggaggaagatg >mm10_chr11:57902219-57902230(-)::chr11:57902218-57902230(-) aggaggaagaga >mm10_chr11:57902234-57902245(-)::chr11:57902233-57902245(-) agaaggaagagg >mm10_chr11:57902252-57902263(-)::chr11:57902251-57902263(-) aagaggaagagg >mm10_chr11:57902258-57902269(-)::chr11:57902257-57902269(-) aggaggaagagg >mm10_chr11:57970627-57970638(-)::chr11:57970626-57970638(-) TCAAGGAAGCTG >mm10_chr11:57970682-57970693(-)::chr11:57970681-57970693(-) AGAAGGAAATTG >mm10_chr11:57979147-57979158(-)::chr11:57979146-57979158(-) gggaggaagtct >mm10_chr11:57980424-57980435(+)::chr11:57980423-57980435(+) TCCAGGAAGAGA >mm10_chr11:57980455-57980466(-)::chr11:57980454-57980466(-) GTGAGGAAGTTG >mm10_chr11:57981762-57981773(-)::chr11:57981761-57981773(-) agaaggaaatgt >mm10_chr11:57981782-57981793(+)::chr11:57981781-57981793(+) aCCAGGAAGCTG >mm10_chr11:58010364-58010375(+)::chr11:58010363-58010375(+) ATAAGGAAGAGG >mm10_chr11:58016813-58016824(-)::chr11:58016812-58016824(-) ACCAGGAAGGCC >mm10_chr11:58018762-58018773(-)::chr11:58018761-58018773(-) ATGAGGAAGAAT >mm10_chr11:58018821-58018832(+)::chr11:58018820-58018832(+) GAAAGGAAGGTA >mm10_chr11:58026948-58026959(-)::chr11:58026947-58026959(-) ACAAGGAAACTA >mm10_chr11:58031803-58031814(-)::chr11:58031802-58031814(-) AAGAGGAAATGA >mm10_chr11:58039403-58039414(+)::chr11:58039402-58039414(+) GGAGGGAAGTAA >mm10_chr11:58039425-58039436(+)::chr11:58039424-58039436(+) TCAAGGATGTAT >mm10_chr11:58070523-58070534(+)::chr11:58070522-58070534(+) ACCAGGAAGGAG >mm10_chr11:58104515-58104526(-)::chr11:58104514-58104526(-) AGGAGGAAGCAG >mm10_chr11:58104571-58104582(+)::chr11:58104570-58104582(+) ACAGGGAAGTGA >mm10_chr11:58280198-58280209(+)::chr11:58280197-58280209(+) AGGAGGAAGAgg >mm10_chr11:58280238-58280249(+)::chr11:58280237-58280249(+) gaaaggaaggat >mm10_chr11:58309037-58309048(+)::chr11:58309036-58309048(+) AGGAGGAAGCAG >mm10_chr11:58309044-58309055(+)::chr11:58309043-58309055(+) AGCAGGAAGCCC >mm10_chr11:58309063-58309074(+)::chr11:58309062-58309074(+) GTGAGGAAGGAA >mm10_chr11:58309590-58309601(+)::chr11:58309589-58309601(+) aggaggaagaag >mm10_chr11:58309602-58309613(+)::chr11:58309601-58309613(+) aagaggaagagg >mm10_chr11:58309608-58309619(+)::chr11:58309607-58309619(+) aagaggaagagC >mm10_chr11:58353083-58353094(+)::chr11:58353082-58353094(+) GAAAGGAAGTAA >mm10_chr11:58365021-58365032(+)::chr11:58365020-58365032(+) gGCAGGAAGGGC >mm10_chr11:58379383-58379394(+)::chr11:58379382-58379394(+) GTCAGGAAGGGC >mm10_chr11:58427381-58427392(-)::chr11:58427380-58427392(-) AACAGGAAGGAA >mm10_chr11:58427416-58427427(-)::chr11:58427415-58427427(-) ACAGGGAAGTTG >mm10_chr11:58555399-58555410(+)::chr11:58555398-58555410(+) agaaggaagaag >mm10_chr11:58613588-58613599(-)::chr11:58613587-58613599(-) ATCAGGAAGTGC >mm10_chr11:58699450-58699461(+)::chr11:58699449-58699461(+) GTCAGGAAGTTA >mm10_chr11:58796808-58796819(+)::chr11:58796807-58796819(+) aacaggaaggaa >mm10_chr11:58796812-58796823(+)::chr11:58796811-58796823(+) ggaaggaagtcc >mm10_chr11:58927824-58927835(-)::chr11:58927823-58927835(-) CAAAGGAACTAG >mm10_chr11:58978050-58978061(-)::chr11:58978049-58978061(-) AGCAGGAAGCTA >mm10_chr11:58978081-58978092(+)::chr11:58978080-58978092(+) CGGCGGAAGTCT >mm10_chr11:58979408-58979419(+)::chr11:58979407-58979419(+) AATAGGAAATGA >mm10_chr11:59089027-59089038(-)::chr11:59089026-59089038(-) acacggaagaca >mm10_chr11:59123853-59123864(-)::chr11:59123852-59123864(-) AAAGGGAAGTGG >mm10_chr11:59164142-59164153(-)::chr11:59164141-59164153(-) ACAAGGAAATGC >mm10_chr11:59169947-59169958(-)::chr11:59169946-59169958(-) AAGAGGAAGTCA >mm10_chr11:59183922-59183933(+)::chr11:59183921-59183933(+) ACAAGGAAAGGA >mm10_chr11:59201367-59201378(-)::chr11:59201366-59201378(-) TGAAGGAAGGGC >mm10_chr11:59201824-59201835(+)::chr11:59201823-59201835(+) ATAAGTAAGTTT >mm10_chr11:59217023-59217034(+)::chr11:59217022-59217034(+) AGGAGGAAGAAC >mm10_chr11:59217064-59217075(+)::chr11:59217063-59217075(+) GGAAGGAAATGA >mm10_chr11:59224609-59224620(+)::chr11:59224608-59224620(+) ACAAGGAAGAAG >mm10_chr11:59268843-59268854(+)::chr11:59268842-59268854(+) AAACGGAAATAA >mm10_chr11:59342268-59342279(+)::chr11:59342267-59342279(+) GAGAGGAAGAGG >mm10_chr11:59375668-59375679(+)::chr11:59375667-59375679(+) ACCAGGAAATGT >mm10_chr11:59426086-59426097(-)::chr11:59426085-59426097(-) GGTAGGAAGGAG >mm10_chr11:59505784-59505795(-)::chr11:59505783-59505795(-) CGAAGGAAAGAG >mm10_chr11:59506251-59506262(-)::chr11:59506250-59506262(-) TGCAGGAAGTGT >mm10_chr11:59518193-59518204(+)::chr11:59518192-59518204(+) AGAAGgaagttg >mm10_chr11:59519892-59519903(-)::chr11:59519891-59519903(-) CTGAGGAAGTTG >mm10_chr11:59538615-59538626(-)::chr11:59538614-59538626(-) GCTAGGAAGTCA >mm10_chr11:59618928-59618939(-)::chr11:59618927-59618939(-) aggaggaagtct >mm10_chr11:59653901-59653912(+)::chr11:59653900-59653912(+) actaggaagtcc >mm10_chr11:59664168-59664179(+)::chr11:59664167-59664179(+) GCCAGGAAGCAA >mm10_chr11:59708281-59708292(+)::chr11:59708280-59708292(+) CGGAGGAAGAAG >mm10_chr11:59708320-59708331(+)::chr11:59708319-59708331(+) GGGAGGAAGAAA >mm10_chr11:59708342-59708353(-)::chr11:59708341-59708353(-) AGAAGGGAGTAG >mm10_chr11:59731907-59731918(+)::chr11:59731906-59731918(+) GGAAAGAAGTAA >mm10_chr11:59758638-59758649(+)::chr11:59758637-59758649(+) AAAAGGAAGAGT >mm10_chr11:59809166-59809177(-)::chr11:59809165-59809177(-) TAAAGGAAGTCC >mm10_chr11:59839834-59839845(-)::chr11:59839833-59839845(-) CGGCGGAAGTGA >mm10_chr11:59867359-59867370(+)::chr11:59867358-59867370(+) AGCAGGAAATGG >mm10_chr11:59892428-59892439(+)::chr11:59892427-59892439(+) CCAAGGAAGTAA >mm10_chr11:59892456-59892467(+)::chr11:59892455-59892467(+) AAAGGGAAGTCA >mm10_chr11:59899927-59899938(-)::chr11:59899926-59899938(-) ACAAGGAAGTTG >mm10_chr11:59901085-59901096(-)::chr11:59901084-59901096(-) AGAAGGAAGTCT >mm10_chr11:59902548-59902559(+)::chr11:59902547-59902559(+) TCAGGGAAGTGG >mm10_chr11:59954380-59954391(-)::chr11:59954379-59954391(-) GCAGGGAAGTAC >mm10_chr11:59961398-59961409(+)::chr11:59961397-59961409(+) TTAAGGAAGCGG >mm10_chr11:59961698-59961709(-)::chr11:59961697-59961709(-) AGAAGGAAAGGG >mm10_chr11:60021357-60021368(+)::chr11:60021356-60021368(+) agaaggaagctg >mm10_chr11:60032225-60032236(+)::chr11:60032224-60032236(+) AGCAGGAAGAGC >mm10_chr11:60032791-60032802(-)::chr11:60032790-60032802(-) TGCAGGAAGTGA >mm10_chr11:60034465-60034476(+)::chr11:60034464-60034476(+) AGCAGGAAGTCC >mm10_chr11:60045508-60045519(-)::chr11:60045507-60045519(-) GGAAGGAAATGG >mm10_chr11:60045512-60045523(-)::chr11:60045511-60045523(-) AGCAGGAAGGAA >mm10_chr11:60046618-60046629(+)::chr11:60046617-60046629(+) CTCAGGAAGCGG >mm10_chr11:60074081-60074092(+)::chr11:60074080-60074092(+) GGCAGGAAGAGC >mm10_chr11:60091660-60091671(-)::chr11:60091659-60091671(-) AGAAGGAAGCTT >mm10_chr11:60108468-60108479(-)::chr11:60108467-60108479(-) ACAAGGAAGCCT >mm10_chr11:60109674-60109685(+)::chr11:60109673-60109685(+) ATAGGGAAGTGG >mm10_chr11:60197003-60197014(+)::chr11:60197002-60197014(+) AACAGGAAGTTC >mm10_chr11:60209441-60209452(-)::chr11:60209440-60209452(-) AGGAGGAAGGAG >mm10_chr11:60213440-60213451(-)::chr11:60213439-60213451(-) TCCAGGAAGAAC >mm10_chr11:60215726-60215737(+)::chr11:60215725-60215737(+) ACAGGGAAGTGG >mm10_chr11:60217071-60217082(+)::chr11:60217070-60217082(+) AAGAGGAAATGC >mm10_chr11:60217087-60217098(-)::chr11:60217086-60217098(-) AGGAGGAAGTGG >mm10_chr11:60224418-60224429(-)::chr11:60224417-60224429(-) TAGAGGAAGGAG >mm10_chr11:60237272-60237283(+)::chr11:60237271-60237283(+) GGCAGGAAGGGA >mm10_chr11:60260306-60260317(+)::chr11:60260305-60260317(+) aagaggaagagg >mm10_chr11:60260315-60260326(+)::chr11:60260314-60260326(+) aggaggaagagg >mm10_chr11:60260330-60260341(+)::chr11:60260329-60260341(+) aggaggaagagc >mm10_chr11:60260342-60260353(+)::chr11:60260341-60260353(+) aagaggaagagg >mm10_chr11:60260712-60260723(+)::chr11:60260711-60260723(+) ATCAGGAAGTAA >mm10_chr11:60260741-60260752(-)::chr11:60260740-60260752(-) TCAAGGAAGCCT >mm10_chr11:60333279-60333290(-)::chr11:60333278-60333290(-) GGGAGGAAGGGA >mm10_chr11:60337998-60338009(+)::chr11:60337997-60338009(+) TGAAGGAAGCAC >mm10_chr11:60346772-60346783(+)::chr11:60346771-60346783(+) TCCAGGAAGTGA >mm10_chr11:60360805-60360816(+)::chr11:60360804-60360816(+) tcaaggaagtgg >mm10_chr11:60360865-60360876(+)::chr11:60360864-60360876(+) GGCAGGAAGTTC >mm10_chr11:60440449-60440460(-)::chr11:60440448-60440460(-) TATAGGAAGGAA >mm10_chr11:60454583-60454594(-)::chr11:60454582-60454594(-) ACCAGGAAGCGC >mm10_chr11:60545670-60545681(+)::chr11:60545669-60545681(+) ACACGGAAGCGG >mm10_chr11:60550030-60550041(-)::chr11:60550029-60550041(-) ATCAGGAAGTCA >mm10_chr11:60559521-60559532(-)::chr11:60559520-60559532(-) ACCAGGAAATAA >mm10_chr11:60698982-60698993(+)::chr11:60698981-60698993(+) GACAGGAAGAAA >mm10_chr11:60705947-60705958(-)::chr11:60705946-60705958(-) AAGAGGAAGAGA >mm10_chr11:60707823-60707834(-)::chr11:60707822-60707834(-) AAGAGGAAGTGG >mm10_chr11:60777277-60777288(-)::chr11:60777276-60777288(-) GGGCGGAAGTTG >mm10_chr11:60784315-60784326(+)::chr11:60784314-60784326(+) AGCAGGAAGGAA >mm10_chr11:60803854-60803865(+)::chr11:60803853-60803865(+) ACCAGGAAGCTA >mm10_chr11:60810445-60810456(+)::chr11:60810444-60810456(+) TCAAGGAAGAAA >mm10_chr11:60811455-60811466(-)::chr11:60811454-60811466(-) GTAAGGAACCGC >mm10_chr11:60917413-60917424(+)::chr11:60917412-60917424(+) agaaggaaaagg >mm10_chr11:60935478-60935489(+)::chr11:60935477-60935489(+) TGAAGGCAGTAA >mm10_chr11:60935732-60935743(-)::chr11:60935731-60935743(-) CAGAGGAAGTTC >mm10_chr11:60935759-60935770(-)::chr11:60935758-60935770(-) AAGAGGAAGCAA >mm10_chr11:60936128-60936139(-)::chr11:60936127-60936139(-) ATGAGGAAGTAA >mm10_chr11:61109676-61109687(-)::chr11:61109675-61109687(-) GGGAGGAAGTAC >mm10_chr11:61109698-61109709(-)::chr11:61109697-61109709(-) AGGAGGAAGGAG >mm10_chr11:61109705-61109716(-)::chr11:61109704-61109716(-) AAAAGGAAGGAG >mm10_chr11:61142435-61142446(+)::chr11:61142434-61142446(+) GAGAGGAAGAGG >mm10_chr11:61142469-61142480(+)::chr11:61142468-61142480(+) ATGAGGAAGTGA >mm10_chr11:61150766-61150777(+)::chr11:61150765-61150777(+) AAAAGGAAGGGG >mm10_chr11:61158193-61158204(-)::chr11:61158192-61158204(-) AGCAGGAAGGAG >mm10_chr11:61160499-61160510(+)::chr11:61160498-61160510(+) ACTAGGAAGTGG >mm10_chr11:61160511-61160522(+)::chr11:61160510-61160522(+) GACAGGAAGTGC >mm10_chr11:61173145-61173156(-)::chr11:61173144-61173156(-) ACAAGGAAATGA >mm10_chr11:61267308-61267319(+)::chr11:61267307-61267319(+) ACGCGGAAGAAG >mm10_chr11:61268216-61268227(+)::chr11:61268215-61268227(+) CCCAGGAAGTTC >mm10_chr11:61268235-61268246(+)::chr11:61268234-61268246(+) ggaagaaagtaa >mm10_chr11:61268243-61268254(+)::chr11:61268242-61268254(+) gtaaggaaggaa >mm10_chr11:61268247-61268258(+)::chr11:61268246-61268258(+) ggaaggaaggaa >mm10_chr11:61268251-61268262(+)::chr11:61268250-61268262(+) ggaaggaaggaC >mm10_chr11:61283644-61283655(-)::chr11:61283643-61283655(-) AGGCGGAAGTAT >mm10_chr11:61437804-61437815(-)::chr11:61437803-61437815(-) agaaggaagaca >mm10_chr11:61437846-61437857(-)::chr11:61437845-61437857(-) aggaggaagagg >mm10_chr11:61457039-61457050(+)::chr11:61457038-61457050(+) agcaggaagctg >mm10_chr11:61481936-61481947(-)::chr11:61481935-61481947(-) gggaggaagaga >mm10_chr11:61481992-61482003(+)::chr11:61481991-61482003(+) agcaggaaggcc >mm10_chr11:61494658-61494669(-)::chr11:61494657-61494669(-) GGAAGGAAGAGG >mm10_chr11:61494662-61494673(-)::chr11:61494661-61494673(-) ACAAGGAAGGAA >mm10_chr11:61494714-61494725(+)::chr11:61494713-61494725(+) CGCCGGAAGTTC >mm10_chr11:61529517-61529528(+)::chr11:61529516-61529528(+) AGGAGGAAGTGC >mm10_chr11:61529549-61529560(-)::chr11:61529548-61529560(-) GGAGGGAAGTTG >mm10_chr11:61529573-61529584(-)::chr11:61529572-61529584(-) GGGAGGAAATGC >mm10_chr11:61531528-61531539(+)::chr11:61531527-61531539(+) CCTAGGAAGTTG >mm10_chr11:61533675-61533686(-)::chr11:61533674-61533686(-) TCCAGGAAGAAC >mm10_chr11:61564695-61564706(+)::chr11:61564694-61564706(+) AGAAGGAAATGA >mm10_chr11:61601449-61601460(-)::chr11:61601448-61601460(-) AGCAGGAAGTCC >mm10_chr11:61614624-61614635(+)::chr11:61614623-61614635(+) AGAAGGAAGGGG >mm10_chr11:61653269-61653280(+)::chr11:61653268-61653280(+) CAAAGGAAGTGG >mm10_chr11:61653289-61653300(+)::chr11:61653288-61653300(+) TGCAGGAAGCAG >mm10_chr11:61653296-61653307(+)::chr11:61653295-61653307(+) AGCAGGAAGCTG >mm10_chr11:61659242-61659253(+)::chr11:61659241-61659253(+) GCCAGGAAGGAA >mm10_chr11:61659246-61659257(+)::chr11:61659245-61659257(+) GGAAGGAAGGAA >mm10_chr11:61659250-61659261(+)::chr11:61659249-61659261(+) GGAAGGAAGGGC >mm10_chr11:61661176-61661187(+)::chr11:61661175-61661187(+) AGGAGGAAGACA >mm10_chr11:61666200-61666211(-)::chr11:61666199-61666211(-) AGGAGGAAGCAA >mm10_chr11:61669879-61669890(+)::chr11:61669878-61669890(+) TCAGGGAAGTAC >mm10_chr11:61669887-61669898(-)::chr11:61669886-61669898(-) CACAGGAAGTAC >mm10_chr11:61700163-61700174(-)::chr11:61700162-61700174(-) AGCAGGAAGCTG >mm10_chr11:61728236-61728247(+)::chr11:61728235-61728247(+) GACAGGAAGTCA >mm10_chr11:61744135-61744146(+)::chr11:61744134-61744146(+) ATGAGGAAGGCC >mm10_chr11:61760852-61760863(-)::chr11:61760851-61760863(-) ATGAGGAAGAGG >mm10_chr11:61766538-61766549(-)::chr11:61766537-61766549(-) AGAAGGAAGTGA >mm10_chr11:61774901-61774912(-)::chr11:61774900-61774912(-) ACAAGGAAGAGG >mm10_chr11:61774948-61774959(-)::chr11:61774947-61774959(-) ACCAGGAAGTGC >mm10_chr11:61822239-61822250(+)::chr11:61822238-61822250(+) GTAAGGATGTTT >mm10_chr11:61822268-61822279(-)::chr11:61822267-61822279(-) TGGAGGAAGTGA >mm10_chr11:61822277-61822288(-)::chr11:61822276-61822288(-) GTAAGGAATTGG >mm10_chr11:61862028-61862039(+)::chr11:61862027-61862039(+) AAGAGGAAGCAC >mm10_chr11:61872704-61872715(+)::chr11:61872703-61872715(+) CTGAGGAAGTAA >mm10_chr11:61882105-61882116(+)::chr11:61882104-61882116(+) AGGAGTAAGTAT >mm10_chr11:61945793-61945804(-)::chr11:61945792-61945804(-) ATAGGGAAGAAA >mm10_chr11:61945812-61945823(+)::chr11:61945811-61945823(+) AGAAGGAAAGAA >mm10_chr11:61945820-61945831(+)::chr11:61945819-61945831(+) AGAAAGAAGTGG >mm10_chr11:61973250-61973261(+)::chr11:61973249-61973261(+) ATAAGGAAACAC >mm10_chr11:61973301-61973312(+)::chr11:61973300-61973312(+) GGTAGGAAGAGC >mm10_chr11:61980902-61980913(-)::chr11:61980901-61980913(-) AGAAGGAAGCGA >mm10_chr11:62047153-62047164(-)::chr11:62047152-62047164(-) ttcaggaagtgg >mm10_chr11:62047196-62047207(-)::chr11:62047195-62047207(-) ggcaggaaggca >mm10_chr11:62141512-62141523(+)::chr11:62141511-62141523(+) CTAAGGAAATGG >mm10_chr11:62201319-62201330(+)::chr11:62201318-62201330(+) ATAAGGAACTCA >mm10_chr11:62201333-62201344(-)::chr11:62201332-62201344(-) CACGGGAAGTGA >mm10_chr11:62201508-62201519(+)::chr11:62201507-62201519(+) CCAAGGAAATAG >mm10_chr11:62314037-62314048(+)::chr11:62314036-62314048(+) ACCAGGAAGCGC >mm10_chr11:62342481-62342492(-)::chr11:62342480-62342492(-) CCGAGGAAGGGG >mm10_chr11:62342550-62342561(-)::chr11:62342549-62342561(-) attaggaagggt >mm10_chr11:62431918-62431929(-)::chr11:62431917-62431929(-) TTAAGGACGTAC >mm10_chr11:62478755-62478766(+)::chr11:62478754-62478766(+) GCGAGGAAATAG >mm10_chr11:62479127-62479138(+)::chr11:62479126-62479138(+) AAGAGGAAGCAA >mm10_chr11:62550487-62550498(-)::chr11:62550486-62550498(-) ACAAGGAAGGAG >mm10_chr11:62602641-62602652(+)::chr11:62602640-62602652(+) GAAAGGATGTCG >mm10_chr11:62628732-62628743(+)::chr11:62628731-62628743(+) agaaggaacttc >mm10_chr11:62628756-62628767(+)::chr11:62628755-62628767(+) aacaggaagaat >mm10_chr11:62649243-62649254(-)::chr11:62649242-62649254(-) AGCAGGAAGACT >mm10_chr11:62655386-62655397(-)::chr11:62655385-62655397(-) aagaggaaatag >mm10_chr11:62661128-62661139(-)::chr11:62661127-62661139(-) AACAGGAAGTAG >mm10_chr11:62661183-62661194(-)::chr11:62661182-62661194(-) GGAAGGATGTTA >mm10_chr11:62675875-62675886(+)::chr11:62675874-62675886(+) AACAGGAAGTTA >mm10_chr11:62802542-62802553(-)::chr11:62802541-62802553(-) AGGAGGAAGCTG >mm10_chr11:62817868-62817879(-)::chr11:62817867-62817879(-) ATGAGGAAGAGA >mm10_chr11:62820460-62820471(+)::chr11:62820459-62820471(+) GCGAGGAAGGAC >mm10_chr11:62821335-62821346(-)::chr11:62821334-62821346(-) AGAGGGAAATAC >mm10_chr11:62866813-62866824(+)::chr11:62866812-62866824(+) ttaaggaagttc >mm10_chr11:62866840-62866851(-)::chr11:62866839-62866851(-) atgaggaaattg >mm10_chr11:62885796-62885807(-)::chr11:62885795-62885807(-) ACAAGGAAATGG >mm10_chr11:62885852-62885863(-)::chr11:62885851-62885863(-) GTAAGGAAATCA >mm10_chr11:62983990-62984001(-)::chr11:62983989-62984001(-) AAGAGGAAGTCC >mm10_chr11:63060111-63060122(+)::chr11:63060110-63060122(+) GAAAGGAAGTCT >mm10_chr11:63060140-63060151(+)::chr11:63060139-63060151(+) GGAAGGAAGTTA >mm10_chr11:63090235-63090246(-)::chr11:63090234-63090246(-) ACACGGAAGATC >mm10_chr11:63091125-63091136(-)::chr11:63091124-63091136(-) ACAAGGAAGCAA >mm10_chr11:63091142-63091153(+)::chr11:63091141-63091153(+) ATAGGGAAGATA >mm10_chr11:63098714-63098725(-)::chr11:63098713-63098725(-) ACAAGGAAGCTT >mm10_chr11:63129520-63129531(-)::chr11:63129519-63129531(-) GCGAGGAAACGC >mm10_chr11:63129547-63129558(+)::chr11:63129546-63129558(+) GCCAGGAAGCAA >mm10_chr11:63130667-63130678(-)::chr11:63130666-63130678(-) TGCAGGAAGAGC >mm10_chr11:63136778-63136789(+)::chr11:63136777-63136789(+) tcaaggaaatcc >mm10_chr11:63136798-63136809(-)::chr11:63136797-63136809(-) tcaaggaagctg >mm10_chr11:63191188-63191199(-)::chr11:63191187-63191199(-) GGAAGGATGTTA >mm10_chr11:63191192-63191203(-)::chr11:63191191-63191203(-) ATGAGGAAGGAT >mm10_chr11:63229112-63229123(-)::chr11:63229111-63229123(-) GGAAGGAAGCCA >mm10_chr11:63229116-63229127(-)::chr11:63229115-63229127(-) AGCAGGAAGGAA >mm10_chr11:63286349-63286360(-)::chr11:63286348-63286360(-) aataggaagtgg >mm10_chr11:63286356-63286367(-)::chr11:63286355-63286367(-) ggcaggaaatag >mm10_chr11:63286384-63286395(-)::chr11:63286383-63286395(-) ttaaggaagcat >mm10_chr11:63441826-63441837(+)::chr11:63441825-63441837(+) ACAAGGAAGAAG >mm10_chr11:63441896-63441907(+)::chr11:63441895-63441907(+) CCAAGGAAGAGG >mm10_chr11:63466595-63466606(-)::chr11:63466594-63466606(-) ACTAGGAAGGAA >mm10_chr11:63544163-63544174(-)::chr11:63544162-63544174(-) ATGAGGAAGGCA >mm10_chr11:63618514-63618525(-)::chr11:63618513-63618525(-) TCCAGGAAGAGG >mm10_chr11:63618551-63618562(-)::chr11:63618550-63618562(-) GTAAGGAAGAAG >mm10_chr11:63633547-63633558(-)::chr11:63633546-63633558(-) GGAAGGAAATCT >mm10_chr11:63633551-63633562(-)::chr11:63633550-63633562(-) GGAAGGAAGGAA >mm10_chr11:63633555-63633566(-)::chr11:63633554-63633566(-) GGAAGGAAGGAA >mm10_chr11:63633559-63633570(-)::chr11:63633558-63633570(-) AGAAGGAAGGAA >mm10_chr11:63669273-63669284(+)::chr11:63669272-63669284(+) ATGAGGAAGTTG >mm10_chr11:63669311-63669322(-)::chr11:63669310-63669322(-) ACGAGGAAGACC >mm10_chr11:63742576-63742587(+)::chr11:63742575-63742587(+) AACAGGAAGAGG >mm10_chr11:63742592-63742603(+)::chr11:63742591-63742603(+) GCGAGGAAGCCC >mm10_chr11:63837925-63837936(-)::chr11:63837924-63837936(-) TAAAGGAAGGGA >mm10_chr11:63884338-63884349(+)::chr11:63884337-63884349(+) TGAAGGAAGAAA >mm10_chr11:63901115-63901126(+)::chr11:63901114-63901126(+) ACAAGGAAATCA >mm10_chr11:63903743-63903754(+)::chr11:63903742-63903754(+) AGAAGGAAACGC >mm10_chr11:63914318-63914329(+)::chr11:63914317-63914329(+) AAAATGAAGTTA >mm10_chr11:63922284-63922295(+)::chr11:63922283-63922295(+) CGCAGGAAGAGA >mm10_chr11:63952173-63952184(+)::chr11:63952172-63952184(+) gtaagggagtaa >mm10_chr11:63955478-63955489(-)::chr11:63955477-63955489(-) AACAGGAAGAAT >mm10_chr11:63956424-63956435(+)::chr11:63956423-63956435(+) GTGAGGAAGAAG >mm10_chr11:63956936-63956947(+)::chr11:63956935-63956947(+) CAAAGGAAGGAA >mm10_chr11:63956940-63956951(+)::chr11:63956939-63956951(+) GGAAGGAAGGAG >mm10_chr11:63957438-63957449(+)::chr11:63957437-63957449(+) AGTAGGAAGGGA >mm10_chr11:63957471-63957482(-)::chr11:63957470-63957482(-) AGAAGCAAGTGT >mm10_chr11:63991172-63991183(-)::chr11:63991171-63991183(-) ACAAGGAAGGGA >mm10_chr11:63992224-63992235(+)::chr11:63992223-63992235(+) GACAGGAAGAAG >mm10_chr11:64000037-64000048(-)::chr11:64000036-64000048(-) AGAAGGAAAGGT >mm10_chr11:64000094-64000105(+)::chr11:64000093-64000105(+) ACAAGGAAGGAG >mm10_chr11:64006780-64006791(-)::chr11:64006779-64006791(-) acagggaagttc >mm10_chr11:64027623-64027634(-)::chr11:64027622-64027634(-) GTAAGGAAGGCC >mm10_chr11:64047570-64047581(+)::chr11:64047569-64047581(+) ACAAGGAAGAGG >mm10_chr11:64047576-64047587(+)::chr11:64047575-64047587(+) AAGAGGAAGGAG >mm10_chr11:64049456-64049467(+)::chr11:64049455-64049467(+) aggaggaagaag >mm10_chr11:64049468-64049479(+)::chr11:64049467-64049479(+) aggaggaagagg >mm10_chr11:64049498-64049509(+)::chr11:64049497-64049509(+) aggaggaagaga >mm10_chr11:64059452-64059463(+)::chr11:64059451-64059463(+) TTAAGGAAGTTA >mm10_chr11:64079477-64079488(-)::chr11:64079476-64079488(-) AAGAGGAAGTCC >mm10_chr11:64079490-64079501(-)::chr11:64079489-64079501(-) AGCCGGAAGTGA >mm10_chr11:64106226-64106237(-)::chr11:64106225-64106237(-) AGGAGGAAGCAC >mm10_chr11:64106250-64106261(-)::chr11:64106249-64106261(-) CTACGGAAGTCT >mm10_chr11:64201083-64201094(-)::chr11:64201082-64201094(-) AGAAGGAAGGAA >mm10_chr11:64211038-64211049(+)::chr11:64211037-64211049(+) GAGAGGAAATAG >mm10_chr11:64219786-64219797(+)::chr11:64219785-64219797(+) aggaggaagaag >mm10_chr11:64219807-64219818(+)::chr11:64219806-64219818(+) gagaggaagagg >mm10_chr11:64219813-64219824(+)::chr11:64219812-64219824(+) aagaggaagagA >mm10_chr11:64219830-64219841(-)::chr11:64219829-64219841(-) AACAGGAAGGAA >mm10_chr11:64249278-64249289(-)::chr11:64249277-64249289(-) ACTAGGAAGTCT >mm10_chr11:64262689-64262700(-)::chr11:64262688-64262700(-) ACCAGGAAGTGG >mm10_chr11:64263060-64263071(-)::chr11:64263059-64263071(-) agcaggaactaa >mm10_chr11:64263087-64263098(-)::chr11:64263086-64263098(-) acagggaagtca >mm10_chr11:64263118-64263129(-)::chr11:64263117-64263129(-) ggcaggaaatgg >mm10_chr11:64273452-64273463(+)::chr11:64273451-64273463(+) agcaggaaggta >mm10_chr11:64317275-64317286(-)::chr11:64317274-64317286(-) GTAAGGAAGGAG >mm10_chr11:64340534-64340545(-)::chr11:64340533-64340545(-) agaaggaagagg >mm10_chr11:64340567-64340578(-)::chr11:64340566-64340578(-) agaaggaagagg >mm10_chr11:64340596-64340607(-)::chr11:64340595-64340607(-) aacaggaagaag >mm10_chr11:64353573-64353584(+)::chr11:64353572-64353584(+) GAAAGGAAGTGG >mm10_chr11:64353642-64353653(+)::chr11:64353641-64353653(+) GGTAGGAAGAGT >mm10_chr11:64435043-64435054(+)::chr11:64435042-64435054(+) AGGAGGAAGGAG >mm10_chr11:64435072-64435083(+)::chr11:64435071-64435083(+) GCGAGGAAGGGG >mm10_chr11:64496814-64496825(+)::chr11:64496813-64496825(+) AACAGGAAGTTG >mm10_chr11:64615311-64615322(+)::chr11:64615310-64615322(+) atgaggaagcag >mm10_chr11:64627239-64627250(-)::chr11:64627238-64627250(-) GTCAGGAAGAGG >mm10_chr11:64627247-64627258(-)::chr11:64627246-64627258(-) CCCAGGAAGTCA >mm10_chr11:64715536-64715547(-)::chr11:64715535-64715547(-) AGAAGGAAACAG >mm10_chr11:64715554-64715565(-)::chr11:64715553-64715565(-) AGAAGGAAGGAA >mm10_chr11:64715561-64715572(-)::chr11:64715560-64715572(-) GTAAGGAAGAAG >mm10_chr11:64715573-64715584(-)::chr11:64715572-64715584(-) agaaGGAATTAT >mm10_chr11:64835485-64835496(-)::chr11:64835484-64835496(-) tccaggaagagg >mm10_chr11:64957550-64957561(-)::chr11:64957549-64957561(-) AGGAGGAAATGC >mm10_chr11:64987190-64987201(+)::chr11:64987189-64987201(+) CTAAGGAAGTAA >mm10_chr11:64987200-64987211(+)::chr11:64987199-64987211(+) AACAGGAAGTAC >mm10_chr11:65001964-65001975(-)::chr11:65001963-65001975(-) ATGAGGAAGTGA >mm10_chr11:65038186-65038197(+)::chr11:65038185-65038197(+) agaaggaagcag >mm10_chr11:65038220-65038231(+)::chr11:65038219-65038231(+) acaaggaagaag >mm10_chr11:65040122-65040133(+)::chr11:65040121-65040133(+) tggaggaagggg >mm10_chr11:65050049-65050060(+)::chr11:65050048-65050060(+) aacaggaaggct >mm10_chr11:65050083-65050094(+)::chr11:65050082-65050094(+) AGAAGGAAATGA >mm10_chr11:65134845-65134856(+)::chr11:65134844-65134856(+) aggaggaagagg >mm10_chr11:65134860-65134871(+)::chr11:65134859-65134871(+) agaaggaagaag >mm10_chr11:65134875-65134886(+)::chr11:65134874-65134886(+) aggaggaagagg >mm10_chr11:65134896-65134907(+)::chr11:65134895-65134907(+) aggaggaagaag >mm10_chr11:65295948-65295959(-)::chr11:65295947-65295959(-) AGAAGGAAGCCC >mm10_chr11:65419853-65419864(+)::chr11:65419852-65419864(+) ACCAGGAAGACA >mm10_chr11:65605461-65605472(+)::chr11:65605460-65605472(+) ATAAGGAAGTAA >mm10_chr11:65660945-65660956(-)::chr11:65660944-65660956(-) ACAGGGAAGTCT >mm10_chr11:65660995-65661006(-)::chr11:65660994-65661006(-) AGAAGGAAGGTG >mm10_chr11:65675797-65675808(+)::chr11:65675796-65675808(+) AGAGGGAAGTAC >mm10_chr11:65675841-65675852(+)::chr11:65675840-65675852(+) AGAAGGAAGTTC >mm10_chr11:65688930-65688941(+)::chr11:65688929-65688941(+) TTAAGGAAGACA >mm10_chr11:65701135-65701146(+)::chr11:65701134-65701146(+) aaagggaagtag >mm10_chr11:65706436-65706447(-)::chr11:65706435-65706447(-) AGCAGGAAGCAA >mm10_chr11:65720128-65720139(-)::chr11:65720127-65720139(-) TGAAGGAAGGGC >mm10_chr11:65720142-65720153(-)::chr11:65720141-65720153(-) TAGAGGAAGTTC >mm10_chr11:65720161-65720172(+)::chr11:65720160-65720172(+) AACAGGAAGTTT >mm10_chr11:65722282-65722293(+)::chr11:65722281-65722293(+) ATCAGGAAGAAC >mm10_chr11:65777114-65777125(+)::chr11:65777113-65777125(+) TACAGGAAGGGT >mm10_chr11:65777157-65777168(+)::chr11:65777156-65777168(+) TACAGGAAGAAA >mm10_chr11:65779210-65779221(-)::chr11:65779209-65779221(-) AGAAGGAAGGAT >mm10_chr11:65806722-65806733(-)::chr11:65806721-65806733(-) GAAAGGAACTCA >mm10_chr11:65840104-65840115(-)::chr11:65840103-65840115(-) AGGAGGAAGCAG >mm10_chr11:65930254-65930265(-)::chr11:65930253-65930265(-) TACAGGAAGTCA >mm10_chr11:65930268-65930279(-)::chr11:65930267-65930279(-) ATGAGGAAGAAA >mm10_chr11:66036428-66036439(-)::chr11:66036427-66036439(-) GGGAGGAAGTGT >mm10_chr11:66036452-66036463(-)::chr11:66036451-66036463(-) TACAGGAAGGCC >mm10_chr11:66036461-66036472(-)::chr11:66036460-66036472(-) ACGAGGAAGTAC >mm10_chr11:66069216-66069227(+)::chr11:66069215-66069227(+) TGGAGGAAGAAA >mm10_chr11:66858511-66858522(+)::chr11:66858510-66858522(+) ACCAGGAAGCAG >mm10_chr11:66882389-66882400(-)::chr11:66882388-66882400(-) TGAAGGAACTTG >mm10_chr11:66958716-66958727(+)::chr11:66958715-66958727(+) ATAAGGAAGTGA >mm10_chr11:66958735-66958746(+)::chr11:66958734-66958746(+) ACTAGGAAGGAA >mm10_chr11:66958739-66958750(+)::chr11:66958738-66958750(+) GGAAGGAAGGGA >mm10_chr11:66998527-66998538(-)::chr11:66998526-66998538(-) GAAAGGAAGGGA >mm10_chr11:67052997-67053008(-)::chr11:67052996-67053008(-) GCCAGGAAGTTC >mm10_chr11:67053026-67053037(-)::chr11:67053025-67053037(-) GCAAGGAAGGGA >mm10_chr11:67053034-67053045(-)::chr11:67053033-67053045(-) ACCAGGAAGCAA >mm10_chr11:67081323-67081334(+)::chr11:67081322-67081334(+) TAAAGGAAGTAG >mm10_chr11:67106702-67106713(-)::chr11:67106701-67106713(-) GGCAGGAAGTGG >mm10_chr11:67106736-67106747(+)::chr11:67106735-67106747(+) GTAAGGAAGAGA >mm10_chr11:67106763-67106774(-)::chr11:67106762-67106774(-) GTAAGGAAGCAT >mm10_chr11:67129121-67129132(-)::chr11:67129120-67129132(-) AGAAGGAACTAG >mm10_chr11:67171862-67171873(+)::chr11:67171861-67171873(+) ACAAGGAAGCTT >mm10_chr11:67458358-67458369(+)::chr11:67458357-67458369(+) AAAAGGAAGTGT >mm10_chr11:67462442-67462453(-)::chr11:67462441-67462453(-) AAGAGGAAGAGG >mm10_chr11:67462448-67462459(-)::chr11:67462447-67462459(-) AAGAGGAAGAGG >mm10_chr11:67462454-67462465(-)::chr11:67462453-67462465(-) GACAGGAAGAGG >mm10_chr11:67462476-67462487(+)::chr11:67462475-67462487(+) TCTAGGAAGTCT >mm10_chr11:67462488-67462499(-)::chr11:67462487-67462499(-) GGGAGGAAGGGC >mm10_chr11:67482249-67482260(+)::chr11:67482248-67482260(+) AGGAGGAAGTTC >mm10_chr11:67482383-67482394(+)::chr11:67482382-67482394(+) CGAAGGAACTGC >mm10_chr11:67498414-67498425(+)::chr11:67498413-67498425(+) TGCAGGAAGTCA >mm10_chr11:67505867-67505878(+)::chr11:67505866-67505878(+) TTCAGGAAGTCA >mm10_chr11:67526753-67526764(-)::chr11:67526752-67526764(-) GGGAGGAAGAAG >mm10_chr11:67558997-67559008(-)::chr11:67558996-67559008(-) ACAAGGAAATGG >mm10_chr11:67581678-67581689(-)::chr11:67581677-67581689(-) AAAAGGAAGAGC >mm10_chr11:67589012-67589023(-)::chr11:67589011-67589023(-) AAGCGGAAGTAG >mm10_chr11:67604612-67604623(+)::chr11:67604611-67604623(+) AAAAGGAAGTAG >mm10_chr11:67604649-67604660(-)::chr11:67604648-67604660(-) TTGAGGAAGTGT >mm10_chr11:67618397-67618408(-)::chr11:67618396-67618408(-) TCCAGGAAGTAC >mm10_chr11:67637632-67637643(-)::chr11:67637631-67637643(-) aggaggaagaag >mm10_chr11:67637639-67637650(-)::chr11:67637638-67637650(-) aagaggaaggag >mm10_chr11:67667711-67667722(+)::chr11:67667710-67667722(+) GGCAGGAAGCAC >mm10_chr11:67667721-67667732(+)::chr11:67667720-67667732(+) ACACGGAAGTAG >mm10_chr11:67667751-67667762(-)::chr11:67667750-67667762(-) CAAAGGAAGCTA >mm10_chr11:67688431-67688442(-)::chr11:67688430-67688442(-) TGCAGGAAGGGA >mm10_chr11:67688441-67688452(-)::chr11:67688440-67688452(-) TCAAGGAAGCTG >mm10_chr11:67757077-67757088(+)::chr11:67757076-67757088(+) ATAAGGAACAAG >mm10_chr11:67822769-67822780(+)::chr11:67822768-67822780(+) ACAAGGAAGTTC >mm10_chr11:67825811-67825822(+)::chr11:67825810-67825822(+) GGAAGGAAGTGC >mm10_chr11:67882480-67882491(-)::chr11:67882479-67882491(-) AAAAGGAAGTAC >mm10_chr11:67911459-67911470(-)::chr11:67911458-67911470(-) ttaaggaaacgg >mm10_chr11:67914866-67914877(-)::chr11:67914865-67914877(-) ATCAGGAAGATC >mm10_chr11:67914887-67914898(-)::chr11:67914886-67914898(-) TGCAGGAAGATC >mm10_chr11:67919903-67919914(-)::chr11:67919902-67919914(-) GAAGGGAAGTGA >mm10_chr11:67919908-67919919(-)::chr11:67919907-67919919(-) AGGAGGAAGGGA >mm10_chr11:67919935-67919946(+)::chr11:67919934-67919946(+) GGAAGGAAGTGC >mm10_chr11:67966464-67966475(+)::chr11:67966463-67966475(+) AGCAGGAAGTGT >mm10_chr11:68008904-68008915(+)::chr11:68008903-68008915(+) TCGAGGAAGACC >mm10_chr11:68008926-68008937(+)::chr11:68008925-68008937(+) AGCAGGAAGTAG >mm10_chr11:68074532-68074543(-)::chr11:68074531-68074543(-) CATAGGAAGTAA >mm10_chr11:68077545-68077556(-)::chr11:68077544-68077556(-) AACAGGAAGAGG >mm10_chr11:68077563-68077574(+)::chr11:68077562-68077574(+) TACAGGAAGTCC >mm10_chr11:68099695-68099706(-)::chr11:68099694-68099706(-) GCCAGGAAGACA >mm10_chr11:68099752-68099763(-)::chr11:68099751-68099763(-) CTAAGGAAGACT >mm10_chr11:68099773-68099784(-)::chr11:68099772-68099784(-) CCAAGGATGTAT >mm10_chr11:68188335-68188346(+)::chr11:68188334-68188346(+) ATGAGGAAGTAA >mm10_chr11:68188862-68188873(+)::chr11:68188861-68188873(+) AGGAGGAAATGA >mm10_chr11:68197301-68197312(-)::chr11:68197300-68197312(-) TGGAGGAAGTGG >mm10_chr11:68204568-68204579(+)::chr11:68204567-68204579(+) AGCAGGAAGTGC >mm10_chr11:68222315-68222326(-)::chr11:68222314-68222326(-) AAACGGAAGATG >mm10_chr11:68222871-68222882(-)::chr11:68222870-68222882(-) TCTAGGAAGTCC >mm10_chr11:68222890-68222901(+)::chr11:68222889-68222901(+) GGCAGGACGTAC >mm10_chr11:68222898-68222909(+)::chr11:68222897-68222909(+) GTACGGACGTAC >mm10_chr11:68244809-68244820(+)::chr11:68244808-68244820(+) ggcaggaagcac >mm10_chr11:68261425-68261436(+)::chr11:68261424-68261436(+) TAAAGGAAGCAG >mm10_chr11:68263755-68263766(+)::chr11:68263754-68263766(+) acacggaagaag >mm10_chr11:68263762-68263773(+)::chr11:68263761-68263773(+) agaaggaagctc >mm10_chr11:68308463-68308474(-)::chr11:68308462-68308474(-) ACCCGGAAGTTC >mm10_chr11:68327529-68327540(+)::chr11:68327528-68327540(+) gacaggaaggac >mm10_chr11:68334522-68334533(-)::chr11:68334521-68334533(-) TGGAGGAAGTTA >mm10_chr11:68342491-68342502(-)::chr11:68342490-68342502(-) CAAAGGAAGAGG >mm10_chr11:68367326-68367337(+)::chr11:68367325-68367337(+) gggaggaaggga >mm10_chr11:68367334-68367345(+)::chr11:68367333-68367345(+) gggaggaaggaa >mm10_chr11:68367338-68367349(+)::chr11:68367337-68367349(+) ggaaggaaggag >mm10_chr11:68387425-68387436(+)::chr11:68387424-68387436(+) GTGAGGAAGGGG >mm10_chr11:68394968-68394979(-)::chr11:68394967-68394979(-) ATCAGGAAGGAT >mm10_chr11:68418050-68418061(-)::chr11:68418049-68418061(-) accaggaagttc >mm10_chr11:68432860-68432871(+)::chr11:68432859-68432871(+) AGGAGGAAGGAG >mm10_chr11:68432885-68432896(+)::chr11:68432884-68432896(+) AAAAGGAAGGCG >mm10_chr11:68474693-68474704(+)::chr11:68474692-68474704(+) ACAAGGAAGGGC >mm10_chr11:68474736-68474747(-)::chr11:68474735-68474747(-) GGAAGGAAGGGG >mm10_chr11:68485733-68485744(-)::chr11:68485732-68485744(-) GCAAGGAAGTCC >mm10_chr11:68499723-68499734(+)::chr11:68499722-68499734(+) CACAGGAAGTTT >mm10_chr11:68499732-68499743(-)::chr11:68499731-68499743(-) ACACGGAAGAAA >mm10_chr11:68503033-68503044(+)::chr11:68503032-68503044(+) ATGaggaagggg >mm10_chr11:68503049-68503060(+)::chr11:68503048-68503060(+) ggaaggaagggg >mm10_chr11:68503079-68503090(+)::chr11:68503078-68503090(+) GTGAGGAAGAAC >mm10_chr11:68514062-68514073(-)::chr11:68514061-68514073(-) gggaggaaggga >mm10_chr11:68522448-68522459(+)::chr11:68522447-68522459(+) AAGAGGAAGCAG >mm10_chr11:68522455-68522466(+)::chr11:68522454-68522466(+) AGCAGGAAGTGA >mm10_chr11:68556141-68556152(-)::chr11:68556140-68556152(-) TCCCGGAAGTGG >mm10_chr11:68591906-68591917(-)::chr11:68591905-68591917(-) ATGAGGAAGGGG >mm10_chr11:68657031-68657042(-)::chr11:68657030-68657042(-) aacaggaagtgA >mm10_chr11:68666594-68666605(-)::chr11:68666593-68666605(-) aagaggaaggga >mm10_chr11:68745547-68745558(-)::chr11:68745546-68745558(-) GGAAGGAAGAGT >mm10_chr11:68745581-68745592(+)::chr11:68745580-68745592(+) ACAAGGAAATTA >mm10_chr11:68755303-68755314(+)::chr11:68755302-68755314(+) ACTAGGAAGGGG >mm10_chr11:68798355-68798366(+)::chr11:68798354-68798366(+) AAGAGGAAGAAG >mm10_chr11:68858991-68859002(-)::chr11:68858990-68859002(-) TTCAGGAAGTAC >mm10_chr11:68865079-68865090(+)::chr11:68865078-68865090(+) AAAAGGAAGGTC >mm10_chr11:68871861-68871872(+)::chr11:68871860-68871872(+) AACAGGAAGAAG >mm10_chr11:68871907-68871918(+)::chr11:68871906-68871918(+) GACAGGAAGTGA >mm10_chr11:68888521-68888532(-)::chr11:68888520-68888532(-) GCAGGGAAGTGA >mm10_chr11:68890871-68890882(-)::chr11:68890870-68890882(-) GGCAGGAAGTGC >mm10_chr11:68901568-68901579(+)::chr11:68901567-68901579(+) AACCGGAAGTCG >mm10_chr11:68925953-68925964(+)::chr11:68925952-68925964(+) GAAAGGAAGATG >mm10_chr11:68925971-68925982(+)::chr11:68925970-68925982(+) ATGAGGAAGGAC >mm10_chr11:69008360-69008371(+)::chr11:69008359-69008371(+) ATCAGGAAGCGA >mm10_chr11:69008378-69008389(+)::chr11:69008377-69008389(+) GGAAGGACGCGT >mm10_chr11:69057833-69057844(+)::chr11:69057832-69057844(+) tcaaggaaggaa >mm10_chr11:69057837-69057848(+)::chr11:69057836-69057848(+) ggaaggaaggaa >mm10_chr11:69057841-69057852(+)::chr11:69057840-69057852(+) ggaaggaaggaa >mm10_chr11:69057845-69057856(+)::chr11:69057844-69057856(+) ggaaggaaggaa >mm10_chr11:69057849-69057860(+)::chr11:69057848-69057860(+) ggaaggaaggta >mm10_chr11:69057862-69057873(+)::chr11:69057861-69057873(+) aggaggaaatat >mm10_chr11:69059641-69059652(-)::chr11:69059640-69059652(-) CCCAGGAAGTTC >mm10_chr11:69059671-69059682(-)::chr11:69059670-69059682(-) GACAGGAAGAGC >mm10_chr11:69073155-69073166(+)::chr11:69073154-69073166(+) AGGCGGAAGTGA >mm10_chr11:69079061-69079072(-)::chr11:69079060-69079072(-) tggaggaagtga >mm10_chr11:69086675-69086686(+)::chr11:69086674-69086686(+) ACCAGGAAGAAC >mm10_chr11:69098634-69098645(-)::chr11:69098633-69098645(-) CACAGGAAGTGC >mm10_chr11:69098685-69098696(-)::chr11:69098684-69098696(-) AAAGGGAAGTGA >mm10_chr11:69099457-69099468(-)::chr11:69099456-69099468(-) GGAAGGAAGGCT >mm10_chr11:69118439-69118450(+)::chr11:69118438-69118450(+) GAGAGGAAGAGG >mm10_chr11:69134460-69134471(-)::chr11:69134459-69134471(-) ATGAGGAAGCAG >mm10_chr11:69154402-69154413(-)::chr11:69154401-69154413(-) ACCAGGAAGCAG >mm10_chr11:69245929-69245940(-)::chr11:69245928-69245940(-) AGCAGGAAGAAA >mm10_chr11:69364036-69364047(-)::chr11:69364035-69364047(-) AGAAGGAAGCAC >mm10_chr11:69366335-69366346(+)::chr11:69366334-69366346(+) TCAGGGAAGTGG >mm10_chr11:69366375-69366386(+)::chr11:69366374-69366386(+) GCGAGGAAGCCC >mm10_chr11:69371067-69371078(+)::chr11:69371066-69371078(+) AGAAGTAAGTTT >mm10_chr11:69409673-69409684(-)::chr11:69409672-69409684(-) AGGAGGAAGTGA >mm10_chr11:69410453-69410464(+)::chr11:69410452-69410464(+) ACCAGGAAATGC >mm10_chr11:69414160-69414171(-)::chr11:69414159-69414171(-) CGGAGGAAGAAG >mm10_chr11:69414194-69414205(+)::chr11:69414193-69414205(+) GCTAGGAAGTAG >mm10_chr11:69418323-69418334(+)::chr11:69418322-69418334(+) AGGAGGAAATGT >mm10_chr11:69418334-69418345(+)::chr11:69418333-69418345(+) TTAAGGAAGGGA >mm10_chr11:69429495-69429506(+)::chr11:69429494-69429506(+) GCGAGGAAGTGG >mm10_chr11:69479551-69479562(+)::chr11:69479550-69479562(+) GACAGGAAGTAG >mm10_chr11:69484321-69484332(-)::chr11:69484320-69484332(-) AAGAGGAAGTCC >mm10_chr11:69484361-69484372(+)::chr11:69484360-69484372(+) AACAGGAAGTCT >mm10_chr11:69502451-69502462(-)::chr11:69502450-69502462(-) GGGAGGAAATAA >mm10_chr11:69502466-69502477(-)::chr11:69502465-69502477(-) TGGAGGAAGTGA >mm10_chr11:69514402-69514413(+)::chr11:69514401-69514413(+) aagaggaagaag >mm10_chr11:69514420-69514431(+)::chr11:69514419-69514431(+) aggaggaagagg >mm10_chr11:69514426-69514437(+)::chr11:69514425-69514437(+) aagaggaagagg >mm10_chr11:69514432-69514443(+)::chr11:69514431-69514443(+) aagaggaagCAG >mm10_chr11:69535952-69535963(+)::chr11:69535951-69535963(+) aggaggaagagg >mm10_chr11:69535958-69535969(+)::chr11:69535957-69535969(+) aagaggaagaag >mm10_chr11:69586068-69586079(+)::chr11:69586067-69586079(+) AGGAGGAAGTAA >mm10_chr11:69586072-69586083(+)::chr11:69586071-69586083(+) GGAAGTAAGTGC >mm10_chr11:69586103-69586114(+)::chr11:69586102-69586114(+) AGAAGGCAGTAG >mm10_chr11:69606976-69606987(+)::chr11:69606975-69606987(+) aagaggaagagg >mm10_chr11:69606982-69606993(+)::chr11:69606981-69606993(+) aagaggaagagg >mm10_chr11:69606988-69606999(+)::chr11:69606987-69606999(+) aagaggaagaag >mm10_chr11:69632730-69632741(+)::chr11:69632729-69632741(+) GAAAGGAAGGGA >mm10_chr11:69662345-69662356(-)::chr11:69662344-69662356(-) GGGCGGAAGTGT >mm10_chr11:69684362-69684373(-)::chr11:69684361-69684373(-) GTAAGGAAATGC >mm10_chr11:69685867-69685878(-)::chr11:69685866-69685878(-) AACAGGAAGTCC >mm10_chr11:69686092-69686103(+)::chr11:69686091-69686103(+) AAGAGGAAGGGC >mm10_chr11:69686134-69686145(+)::chr11:69686133-69686145(+) AGGAGGAAGTCC >mm10_chr11:69709138-69709149(-)::chr11:69709137-69709149(-) TGCAGGAAGTCA >mm10_chr11:69805645-69805656(-)::chr11:69805644-69805656(-) ACCAGGAAGTTA >mm10_chr11:69849348-69849359(+)::chr11:69849347-69849359(+) TGCAGGAAGTTC >mm10_chr11:69849368-69849379(+)::chr11:69849367-69849379(+) TGCAGGAAGAGG >mm10_chr11:69849378-69849389(+)::chr11:69849377-69849389(+) GGCAGGAAGAGG >mm10_chr11:69849403-69849414(+)::chr11:69849402-69849414(+) AGAAGGAAATTA >mm10_chr11:69849434-69849445(+)::chr11:69849433-69849445(+) ACCAGGAAGTCA >mm10_chr11:69854803-69854814(-)::chr11:69854802-69854814(-) TGCAGGAAGTGC >mm10_chr11:69854811-69854822(+)::chr11:69854810-69854822(+) TGCAGGAAGCGA >mm10_chr11:69858905-69858916(-)::chr11:69858904-69858916(-) GGAAGGAAGGTT >mm10_chr11:69870495-69870506(-)::chr11:69870494-69870506(-) ataaggaaggga >mm10_chr11:69881441-69881452(-)::chr11:69881440-69881452(-) AGGAGGAAGTTG >mm10_chr11:69884425-69884436(+)::chr11:69884424-69884436(+) TTCAGGAAGTTT >mm10_chr11:69894820-69894831(+)::chr11:69894819-69894831(+) AGCAGGAAGAGT >mm10_chr11:69894852-69894863(+)::chr11:69894851-69894863(+) GGCAGGAAGTTG >mm10_chr11:69901723-69901734(-)::chr11:69901722-69901734(-) GGAAGGACGACG >mm10_chr11:69901727-69901738(-)::chr11:69901726-69901738(-) GAACGGAAGGAC >mm10_chr11:69913946-69913957(-)::chr11:69913945-69913957(-) AAAGGGAAGGCG >mm10_chr11:69921640-69921651(+)::chr11:69921639-69921651(+) CGAAGGAAACGC >mm10_chr11:69931157-69931168(+)::chr11:69931156-69931168(+) GGGAGGAAGTGG >mm10_chr11:69956481-69956492(-)::chr11:69956480-69956492(-) GGTAGGAAGAAA >mm10_chr11:69956489-69956500(-)::chr11:69956488-69956500(-) GGAAGGAAGGTA >mm10_chr11:69956493-69956504(-)::chr11:69956492-69956504(-) gaaAGGAAGGAA >mm10_chr11:69961714-69961725(-)::chr11:69961713-69961725(-) AGGAGGAAGAAG >mm10_chr11:69962041-69962052(-)::chr11:69962040-69962052(-) TTGAGGAAGTCC >mm10_chr11:69965312-69965323(-)::chr11:69965311-69965323(-) AAGAGGAAGAAA >mm10_chr11:69965352-69965363(-)::chr11:69965351-69965363(-) AACCGGAAGTCT >mm10_chr11:69980735-69980746(+)::chr11:69980734-69980746(+) CCGGGGAAGTGA >mm10_chr11:69980758-69980769(+)::chr11:69980757-69980769(+) TACCGGAAGTAA >mm10_chr11:69988142-69988153(-)::chr11:69988141-69988153(-) ggtaggaagtag >mm10_chr11:69988183-69988194(-)::chr11:69988182-69988194(-) gtcaggaagtca >mm10_chr11:69988191-69988202(-)::chr11:69988190-69988202(-) agagggaagtca >mm10_chr11:69991579-69991590(+)::chr11:69991578-69991590(+) ATAGGGAAGTGG >mm10_chr11:69998215-69998226(-)::chr11:69998214-69998226(-) ACCAGGAACTAG >mm10_chr11:69998283-69998294(-)::chr11:69998282-69998294(-) AGGAGGAAGAGA >mm10_chr11:69998296-69998307(+)::chr11:69998295-69998307(+) TTAAGGAAAGCG >mm10_chr11:70000506-70000517(+)::chr11:70000505-70000517(+) TCAAGGAAGTCA >mm10_chr11:70130019-70130030(+)::chr11:70130018-70130030(+) GGCAGGAAGCAA >mm10_chr11:70130051-70130062(+)::chr11:70130050-70130062(+) AGAAGGAAATAG >mm10_chr11:70236746-70236757(+)::chr11:70236745-70236757(+) TCAAGGAAATGC >mm10_chr11:70237980-70237991(+)::chr11:70237979-70237991(+) CGGAGGAAGACG >mm10_chr11:70238009-70238020(-)::chr11:70238008-70238020(-) AGCCGGAAGTGA >mm10_chr11:70238030-70238041(-)::chr11:70238029-70238041(-) CGACGGAAGTGG >mm10_chr11:70242656-70242667(-)::chr11:70242655-70242667(-) AGTAGGAAGTAA >mm10_chr11:70265416-70265427(-)::chr11:70265415-70265427(-) ATGGGGAAGTAG >mm10_chr11:70265468-70265479(+)::chr11:70265467-70265479(+) AGCAGGAAGTCT >mm10_chr11:70265481-70265492(-)::chr11:70265480-70265492(-) AAAGGGAAGATA >mm10_chr11:70266694-70266705(+)::chr11:70266693-70266705(+) aggaggaagagg >mm10_chr11:70266712-70266723(+)::chr11:70266711-70266723(+) aggaggaagaag >mm10_chr11:70266724-70266735(+)::chr11:70266723-70266735(+) aagaggaagagg >mm10_chr11:70280593-70280604(+)::chr11:70280592-70280604(+) AGGAGGAAGTCC >mm10_chr11:70289498-70289509(+)::chr11:70289497-70289509(+) AAGAGGAAGACA >mm10_chr11:70386832-70386843(-)::chr11:70386831-70386843(-) AGAAGGAAGTGT >mm10_chr11:70386852-70386863(-)::chr11:70386851-70386863(-) AGCAGGAAGGAG >mm10_chr11:70396483-70396494(+)::chr11:70396482-70396494(+) AACAGGAAGTCA >mm10_chr11:70437652-70437663(-)::chr11:70437651-70437663(-) ATGAGGAAGTGG >mm10_chr11:70472927-70472938(-)::chr11:70472926-70472938(-) AAAAGGAAGTTC >mm10_chr11:70477265-70477276(-)::chr11:70477264-70477276(-) acaaggaactga >mm10_chr11:70481655-70481666(-)::chr11:70481654-70481666(-) AGAAGGAACTTT >mm10_chr11:70481668-70481679(+)::chr11:70481667-70481679(+) TGGAGGAAGTTC >mm10_chr11:70540487-70540498(-)::chr11:70540486-70540498(-) ATGAGGAAGTTG >mm10_chr11:70552449-70552460(+)::chr11:70552448-70552460(+) ACCAGGAAGAAG >mm10_chr11:70552485-70552496(+)::chr11:70552484-70552496(+) TTAAGGAAGTGG >mm10_chr11:70579714-70579725(+)::chr11:70579713-70579725(+) gtcaggaagttc >mm10_chr11:70597471-70597482(+)::chr11:70597470-70597482(+) AGCAGGAAGAGC >mm10_chr11:70633085-70633096(+)::chr11:70633084-70633096(+) aaaaggaagggc >mm10_chr11:70640099-70640110(-)::chr11:70640098-70640110(-) TGACGGAAGTAG >mm10_chr11:70647887-70647898(-)::chr11:70647886-70647898(-) AACAGGAAGCGG >mm10_chr11:70654627-70654638(-)::chr11:70654626-70654638(-) AGCAGGAAGTGG >mm10_chr11:70667541-70667552(-)::chr11:70667540-70667552(-) AACAGGAAGAAT >mm10_chr11:70667598-70667609(-)::chr11:70667597-70667609(-) Aggaggaagagc >mm10_chr11:70720672-70720683(-)::chr11:70720671-70720683(-) AAGAGGAAGCAC >mm10_chr11:70737936-70737947(+)::chr11:70737935-70737947(+) AGCAGGAAGCAG >mm10_chr11:70737984-70737995(-)::chr11:70737983-70737995(-) AGGAGGAAGCAG >mm10_chr11:70739741-70739752(-)::chr11:70739740-70739752(-) GCCAGGAAGTCA >mm10_chr11:70739788-70739799(+)::chr11:70739787-70739799(+) TGAAGGAAGTAT >mm10_chr11:70740157-70740168(-)::chr11:70740156-70740168(-) agaaggaagaag >mm10_chr11:70740174-70740185(-)::chr11:70740173-70740185(-) aagaggaagagg >mm10_chr11:70740180-70740191(-)::chr11:70740179-70740191(-) aggaggaagagg >mm10_chr11:70754683-70754694(+)::chr11:70754682-70754694(+) GGCAGGAAGTAA >mm10_chr11:70754692-70754703(+)::chr11:70754691-70754703(+) TAAAGGAAGGTC >mm10_chr11:70829079-70829090(+)::chr11:70829078-70829090(+) AGAAGGAAGTGC >mm10_chr11:70844761-70844772(-)::chr11:70844760-70844772(-) AGCGGGAAATAA >mm10_chr11:70968701-70968712(+)::chr11:70968700-70968712(+) ACTCGGAAGTAT >mm10_chr11:70968746-70968757(+)::chr11:70968745-70968757(+) GAAAGGAAGAGC >mm10_chr11:71008454-71008465(+)::chr11:71008453-71008465(+) AAAAGGAAGTGT >mm10_chr11:71019665-71019676(+)::chr11:71019664-71019676(+) TGAAGGAAGTGG >mm10_chr11:71019675-71019686(+)::chr11:71019674-71019686(+) GGCGGGAAGCCG >mm10_chr11:71019892-71019903(+)::chr11:71019891-71019903(+) TGAAGGAAGCGC >mm10_chr11:71019900-71019911(-)::chr11:71019899-71019911(-) AACGGGAAGCGC >mm10_chr11:71052723-71052734(-)::chr11:71052722-71052734(-) GCCAGGAAGATT >mm10_chr11:71112378-71112389(-)::chr11:71112377-71112389(-) AACAGGAAGAGT >mm10_chr11:71512439-71512450(+)::chr11:71512438-71512450(+) AGGAGGAAGAGA >mm10_chr11:71512461-71512472(+)::chr11:71512460-71512472(+) AACAGGAAGGAA >mm10_chr11:71512465-71512476(+)::chr11:71512464-71512476(+) GGAAGGAAGAAA >mm10_chr11:71581940-71581951(+)::chr11:71581939-71581951(+) AACAGGAAATAG >mm10_chr11:71697373-71697384(-)::chr11:71697372-71697384(-) GTAAGAAAGTTA >mm10_chr11:71718261-71718272(-)::chr11:71718260-71718272(-) CCCAGGAAGTTC >mm10_chr11:71718276-71718287(+)::chr11:71718275-71718287(+) ACAAGGAAGTTT >mm10_chr11:71718294-71718305(-)::chr11:71718293-71718305(-) CCAAGGAAGAGT >mm10_chr11:71733942-71733953(+)::chr11:71733941-71733953(+) accaggaaggtg >mm10_chr11:71733957-71733968(+)::chr11:71733956-71733968(+) agcaggaagtgg >mm10_chr11:71733974-71733985(+)::chr11:71733973-71733985(+) ggcaggaagcgg >mm10_chr11:71792947-71792958(-)::chr11:71792946-71792958(-) accaggaagcag >mm10_chr11:71792979-71792990(-)::chr11:71792978-71792990(-) ttagggaagtga >mm10_chr11:71996109-71996120(+)::chr11:71996108-71996120(+) GGCAGGAAGTCC >mm10_chr11:72008787-72008798(+)::chr11:72008786-72008798(+) AAAAGGAAGGGG >mm10_chr11:72008821-72008832(+)::chr11:72008820-72008832(+) AAaaggaaggaa >mm10_chr11:72008825-72008836(+)::chr11:72008824-72008836(+) ggaaggaaggaa >mm10_chr11:72008829-72008840(+)::chr11:72008828-72008840(+) ggaaggaaggga >mm10_chr11:72008841-72008852(+)::chr11:72008840-72008852(+) agaaggaaAAAA >mm10_chr11:72011411-72011422(+)::chr11:72011410-72011422(+) GGCAGGAAGAAA >mm10_chr11:72011446-72011457(+)::chr11:72011445-72011457(+) GGAAGGAAGCGT >mm10_chr11:72011464-72011475(-)::chr11:72011463-72011475(-) ACCAGGAAGTTC >mm10_chr11:72037620-72037631(+)::chr11:72037619-72037631(+) AGAAGGAAGAAA >mm10_chr11:72037635-72037646(+)::chr11:72037634-72037646(+) AGAAGGAAGGTA >mm10_chr11:72126865-72126876(-)::chr11:72126864-72126876(-) aggaggaaATGG >mm10_chr11:72126880-72126891(-)::chr11:72126879-72126891(-) aagaggaagaag >mm10_chr11:72126886-72126897(-)::chr11:72126885-72126897(-) aggaggaagagg >mm10_chr11:72126904-72126915(-)::chr11:72126903-72126915(-) aagaggaagagg >mm10_chr11:72126910-72126921(-)::chr11:72126909-72126921(-) agaaggaagagg >mm10_chr11:72126922-72126933(-)::chr11:72126921-72126933(-) aggaggaagagg >mm10_chr11:72126934-72126945(-)::chr11:72126933-72126945(-) gggaggaagagg >mm10_chr11:72132928-72132939(-)::chr11:72132927-72132939(-) TAAAGGAAATGA >mm10_chr11:72132958-72132969(-)::chr11:72132957-72132969(-) GGGAGGAAGGAG >mm10_chr11:72163385-72163396(+)::chr11:72163384-72163396(+) AGAAGGAAGCTG >mm10_chr11:72167602-72167613(-)::chr11:72167601-72167613(-) ATAAGGATGACG >mm10_chr11:72167628-72167639(-)::chr11:72167627-72167639(-) agaaggaagtgc >mm10_chr11:72167640-72167651(-)::chr11:72167639-72167651(-) agaaggaagagg >mm10_chr11:72167653-72167664(-)::chr11:72167652-72167664(-) agaaggaaaaaa >mm10_chr11:72168348-72168359(+)::chr11:72168347-72168359(+) GAAAGGAAATTA >mm10_chr11:72212349-72212360(+)::chr11:72212348-72212360(+) acaaggaggtgt >mm10_chr11:72260097-72260108(+)::chr11:72260096-72260108(+) TTAAGGAACTGC >mm10_chr11:72281680-72281691(-)::chr11:72281679-72281691(-) aacaggaaatcc >mm10_chr11:72282577-72282588(-)::chr11:72282576-72282588(-) agaaggatgtct >mm10_chr11:72282584-72282595(-)::chr11:72282583-72282595(-) aagaggaagaag >mm10_chr11:72282590-72282601(-)::chr11:72282589-72282601(-) aagaggaagagg >mm10_chr11:72282596-72282607(-)::chr11:72282595-72282607(-) aagaggaagagg >mm10_chr11:72349021-72349032(+)::chr11:72349020-72349032(+) agaaggaagact >mm10_chr11:72349028-72349039(-)::chr11:72349027-72349039(-) ggaaggaagtct >mm10_chr11:72349032-72349043(-)::chr11:72349031-72349043(-) tgcaggaaggaa >mm10_chr11:72458131-72458142(-)::chr11:72458130-72458142(-) GGAAGGAAGCAG >mm10_chr11:72458135-72458146(-)::chr11:72458134-72458146(-) AGCAGGAAGGAA >mm10_chr11:72470806-72470817(-)::chr11:72470805-72470817(-) ATCAGGAAGACA >mm10_chr11:72470828-72470839(-)::chr11:72470827-72470839(-) TGGAGGAAGTGG >mm10_chr11:72482869-72482880(-)::chr11:72482868-72482880(-) GGAAGGAAGTGA >mm10_chr11:72484885-72484896(+)::chr11:72484884-72484896(+) AACAGGAAGAGC >mm10_chr11:72484901-72484912(+)::chr11:72484900-72484912(+) AGAAGGAAGCAG >mm10_chr11:72494330-72494341(-)::chr11:72494329-72494341(-) atgaggaagtca >mm10_chr11:72499408-72499419(+)::chr11:72499407-72499419(+) AGCAGGAAGAAG >mm10_chr11:72499471-72499482(+)::chr11:72499470-72499482(+) CTGAGGAAGTGC >mm10_chr11:72502052-72502063(+)::chr11:72502051-72502063(+) ATCAGGAAGGAC >mm10_chr11:72510838-72510849(+)::chr11:72510837-72510849(+) ctaaggaaatat >mm10_chr11:72519361-72519372(-)::chr11:72519360-72519372(-) ttatggaagtag >mm10_chr11:72519399-72519410(-)::chr11:72519398-72519410(-) gtgaggaagtgt >mm10_chr11:72522478-72522489(+)::chr11:72522477-72522489(+) accaggaaatat >mm10_chr11:72522780-72522791(+)::chr11:72522779-72522791(+) GCCGGGAAGTCC >mm10_chr11:72522790-72522801(-)::chr11:72522789-72522801(-) CAAGGGAAGTGG >mm10_chr11:72533195-72533206(+)::chr11:72533194-72533206(+) acaaggaagcgg >mm10_chr11:72533207-72533218(+)::chr11:72533206-72533218(+) aagaggaaataa >mm10_chr11:72533219-72533230(-)::chr11:72533218-72533230(-) gaaaggaagtgt >mm10_chr11:72540333-72540344(+)::chr11:72540332-72540344(+) AGAAGGAAGCTC >mm10_chr11:72540361-72540372(+)::chr11:72540360-72540372(+) GGGAGGAAGCAG >mm10_chr11:72540373-72540384(+)::chr11:72540372-72540384(+) ATGAGGAAGGCC >mm10_chr11:72540396-72540407(+)::chr11:72540395-72540407(+) ACAAGGATGTAG >mm10_chr11:72540682-72540693(+)::chr11:72540681-72540693(+) AGAAGGAAGAGA >mm10_chr11:72558890-72558901(+)::chr11:72558889-72558901(+) GGAAGGAAAATA >mm10_chr11:72558940-72558951(+)::chr11:72558939-72558951(+) ACCAGGAAGTCC >mm10_chr11:72570638-72570649(+)::chr11:72570637-72570649(+) CATAGGAAGTGG >mm10_chr11:72589424-72589435(+)::chr11:72589423-72589435(+) ATAAGGAAATTA >mm10_chr11:72589496-72589507(+)::chr11:72589495-72589507(+) TGAAGGAAATGG >mm10_chr11:72590756-72590767(+)::chr11:72590755-72590767(+) aggaggaagagg >mm10_chr11:72590762-72590773(+)::chr11:72590761-72590773(+) aagaggaagagg >mm10_chr11:72590777-72590788(+)::chr11:72590776-72590788(+) aggaggaagaag >mm10_chr11:72590789-72590800(+)::chr11:72590788-72590800(+) agaaggaaggag >mm10_chr11:72590801-72590812(+)::chr11:72590800-72590812(+) aggaggaagagg >mm10_chr11:72590810-72590821(+)::chr11:72590809-72590821(+) aggaggaagggg >mm10_chr11:72603710-72603721(+)::chr11:72603709-72603721(+) tacaggaaatta >mm10_chr11:72622244-72622255(-)::chr11:72622243-72622255(-) AAAAGGGAGTAT >mm10_chr11:72690473-72690484(-)::chr11:72690472-72690484(-) CCAAGGAAGAGT >mm10_chr11:72697489-72697500(+)::chr11:72697488-72697500(+) agaaggaagtgg >mm10_chr11:72697527-72697538(-)::chr11:72697526-72697538(-) ggaaggaagtca >mm10_chr11:72697531-72697542(-)::chr11:72697530-72697542(-) tgaaggaaggaa >mm10_chr11:72796159-72796170(+)::chr11:72796158-72796170(+) GCCAGGAAGCCG >mm10_chr11:72796166-72796177(+)::chr11:72796165-72796177(+) AGCCGGAAGTCA >mm10_chr11:72807195-72807206(-)::chr11:72807194-72807206(-) accaggaagagt >mm10_chr11:72840057-72840068(+)::chr11:72840056-72840068(+) AGAAGGAGGTGG >mm10_chr11:72901441-72901452(+)::chr11:72901440-72901452(+) ACAAGGAAAAGG >mm10_chr11:72907087-72907098(+)::chr11:72907086-72907098(+) AGGAGGAAATGT >mm10_chr11:72920573-72920584(+)::chr11:72920572-72920584(+) TCCAGGAAGGAC >mm10_chr11:72922484-72922495(+)::chr11:72922483-72922495(+) GTAAGGATGTGT >mm10_chr11:72940416-72940427(-)::chr11:72940415-72940427(-) tgcaggaagcag >mm10_chr11:72946543-72946554(-)::chr11:72946542-72946554(-) AACAGGAAGTGG >mm10_chr11:73004838-73004849(+)::chr11:73004837-73004849(+) aggaggaagtga >mm10_chr11:73006792-73006803(-)::chr11:73006791-73006803(-) TGCAGGAAGAGA >mm10_chr11:73016116-73016127(-)::chr11:73016115-73016127(-) GAGAGGAAGACG >mm10_chr11:73096057-73096068(-)::chr11:73096056-73096068(-) GAAAGGAACTAC >mm10_chr11:73096081-73096092(-)::chr11:73096080-73096092(-) AATAGGAAGCAA >mm10_chr11:73096088-73096099(-)::chr11:73096087-73096099(-) AAGAGGAAATAG >mm10_chr11:73168735-73168746(-)::chr11:73168734-73168746(-) CCAGGGAAGTAC >mm10_chr11:73172037-73172048(+)::chr11:73172036-73172048(+) TGCAGGAAGGAG >mm10_chr11:73175254-73175265(-)::chr11:73175253-73175265(-) tggaggaagTTG >mm10_chr11:73177730-73177741(+)::chr11:73177729-73177741(+) ATGAGGAAGCGT >mm10_chr11:73193324-73193335(+)::chr11:73193323-73193335(+) AGGAGGAAGTGC >mm10_chr11:73205456-73205467(+)::chr11:73205455-73205467(+) agcaggaagttc >mm10_chr11:73235380-73235391(-)::chr11:73235379-73235391(-) agcaggaagcag >mm10_chr11:73235387-73235398(-)::chr11:73235386-73235398(-) agcaggaagcag >mm10_chr11:73235394-73235405(-)::chr11:73235393-73235405(-) agcaggaagcag >mm10_chr11:73235401-73235412(-)::chr11:73235400-73235412(-) ACcaggaagcag >mm10_chr11:73235433-73235444(+)::chr11:73235432-73235444(+) CCAAGGAAGAGG >mm10_chr11:73236627-73236638(+)::chr11:73236626-73236638(+) AGGAGGAAGAGA >mm10_chr11:73279712-73279723(-)::chr11:73279711-73279723(-) AGACGGAAGTCT >mm10_chr11:73279724-73279735(-)::chr11:73279723-73279735(-) GGGAGGAAGGAC >mm10_chr11:73279760-73279771(-)::chr11:73279759-73279771(-) ATGAGGAAGTCT >mm10_chr11:73584846-73584857(-)::chr11:73584845-73584857(-) ATGAGGAAGGAG >mm10_chr11:73979171-73979182(+)::chr11:73979170-73979182(+) gggaggaaatta >mm10_chr11:74379816-74379827(+)::chr11:74379815-74379827(+) ataaggaaatga >mm10_chr11:74384876-74384887(+)::chr11:74384875-74384887(+) AACAGTAAGTAC >mm10_chr11:74405433-74405444(+)::chr11:74405432-74405444(+) GCAAGGAAGAAC >mm10_chr11:74412020-74412031(-)::chr11:74412019-74412031(-) aggaggaagagg >mm10_chr11:74415900-74415911(-)::chr11:74415899-74415911(-) GGAAGGAAACGA >mm10_chr11:74426760-74426771(-)::chr11:74426759-74426771(-) aggaggaagaag >mm10_chr11:74426776-74426787(-)::chr11:74426775-74426787(-) aggaggaagggg >mm10_chr11:74426829-74426840(+)::chr11:74426828-74426840(+) ACAAGGAAACAA >mm10_chr11:74438849-74438860(+)::chr11:74438848-74438860(+) TGAAGGAAGAGT >mm10_chr11:74438873-74438884(+)::chr11:74438872-74438884(+) GGCAGGAACTAC >mm10_chr11:74438904-74438915(-)::chr11:74438903-74438915(-) AATAGGAAGTGG >mm10_chr11:74438920-74438931(+)::chr11:74438919-74438931(+) ACCAGGAAGTCT >mm10_chr11:74457294-74457305(-)::chr11:74457293-74457305(-) TTAAGGAAGTTG >mm10_chr11:74457312-74457323(+)::chr11:74457311-74457323(+) ACAAGGAACTGC >mm10_chr11:74497506-74497517(-)::chr11:74497505-74497517(-) GCAGGGAAGTGG >mm10_chr11:74501064-74501075(-)::chr11:74501063-74501075(-) GACAGGAAGCAG >mm10_chr11:74501124-74501135(-)::chr11:74501123-74501135(-) TCCAGGAAGTGC >mm10_chr11:74526744-74526755(+)::chr11:74526743-74526755(+) GACAGGAAGGCA >mm10_chr11:74554721-74554732(+)::chr11:74554720-74554732(+) AGAAGGAAGGGG >mm10_chr11:74554753-74554764(+)::chr11:74554752-74554764(+) ACAAGGAAGCCA >mm10_chr11:74554773-74554784(+)::chr11:74554772-74554784(+) GGCAGGAAGGCC >mm10_chr11:74639932-74639943(+)::chr11:74639931-74639943(+) AAGAGGAAGCAG >mm10_chr11:74639950-74639961(+)::chr11:74639949-74639961(+) GGCAGGAAGCAA >mm10_chr11:74644224-74644235(-)::chr11:74644223-74644235(-) aggaggaagaag >mm10_chr11:74644269-74644280(-)::chr11:74644268-74644280(-) aggaggaagaag >mm10_chr11:74644291-74644302(-)::chr11:74644290-74644302(-) agaaGGAAAgag >mm10_chr11:74713665-74713676(-)::chr11:74713664-74713676(-) accaggaagtgc >mm10_chr11:74724407-74724418(+)::chr11:74724406-74724418(+) GCCAGGAAGAGG >mm10_chr11:74786624-74786635(+)::chr11:74786623-74786635(+) ATGAGGAAGTGA >mm10_chr11:74826414-74826425(-)::chr11:74826413-74826425(-) AAAAGGATGTGG >mm10_chr11:74831049-74831060(+)::chr11:74831048-74831060(+) GGGAGGAAGAGG >mm10_chr11:74831055-74831066(+)::chr11:74831054-74831066(+) AAGAGGAAGAAG >mm10_chr11:74831062-74831073(+)::chr11:74831061-74831073(+) AGAAGGAAGGAA >mm10_chr11:74831066-74831077(+)::chr11:74831065-74831077(+) GGAAGGAAGAAG >mm10_chr11:74831073-74831084(+)::chr11:74831072-74831084(+) AGAAGGCAGTGC >mm10_chr11:74834911-74834922(-)::chr11:74834910-74834922(-) AGGAGGAAGTGG >mm10_chr11:74834926-74834937(-)::chr11:74834925-74834937(-) ACAAGGAAGGAG >mm10_chr11:74837773-74837784(-)::chr11:74837772-74837784(-) AGGAGGAAGCTG >mm10_chr11:74839083-74839094(+)::chr11:74839082-74839094(+) CAAAGGAAGTTC >mm10_chr11:74839116-74839127(+)::chr11:74839115-74839127(+) ACGAGGAAGTGG >mm10_chr11:74867306-74867317(-)::chr11:74867305-74867317(-) ACTAGGAAGAAC >mm10_chr11:74868269-74868280(-)::chr11:74868268-74868280(-) AGAAGGAAGCAT >mm10_chr11:74924923-74924934(+)::chr11:74924922-74924934(+) GATAGGAAGTTT >mm10_chr11:74934548-74934559(-)::chr11:74934547-74934559(-) TCAAGGAAGCCG >mm10_chr11:74954985-74954996(+)::chr11:74954984-74954996(+) AAAGGGAAGTGG >mm10_chr11:74991206-74991217(-)::chr11:74991205-74991217(-) GACAGGAAGGGA >mm10_chr11:75031580-75031591(-)::chr11:75031579-75031591(-) ACAGGGAAGTAG >mm10_chr11:75101476-75101487(+)::chr11:75101475-75101487(+) AGCAGGAAGAAG >mm10_chr11:75102639-75102650(+)::chr11:75102638-75102650(+) AGGAGGAAGAGC >mm10_chr11:75116674-75116685(-)::chr11:75116673-75116685(-) AGAAGGAAACGG >mm10_chr11:75116708-75116719(-)::chr11:75116707-75116719(-) ATCAGGAAGTCA >mm10_chr11:75131115-75131126(+)::chr11:75131114-75131126(+) GTAAGGAACTCA >mm10_chr11:75131355-75131366(+)::chr11:75131354-75131366(+) TGAAGGAAGTTA >mm10_chr11:75131394-75131405(+)::chr11:75131393-75131405(+) GGAATGAAGTGA >mm10_chr11:75131520-75131531(+)::chr11:75131519-75131531(+) GTTAGGAAGTAC >mm10_chr11:75139011-75139022(-)::chr11:75139010-75139022(-) GGAAGGAAGTCG >mm10_chr11:75139015-75139026(-)::chr11:75139014-75139026(-) TGAAGGAAGGAA >mm10_chr11:75158780-75158791(-)::chr11:75158779-75158791(-) ACAAGGAAGAAG >mm10_chr11:75178826-75178837(+)::chr11:75178825-75178837(+) AGGCGGAAGTAG >mm10_chr11:75190112-75190123(+)::chr11:75190111-75190123(+) AAAAGGAAGCGC >mm10_chr11:75193768-75193779(+)::chr11:75193767-75193779(+) aggaggaagaga >mm10_chr11:75193796-75193807(+)::chr11:75193795-75193807(+) gggaggaaggga >mm10_chr11:75214820-75214831(+)::chr11:75214819-75214831(+) aggaggaagtag >mm10_chr11:75259152-75259163(-)::chr11:75259151-75259163(-) TCAAGGAAGTGA >mm10_chr11:75268092-75268103(+)::chr11:75268091-75268103(+) AGAAGGAACGAG >mm10_chr11:75301496-75301507(+)::chr11:75301495-75301507(+) AGCAGGAAGAAG >mm10_chr11:75301540-75301551(-)::chr11:75301539-75301551(-) TCCAGGAAGTCA >mm10_chr11:75309149-75309160(+)::chr11:75309148-75309160(+) acaaggaagagt >mm10_chr11:75340274-75340285(+)::chr11:75340273-75340285(+) ATAAGGAAGCGC >mm10_chr11:75368058-75368069(-)::chr11:75368057-75368069(-) AGCAGGAAGTTA >mm10_chr11:75374194-75374205(-)::chr11:75374193-75374205(-) cacaggaagtac >mm10_chr11:75382334-75382345(+)::chr11:75382333-75382345(+) AGAAGGAAACAG >mm10_chr11:75382341-75382352(+)::chr11:75382340-75382352(+) AACAGGAAATTC >mm10_chr11:75409904-75409915(+)::chr11:75409903-75409915(+) AACAGGAAATGG >mm10_chr11:75415192-75415203(-)::chr11:75415191-75415203(-) AGCAGGAAGCTG >mm10_chr11:75422090-75422101(-)::chr11:75422089-75422101(-) GCAAGGAAGGTG >mm10_chr11:75429190-75429201(-)::chr11:75429189-75429201(-) AGGAGGAAGTGG >mm10_chr11:75455190-75455201(+)::chr11:75455189-75455201(+) AAAAGGAAATAC >mm10_chr11:75460637-75460648(-)::chr11:75460636-75460648(-) TTAGGGAAGTGA >mm10_chr11:75461333-75461344(-)::chr11:75461332-75461344(-) AGAAGGAAGTAT >mm10_chr11:75510066-75510077(-)::chr11:75510065-75510077(-) CCCAGGAAGTTT >mm10_chr11:75533174-75533185(+)::chr11:75533173-75533185(+) GAGAGGAAGTGG >mm10_chr11:75541084-75541095(+)::chr11:75541083-75541095(+) ATAAGGAAGCCA >mm10_chr11:75541132-75541143(+)::chr11:75541131-75541143(+) AACAGGAAGCAG >mm10_chr11:75541146-75541157(+)::chr11:75541145-75541157(+) GAAGGGAAGTTA >mm10_chr11:75553016-75553027(+)::chr11:75553015-75553027(+) GGAAGGAAATCT >mm10_chr11:75566035-75566046(-)::chr11:75566034-75566046(-) AGAAGGAAAGGT >mm10_chr11:75567933-75567944(-)::chr11:75567932-75567944(-) ataaGGGAGTAC >mm10_chr11:75571370-75571381(+)::chr11:75571369-75571381(+) TGGAGGAAGTTG >mm10_chr11:75621583-75621594(-)::chr11:75621582-75621594(-) AGCAGGAACTAA >mm10_chr11:75630748-75630759(-)::chr11:75630747-75630759(-) GGGCGGAAGTTG >mm10_chr11:75634980-75634991(-)::chr11:75634979-75634991(-) GGCAGGAAGAAT >mm10_chr11:75635000-75635011(-)::chr11:75634999-75635011(-) ACCAGGAAGTAG >mm10_chr11:75635491-75635502(-)::chr11:75635490-75635502(-) AGAAGGAAATCT >mm10_chr11:75653515-75653526(+)::chr11:75653514-75653526(+) GGTAGGAAGAGC >mm10_chr11:75659208-75659219(+)::chr11:75659207-75659219(+) agCCGGAAGTAG >mm10_chr11:75687635-75687646(-)::chr11:75687634-75687646(-) GAAAGGAAGGAG >mm10_chr11:75687645-75687656(-)::chr11:75687644-75687656(-) AGAAGGAAGAGA >mm10_chr11:75687655-75687666(-)::chr11:75687654-75687666(-) GGCAGGAAGCAG >mm10_chr11:75693679-75693690(+)::chr11:75693678-75693690(+) AAGAGGAAGGAA >mm10_chr11:75693683-75693694(+)::chr11:75693682-75693694(+) GGAAGGAATTCA >mm10_chr11:75698005-75698016(-)::chr11:75698004-75698016(-) AGCAGGAAGTGC >mm10_chr11:75709896-75709907(-)::chr11:75709895-75709907(-) tggaggaagtca >mm10_chr11:75732903-75732914(+)::chr11:75732902-75732914(+) AGGCGGAAGTCC >mm10_chr11:75796641-75796652(-)::chr11:75796640-75796652(-) GCTAGGAAGTAA >mm10_chr11:75796702-75796713(-)::chr11:75796701-75796713(-) GAGAGGAAGTAA >mm10_chr11:75797617-75797628(-)::chr11:75797616-75797628(-) ATGAGGAAGTCA >mm10_chr11:75797634-75797645(-)::chr11:75797633-75797645(-) AACAGGAAGGAG >mm10_chr11:75841278-75841289(-)::chr11:75841277-75841289(-) AACAGGAAGTGG >mm10_chr11:75847256-75847267(+)::chr11:75847255-75847267(+) GGCAGGAAGAAG >mm10_chr11:75847263-75847274(+)::chr11:75847262-75847274(+) AGAAGGAAGTGG >mm10_chr11:75849811-75849822(-)::chr11:75849810-75849822(-) AACAGGAAGCCC >mm10_chr11:75857968-75857979(+)::chr11:75857967-75857979(+) CTGAGGAAGTGG >mm10_chr11:75860815-75860826(-)::chr11:75860814-75860826(-) TAAAGGAAGAGG >mm10_chr11:75861384-75861395(-)::chr11:75861383-75861395(-) TAGAGGAAGCCG >mm10_chr11:75895305-75895316(-)::chr11:75895304-75895316(-) AGCAGGAAGTGC >mm10_chr11:75895350-75895361(+)::chr11:75895349-75895361(+) AGGAGGAAGGAG >mm10_chr11:75919359-75919370(-)::chr11:75919358-75919370(-) AGGAGGAAGAAG >mm10_chr11:75922653-75922664(-)::chr11:75922652-75922664(-) GGAAGAAAGTGT >mm10_chr11:75922700-75922711(-)::chr11:75922699-75922711(-) AGAAGGAAATTT >mm10_chr11:75922707-75922718(-)::chr11:75922706-75922718(-) AGAAGGAAGAAG >mm10_chr11:75926669-75926680(+)::chr11:75926668-75926680(+) GGCAGGAAGTGG >mm10_chr11:75926699-75926710(+)::chr11:75926698-75926710(+) TGAAGGATGTTA >mm10_chr11:75934727-75934738(+)::chr11:75934726-75934738(+) aggaggaagggg >mm10_chr11:75934739-75934750(+)::chr11:75934738-75934750(+) aggaggaagaag >mm10_chr11:75934748-75934759(+)::chr11:75934747-75934759(+) aagaggaagagg >mm10_chr11:75934754-75934765(+)::chr11:75934753-75934765(+) aagaggaagagg >mm10_chr11:75934763-75934774(+)::chr11:75934762-75934774(+) aggaggaaggga >mm10_chr11:75934774-75934785(+)::chr11:75934773-75934785(+) aggaggaagaag >mm10_chr11:75935976-75935987(-)::chr11:75935975-75935987(-) ACCAGGAAGTGT >mm10_chr11:75936000-75936011(-)::chr11:75935999-75936011(-) TGAAGGAAGCTT >mm10_chr11:75936023-75936034(-)::chr11:75936022-75936034(-) TGAGGGAAGTGG >mm10_chr11:75965832-75965843(+)::chr11:75965831-75965843(+) TTAAGGAAGAGG >mm10_chr11:75999925-75999936(-)::chr11:75999924-75999936(-) ACTAGGAAGTTG >mm10_chr11:76033555-76033566(+)::chr11:76033554-76033566(+) ACCAGGAAGAGG >mm10_chr11:76033580-76033591(-)::chr11:76033579-76033591(-) ACAAGAAAGTGA >mm10_chr11:76033617-76033628(+)::chr11:76033616-76033628(+) GAAAGGAAGAGC >mm10_chr11:76065997-76066008(-)::chr11:76065996-76066008(-) GCAAGGAAGTAG >mm10_chr11:76130977-76130988(-)::chr11:76130976-76130988(-) AGCAGGAAGGTG >mm10_chr11:76143959-76143970(+)::chr11:76143958-76143970(+) TCAAGGAAGATA >mm10_chr11:76143995-76144006(-)::chr11:76143994-76144006(-) ACAAGGAAAGAC >mm10_chr11:76145303-76145314(-)::chr11:76145302-76145314(-) GGAAGAAAGTAG >mm10_chr11:76145307-76145318(-)::chr11:76145306-76145318(-) GGCAGGAAGAAA >mm10_chr11:76145316-76145327(-)::chr11:76145315-76145327(-) AACAGGAAGGGC >mm10_chr11:76146622-76146633(-)::chr11:76146621-76146633(-) TGAAGGAAGTTG >mm10_chr11:76153443-76153454(+)::chr11:76153442-76153454(+) AAGAGGAAGTTA >mm10_chr11:76177246-76177257(+)::chr11:76177245-76177257(+) ATGAGGAAGTGG >mm10_chr11:76177263-76177274(-)::chr11:76177262-76177274(-) GTTAGGAAGTGA >mm10_chr11:76177296-76177307(-)::chr11:76177295-76177307(-) AACAGGAAGAGA >mm10_chr11:76180283-76180294(+)::chr11:76180282-76180294(+) TACAGGAAATGT >mm10_chr11:76196450-76196461(-)::chr11:76196449-76196461(-) TCTAGGAAGTGC >mm10_chr11:76197336-76197347(-)::chr11:76197335-76197347(-) AGAAGGAGGTGA >mm10_chr11:76197391-76197402(-)::chr11:76197390-76197402(-) AAAAGGATGTGG >mm10_chr11:76197397-76197408(-)::chr11:76197396-76197408(-) AGAAGGAAAAGG >mm10_chr11:76197411-76197422(-)::chr11:76197410-76197422(-) GCAAGGAAGCGT >mm10_chr11:76215249-76215260(+)::chr11:76215248-76215260(+) ACCAGGAAGTGG >mm10_chr11:76217611-76217622(-)::chr11:76217610-76217622(-) GCACGGAAGCGG >mm10_chr11:76292964-76292975(+)::chr11:76292963-76292975(+) TTCAGGAAGTCC >mm10_chr11:76311129-76311140(+)::chr11:76311128-76311140(+) ACGGGGAAGTCC >mm10_chr11:76319234-76319245(+)::chr11:76319233-76319245(+) TGAAGGAAGAGA >mm10_chr11:76320068-76320079(+)::chr11:76320067-76320079(+) AGAAGGAAAAAG >mm10_chr11:76322624-76322635(+)::chr11:76322623-76322635(+) TCCAGGAAATAG >mm10_chr11:76363509-76363520(+)::chr11:76363508-76363520(+) TCCAGGAAGGTA >mm10_chr11:76363537-76363548(+)::chr11:76363536-76363548(+) CAAAGGAAGGAG >mm10_chr11:76364312-76364323(+)::chr11:76364311-76364323(+) AGGAGGAAGAGG >mm10_chr11:76373200-76373211(+)::chr11:76373199-76373211(+) GGCAGGAAGAAT >mm10_chr11:76373211-76373222(+)::chr11:76373210-76373222(+) TCAAGGAAGAAT >mm10_chr11:76385428-76385439(+)::chr11:76385427-76385439(+) AAGAGGAAGAAA >mm10_chr11:76405839-76405850(+)::chr11:76405838-76405850(+) AACAGGAAGTGC >mm10_chr11:76406844-76406855(+)::chr11:76406843-76406855(+) CAAAGGAAGTAG >mm10_chr11:76414453-76414464(+)::chr11:76414452-76414464(+) ATAGGGAAGTGG >mm10_chr11:76414491-76414502(-)::chr11:76414490-76414502(-) AGAAGGAAGAAG >mm10_chr11:76429871-76429882(+)::chr11:76429870-76429882(+) ATCAGGAAATTG >mm10_chr11:76457620-76457631(+)::chr11:76457619-76457631(+) ACAGGGAAGTAA >mm10_chr11:76457647-76457658(-)::chr11:76457646-76457658(-) TGTAGGAAGCGG >mm10_chr11:76467959-76467970(+)::chr11:76467958-76467970(+) TTCAGGAAGTTG >mm10_chr11:76479184-76479195(+)::chr11:76479183-76479195(+) AAGAGGAAGAGG >mm10_chr11:76482355-76482366(-)::chr11:76482354-76482366(-) AGGAGGAAGCAG >mm10_chr11:76482840-76482851(-)::chr11:76482839-76482851(-) TAGAGGAAGTGG >mm10_chr11:76487803-76487814(+)::chr11:76487802-76487814(+) atAAGGAAGGCC >mm10_chr11:76510268-76510279(-)::chr11:76510267-76510279(-) TGGAGGAAGTGA >mm10_chr11:76514841-76514852(+)::chr11:76514840-76514852(+) AGTAGGAAATAA >mm10_chr11:76523289-76523300(+)::chr11:76523288-76523300(+) TACAGGAAGAGC >mm10_chr11:76546956-76546967(+)::chr11:76546955-76546967(+) ATGAGGAAATAG >mm10_chr11:76561379-76561390(+)::chr11:76561378-76561390(+) accaggaaggat >mm10_chr11:76637512-76637523(-)::chr11:76637511-76637523(-) aagaggaagagg >mm10_chr11:76658584-76658595(-)::chr11:76658583-76658595(-) GGACGGAAGAGA >mm10_chr11:76672307-76672318(-)::chr11:76672306-76672318(-) GGAAGGAAGCGT >mm10_chr11:76672311-76672322(-)::chr11:76672310-76672322(-) GCAAGGAAGGAA >mm10_chr11:76672323-76672334(-)::chr11:76672322-76672334(-) GGAAGGAAGCAA >mm10_chr11:76672327-76672338(-)::chr11:76672326-76672338(-) GAGAGGAAGGAA >mm10_chr11:76745620-76745631(+)::chr11:76745619-76745631(+) ggaaggaaaata >mm10_chr11:76745659-76745670(+)::chr11:76745658-76745670(+) accaggaactac >mm10_chr11:76745668-76745679(+)::chr11:76745667-76745679(+) tacaggaagcac >mm10_chr11:76819840-76819851(-)::chr11:76819839-76819851(-) agcaggaaatga >mm10_chr11:76819912-76819923(+)::chr11:76819911-76819923(+) gaaagaaagtag >mm10_chr11:76836496-76836507(+)::chr11:76836495-76836507(+) GGCAGGAAGAGA >mm10_chr11:76847190-76847201(-)::chr11:76847189-76847201(-) GAAAGGAAAGCG >mm10_chr11:76856818-76856829(+)::chr11:76856817-76856829(+) ACAGGGAAGTTA >mm10_chr11:76890039-76890050(+)::chr11:76890038-76890050(+) AGGAGGAAATAC >mm10_chr11:76925816-76925827(+)::chr11:76925815-76925827(+) ACAAGGAACTTC >mm10_chr11:76925821-76925832(-)::chr11:76925820-76925832(-) TAAAGGAAGTTC >mm10_chr11:76974832-76974843(+)::chr11:76974831-76974843(+) AACAGGAAGGAA >mm10_chr11:76974836-76974847(+)::chr11:76974835-76974847(+) GGAAGGAAGGAA >mm10_chr11:76996559-76996570(-)::chr11:76996558-76996570(-) GGGAGGAAATGC >mm10_chr11:76996597-76996608(+)::chr11:76996596-76996608(+) GGAAGGAAGGCA >mm10_chr11:76997543-76997554(-)::chr11:76997542-76997554(-) ACCAGGAAGGGC >mm10_chr11:77019777-77019788(-)::chr11:77019776-77019788(-) AACAGGAAGAGA >mm10_chr11:77033422-77033433(-)::chr11:77033421-77033433(-) AACAGGAAGAAG >mm10_chr11:77033438-77033449(-)::chr11:77033437-77033449(-) ATAAGAAAGTAA >mm10_chr11:77040438-77040449(+)::chr11:77040437-77040449(+) TGCAGGAAGACC >mm10_chr11:77040467-77040478(-)::chr11:77040466-77040478(-) TCGAGGAAATCA >mm10_chr11:77041412-77041423(+)::chr11:77041411-77041423(+) aacaggaagtac >mm10_chr11:77041465-77041476(+)::chr11:77041464-77041476(+) acaaggaaacat >mm10_chr11:77042099-77042110(-)::chr11:77042098-77042110(-) accaggaagaaa >mm10_chr11:77078468-77078479(-)::chr11:77078467-77078479(-) AACCGGAAGTGC >mm10_chr11:77196944-77196955(+)::chr11:77196943-77196955(+) atcaggaagaag >mm10_chr11:77196982-77196993(+)::chr11:77196981-77196993(+) aacaggaagtga >mm10_chr11:77199904-77199915(-)::chr11:77199903-77199915(-) aaaaggaggTAG >mm10_chr11:77199928-77199939(-)::chr11:77199927-77199939(-) aggaggaagagg >mm10_chr11:77199946-77199957(-)::chr11:77199945-77199957(-) aggaggaagagg >mm10_chr11:77199964-77199975(-)::chr11:77199963-77199975(-) aggaggaagagg >mm10_chr11:77297473-77297484(-)::chr11:77297472-77297484(-) TAAAGGAAGTGC >mm10_chr11:77314088-77314099(-)::chr11:77314087-77314099(-) TAGAGGAAGTAA >mm10_chr11:77320898-77320909(-)::chr11:77320897-77320909(-) gggaggaagaag >mm10_chr11:77320918-77320929(-)::chr11:77320917-77320929(-) gggaggaagaaa >mm10_chr11:77320927-77320938(+)::chr11:77320926-77320938(+) cccaggaagtcc >mm10_chr11:77361197-77361208(-)::chr11:77361196-77361208(-) aagaggaagaag >mm10_chr11:77361209-77361220(-)::chr11:77361208-77361220(-) aggaggaagaag >mm10_chr11:77361222-77361233(-)::chr11:77361221-77361233(-) aggaggaagaag >mm10_chr11:77361231-77361242(-)::chr11:77361230-77361242(-) agaaggaagagg >mm10_chr11:77361271-77361282(-)::chr11:77361270-77361282(-) aagaggaagaga >mm10_chr11:77361280-77361291(-)::chr11:77361279-77361291(-) Gagaggaagaag >mm10_chr11:77369369-77369380(-)::chr11:77369368-77369380(-) TCAAGGAAGAAC >mm10_chr11:77369400-77369411(+)::chr11:77369399-77369411(+) GGCAGGAAATGA >mm10_chr11:77372475-77372486(-)::chr11:77372474-77372486(-) TGAAGGAACCGG >mm10_chr11:77372501-77372512(-)::chr11:77372500-77372512(-) ggaaggaaggaa >mm10_chr11:77372505-77372516(-)::chr11:77372504-77372516(-) ggaaggaaggaa >mm10_chr11:77372509-77372520(-)::chr11:77372508-77372520(-) gagaggaaggaa >mm10_chr11:77410076-77410087(-)::chr11:77410075-77410087(-) ACCAGGAAGTCA >mm10_chr11:77411425-77411436(-)::chr11:77411424-77411436(-) CAAAGGAAATAC >mm10_chr11:77442924-77442935(-)::chr11:77442923-77442935(-) AAGAGGAAGTGT >mm10_chr11:77442965-77442976(-)::chr11:77442964-77442976(-) CTCAGGAAGTCG >mm10_chr11:77445052-77445063(-)::chr11:77445051-77445063(-) AAAAGGAACTTC >mm10_chr11:77456844-77456855(-)::chr11:77456843-77456855(-) AAGAGGAAGTAC >mm10_chr11:77458745-77458756(-)::chr11:77458744-77458756(-) AGAAGGAAATAG >mm10_chr11:77487369-77487380(-)::chr11:77487368-77487380(-) ACCAGGAAGCAC >mm10_chr11:77487842-77487853(-)::chr11:77487841-77487853(-) CTGAGGAAGTCC >mm10_chr11:77487897-77487908(-)::chr11:77487896-77487908(-) GACAGGACGTAA >mm10_chr11:77493286-77493297(-)::chr11:77493285-77493297(-) TGCAGGAAGCAG >mm10_chr11:77629737-77629748(+)::chr11:77629736-77629748(+) AGAAGGAAAACA >mm10_chr11:77679032-77679043(-)::chr11:77679031-77679043(-) gaaaggaagacc >mm10_chr11:77680266-77680277(-)::chr11:77680265-77680277(-) aaaaggaagtGT >mm10_chr11:77680286-77680297(-)::chr11:77680285-77680297(-) tttaggaagtgg >mm10_chr11:77739806-77739817(+)::chr11:77739805-77739817(+) tggaggaagagg >mm10_chr11:77739828-77739839(+)::chr11:77739827-77739839(+) gagaggaagaca >mm10_chr11:77752082-77752093(+)::chr11:77752081-77752093(+) AGCAGGAAGGAA >mm10_chr11:77765025-77765036(-)::chr11:77765024-77765036(-) ACCAGGAAGATC >mm10_chr11:77783794-77783805(+)::chr11:77783793-77783805(+) GTAGGGAAGTGC >mm10_chr11:77784438-77784449(+)::chr11:77784437-77784449(+) TGGAGGAAGTGA >mm10_chr11:77785940-77785951(+)::chr11:77785939-77785951(+) AACAGGAAGGGG >mm10_chr11:77793453-77793464(+)::chr11:77793452-77793464(+) AACAGGAAGTGC >mm10_chr11:77826008-77826019(+)::chr11:77826007-77826019(+) GTGAGGAAGTTG >mm10_chr11:77826321-77826332(-)::chr11:77826320-77826332(-) GGCAGGAAGAAC >mm10_chr11:77845831-77845842(+)::chr11:77845830-77845842(+) GGGAGGAAGCAC >mm10_chr11:77845843-77845854(+)::chr11:77845842-77845854(+) AGCAGGAAGTGT >mm10_chr11:77873307-77873318(+)::chr11:77873306-77873318(+) aggaggaagagg >mm10_chr11:77873313-77873324(+)::chr11:77873312-77873324(+) aagaggaAGCAG >mm10_chr11:77886103-77886114(-)::chr11:77886102-77886114(-) ggtaggaaggaa >mm10_chr11:77886111-77886122(-)::chr11:77886110-77886122(-) agaaggaaggta >mm10_chr11:77894426-77894437(+)::chr11:77894425-77894437(+) GCGAGGAAGAAC >mm10_chr11:77987626-77987637(-)::chr11:77987625-77987637(-) ACAAGGAAGTAG >mm10_chr11:78030414-78030425(-)::chr11:78030413-78030425(-) AGGAGGAAGAGA >mm10_chr11:78030434-78030445(-)::chr11:78030433-78030445(-) AAGAGGAAGTAT >mm10_chr11:78031038-78031049(+)::chr11:78031037-78031049(+) ATCAGGAAATAC >mm10_chr11:78031112-78031123(+)::chr11:78031111-78031123(+) TTCAGGAAGTTC >mm10_chr11:78044783-78044794(+)::chr11:78044782-78044794(+) ATCAGGAAGCTG >mm10_chr11:78053940-78053951(-)::chr11:78053939-78053951(-) GTAAGGAAGGGA >mm10_chr11:78053963-78053974(+)::chr11:78053962-78053974(+) GTAAGGAAGGAG >mm10_chr11:78067747-78067758(-)::chr11:78067746-78067758(-) TTCAGGAAGTGT >mm10_chr11:78095625-78095636(-)::chr11:78095624-78095636(-) GGAAGAAAGTGC >mm10_chr11:78105148-78105159(-)::chr11:78105147-78105159(-) TAAAGGAAGCTA >mm10_chr11:78105169-78105180(-)::chr11:78105168-78105180(-) GACAGGAAGAGG >mm10_chr11:78105428-78105439(-)::chr11:78105427-78105439(-) gccaggaagttc >mm10_chr11:78121511-78121522(+)::chr11:78121510-78121522(+) ACAGGGAAGTCC >mm10_chr11:78127007-78127018(+)::chr11:78127006-78127018(+) aggaggaagttt >mm10_chr11:78134477-78134488(-)::chr11:78134476-78134488(-) accaggaagtcc >mm10_chr11:78152476-78152487(+)::chr11:78152475-78152487(+) GGGAGGAAGCAG >mm10_chr11:78162065-78162076(-)::chr11:78162064-78162076(-) GGAAGGAAGCAG >mm10_chr11:78162069-78162080(-)::chr11:78162068-78162080(-) AAGAGGAAGGAA >mm10_chr11:78162394-78162405(+)::chr11:78162393-78162405(+) CAGAGGAAGTTG >mm10_chr11:78164147-78164158(+)::chr11:78164146-78164158(+) GCCAGGAAGGGA >mm10_chr11:78166893-78166904(+)::chr11:78166892-78166904(+) AAAGGGAAGTTT >mm10_chr11:78166919-78166930(+)::chr11:78166918-78166930(+) TCCAGGAAGTAT >mm10_chr11:78169275-78169286(+)::chr11:78169274-78169286(+) GGAAGGAAGCTT >mm10_chr11:78193094-78193105(-)::chr11:78193093-78193105(-) ctcaggaagtaa >mm10_chr11:78222648-78222659(+)::chr11:78222647-78222659(+) CCCAGGAAGTGT >mm10_chr11:78222698-78222709(-)::chr11:78222697-78222709(-) GACAGGAAATAC >mm10_chr11:78247105-78247116(+)::chr11:78247104-78247116(+) GAAAGGAAGTGA >mm10_chr11:78265894-78265905(+)::chr11:78265893-78265905(+) GAGAGGAAATAG >mm10_chr11:78265906-78265917(-)::chr11:78265905-78265917(-) ACACGGAAATAC >mm10_chr11:78320620-78320631(+)::chr11:78320619-78320631(+) CAAAGGAAGCAG >mm10_chr11:78345312-78345323(+)::chr11:78345311-78345323(+) TGGAGGAAATGG >mm10_chr11:78397065-78397076(+)::chr11:78397064-78397076(+) TGGAGGAAGGGT >mm10_chr11:78405272-78405283(+)::chr11:78405271-78405283(+) agaaggaagaag >mm10_chr11:78405290-78405301(+)::chr11:78405289-78405301(+) aggaggaagaga >mm10_chr11:78405306-78405317(+)::chr11:78405305-78405317(+) aggaggaagaag >mm10_chr11:78405322-78405333(+)::chr11:78405321-78405333(+) aagaggaaggga >mm10_chr11:78416928-78416939(-)::chr11:78416927-78416939(-) AGAAGGAAGGGG >mm10_chr11:78421855-78421866(-)::chr11:78421854-78421866(-) TAAAGGAAATGC >mm10_chr11:78422808-78422819(-)::chr11:78422807-78422819(-) ATGAGGAAGCGG >mm10_chr11:78468103-78468114(-)::chr11:78468102-78468114(-) cagaggaagtct >mm10_chr11:78475437-78475448(+)::chr11:78475436-78475448(+) ttcaggaagtgg >mm10_chr11:78475461-78475472(+)::chr11:78475460-78475472(+) taaaggaagtaa >mm10_chr11:78512092-78512103(+)::chr11:78512091-78512103(+) GCAAGGAAGACG >mm10_chr11:78521008-78521019(+)::chr11:78521007-78521019(+) GGGAGGAAGTCC >mm10_chr11:78530812-78530823(+)::chr11:78530811-78530823(+) ttaaggaagaac >mm10_chr11:78531268-78531279(+)::chr11:78531267-78531279(+) CAAAGGAAGCCA >mm10_chr11:78531283-78531294(+)::chr11:78531282-78531294(+) TCCAGGAAGGAA >mm10_chr11:78535401-78535412(+)::chr11:78535400-78535412(+) TCAAGGAAGCAC >mm10_chr11:78535463-78535474(+)::chr11:78535462-78535474(+) CTGAGGAAGTCA >mm10_chr11:78536331-78536342(-)::chr11:78536330-78536342(-) CACGGGAAGTAG >mm10_chr11:78685625-78685636(+)::chr11:78685624-78685636(+) acaaggaagaag >mm10_chr11:78685640-78685651(+)::chr11:78685639-78685651(+) aggaggaagaag >mm10_chr11:78685664-78685675(+)::chr11:78685663-78685675(+) aggaggaagaag >mm10_chr11:78731020-78731031(+)::chr11:78731019-78731031(+) TTAAGGAAGGCG >mm10_chr11:78731031-78731042(+)::chr11:78731030-78731042(+) GTGAGGAAGGAG >mm10_chr11:78772135-78772146(+)::chr11:78772134-78772146(+) GACAGGAAGTGC >mm10_chr11:78874661-78874672(-)::chr11:78874660-78874672(-) agaaggaagagg >mm10_chr11:78874700-78874711(-)::chr11:78874699-78874711(-) tggaggaaatga >mm10_chr11:78884626-78884637(-)::chr11:78884625-78884637(-) GGAAGGAAGTCC >mm10_chr11:78884630-78884641(-)::chr11:78884629-78884641(-) AGCAGGAAGGAA >mm10_chr11:78885108-78885119(+)::chr11:78885107-78885119(+) acaaggaagtag >mm10_chr11:78885126-78885137(+)::chr11:78885125-78885137(+) ggcaggaaggaa >mm10_chr11:78885154-78885165(+)::chr11:78885153-78885165(+) acgcggaagttc >mm10_chr11:78885189-78885200(+)::chr11:78885188-78885200(+) acaaagaagtag >mm10_chr11:78922579-78922590(+)::chr11:78922578-78922590(+) TGGAGGAAGAAG >mm10_chr11:78922586-78922597(+)::chr11:78922585-78922597(+) AGAAGGAAGGGG >mm10_chr11:78984953-78984964(+)::chr11:78984952-78984964(+) TGAGGGAAGTGT >mm10_chr11:79017291-79017302(+)::chr11:79017290-79017302(+) AGGAGGAAGGAA >mm10_chr11:79017295-79017306(+)::chr11:79017294-79017306(+) GGAAGGAAGCCC >mm10_chr11:79018787-79018798(+)::chr11:79018786-79018798(+) CTAAGGAAGATC >mm10_chr11:79083259-79083270(-)::chr11:79083258-79083270(-) AGAAGGAAGTCT >mm10_chr11:79135864-79135875(+)::chr11:79135863-79135875(+) AAGAGGAAGCGG >mm10_chr11:79135873-79135884(-)::chr11:79135872-79135884(-) GAAAGGAAGCCG >mm10_chr11:79135901-79135912(-)::chr11:79135900-79135912(-) AAAAGGAAATGC >mm10_chr11:79138483-79138494(+)::chr11:79138482-79138494(+) ATGAGGAAGAGA >mm10_chr11:79214222-79214233(+)::chr11:79214221-79214233(+) GAAAGGAAATGA >mm10_chr11:79214286-79214297(+)::chr11:79214285-79214297(+) TACAGGAACTAG >mm10_chr11:79214305-79214316(+)::chr11:79214304-79214316(+) GGCGGGAAGTGA >mm10_chr11:79229909-79229920(+)::chr11:79229908-79229920(+) GAAAGGAAGGAA >mm10_chr11:79229913-79229924(+)::chr11:79229912-79229924(+) GGAAGGAAGAGC >mm10_chr11:79253323-79253334(-)::chr11:79253322-79253334(-) GTGAGGAAGAAC >mm10_chr11:79253360-79253371(+)::chr11:79253359-79253371(+) GAAAGGAAGTGT >mm10_chr11:79291401-79291412(-)::chr11:79291400-79291412(-) aagaggaagaga >mm10_chr11:79291407-79291418(-)::chr11:79291406-79291418(-) aagaggaagagg >mm10_chr11:79291413-79291424(-)::chr11:79291412-79291424(-) aggaggaagagg >mm10_chr11:79293210-79293221(+)::chr11:79293209-79293221(+) AAAAGGAAGCAG >mm10_chr11:79293217-79293228(+)::chr11:79293216-79293228(+) AGCAGGAAGTTT >mm10_chr11:79293249-79293260(-)::chr11:79293248-79293260(-) CGAAGGAAGGGT >mm10_chr11:79296363-79296374(+)::chr11:79296362-79296374(+) ATCAGGAAGAAA >mm10_chr11:79300524-79300535(+)::chr11:79300523-79300535(+) GCCAGGAAGGgt >mm10_chr11:79306559-79306570(-)::chr11:79306558-79306570(-) aggaggaagaag >mm10_chr11:79324479-79324490(-)::chr11:79324478-79324490(-) CAAAGGAAATGA >mm10_chr11:79325877-79325888(+)::chr11:79325876-79325888(+) AAGAGGAAGGAG >mm10_chr11:79345711-79345722(-)::chr11:79345710-79345722(-) AAGAGGAAGGGG >mm10_chr11:79345717-79345728(-)::chr11:79345716-79345728(-) GGAAGGAAGAGG >mm10_chr11:79356966-79356977(-)::chr11:79356965-79356977(-) AAAAGGAAATAA >mm10_chr11:79367961-79367972(-)::chr11:79367960-79367972(-) ttcaggaagtta >mm10_chr11:79380272-79380283(+)::chr11:79380271-79380283(+) AGGAGGAAGAAC >mm10_chr11:79380306-79380317(+)::chr11:79380305-79380317(+) ATTAGGAAATAC >mm10_chr11:79386063-79386074(-)::chr11:79386062-79386074(-) AAAAGGAAGAAG >mm10_chr11:79440335-79440346(-)::chr11:79440334-79440346(-) ATAGGGAAGAAA >mm10_chr11:79440350-79440361(-)::chr11:79440349-79440361(-) CAAAGGAAGAGG >mm10_chr11:79460869-79460880(+)::chr11:79460868-79460880(+) ACAAGGAAGTGC >mm10_chr11:79461218-79461229(-)::chr11:79461217-79461229(-) gggaggaagatc >mm10_chr11:79461234-79461245(-)::chr11:79461233-79461245(-) caaaggaaggga >mm10_chr11:79649347-79649358(+)::chr11:79649346-79649358(+) ACCAGGAAGTAG >mm10_chr11:79650553-79650564(+)::chr11:79650552-79650564(+) AGGAGGAAGAAC >mm10_chr11:79658365-79658376(+)::chr11:79658364-79658376(+) tagaggaagaaa >mm10_chr11:79658398-79658409(-)::chr11:79658397-79658409(-) accaggaagttg >mm10_chr11:79658837-79658848(+)::chr11:79658836-79658848(+) ACCCGGAAGTCA >mm10_chr11:79665790-79665801(+)::chr11:79665789-79665801(+) ACCAGGAAGTCC >mm10_chr11:79666741-79666752(+)::chr11:79666740-79666752(+) CCGCGGAAGTGA >mm10_chr11:79708737-79708748(+)::chr11:79708736-79708748(+) agcaggaagatt >mm10_chr11:79711058-79711069(+)::chr11:79711057-79711069(+) GGCAGGAAGGGC >mm10_chr11:79713557-79713568(+)::chr11:79713556-79713568(+) ggaaggaaggaa >mm10_chr11:79713561-79713572(+)::chr11:79713560-79713572(+) ggaaggaaggaa >mm10_chr11:79713565-79713576(+)::chr11:79713564-79713576(+) ggaaggaaggaa >mm10_chr11:79713569-79713580(+)::chr11:79713568-79713580(+) ggaaggaaggaa >mm10_chr11:79713573-79713584(+)::chr11:79713572-79713584(+) ggaaggaaggaa >mm10_chr11:79713577-79713588(+)::chr11:79713576-79713588(+) ggaaggaaggaa >mm10_chr11:79713581-79713592(+)::chr11:79713580-79713592(+) ggaaggaaggaa >mm10_chr11:79713585-79713596(+)::chr11:79713584-79713596(+) ggaaggaaggaa >mm10_chr11:79713589-79713600(+)::chr11:79713588-79713600(+) ggaaggaaggaa >mm10_chr11:79713593-79713604(+)::chr11:79713592-79713604(+) ggaaggaaggaa >mm10_chr11:79713597-79713608(+)::chr11:79713596-79713608(+) ggaaggaagATT >mm10_chr11:79729578-79729589(+)::chr11:79729577-79729589(+) GCCAGGAAGGAA >mm10_chr11:79737551-79737562(+)::chr11:79737550-79737562(+) GGAAGGAACACG >mm10_chr11:79737558-79737569(+)::chr11:79737557-79737569(+) ACACGGAAGAGG >mm10_chr11:79739160-79739171(+)::chr11:79739159-79739171(+) TCAAGGAAGCTG >mm10_chr11:79739197-79739208(+)::chr11:79739196-79739208(+) GGGAGGAAATGC >mm10_chr11:79765563-79765574(-)::chr11:79765562-79765574(-) ATGAGGAAGAGA >mm10_chr11:79778258-79778269(-)::chr11:79778257-79778269(-) ATCAGGAAGTGT >mm10_chr11:79779093-79779104(-)::chr11:79779092-79779104(-) ATGAGGAAGTAA >mm10_chr11:79780054-79780065(-)::chr11:79780053-79780065(-) TGGAGGAAGAGG >mm10_chr11:79780062-79780073(+)::chr11:79780061-79780073(+) TCCAGGAAGTTG >mm10_chr11:79832355-79832366(+)::chr11:79832354-79832366(+) CCCAGGAAGTGC >mm10_chr11:79842494-79842505(-)::chr11:79842493-79842505(-) aggaggaagaga >mm10_chr11:79842515-79842526(-)::chr11:79842514-79842526(-) aggaggaagagg >mm10_chr11:79870375-79870386(-)::chr11:79870374-79870386(-) TGAAGGAAGCTG >mm10_chr11:79870391-79870402(-)::chr11:79870390-79870402(-) AACAGGAAGTTG >mm10_chr11:79877425-79877436(-)::chr11:79877424-79877436(-) agagggaagtaa >mm10_chr11:79962189-79962200(-)::chr11:79962188-79962200(-) AAGAGGAAGGAG >mm10_chr11:79973795-79973806(-)::chr11:79973794-79973806(-) CAAAGGAAATGT >mm10_chr11:79982196-79982207(-)::chr11:79982195-79982207(-) aggaggaagagg >mm10_chr11:79982215-79982226(-)::chr11:79982214-79982226(-) aagaggaagaag >mm10_chr11:79992860-79992871(+)::chr11:79992859-79992871(+) AGCAGGAAGGCC >mm10_chr11:80067708-80067719(+)::chr11:80067707-80067719(+) TGCAGGAAGTGC >mm10_chr11:80068178-80068189(-)::chr11:80068177-80068189(-) ACAGGGAAGTCT >mm10_chr11:80081167-80081178(-)::chr11:80081166-80081178(-) CTGAGGAAGTGT >mm10_chr11:80089337-80089348(+)::chr11:80089336-80089348(+) CAAAGGAAGTAG >mm10_chr11:80141615-80141626(+)::chr11:80141614-80141626(+) agcaggaagatc >mm10_chr11:80142153-80142164(-)::chr11:80142152-80142164(-) AACCGGAAGTTG >mm10_chr11:80153850-80153861(+)::chr11:80153849-80153861(+) ATGAGGAAATAG >mm10_chr11:80183858-80183869(+)::chr11:80183857-80183869(+) CCGGGGAAGTTA >mm10_chr11:80183893-80183904(-)::chr11:80183892-80183904(-) GCCAGGAAGCAC >mm10_chr11:80302628-80302639(-)::chr11:80302627-80302639(-) GAGAGGAAGAAG >mm10_chr11:80378058-80378069(-)::chr11:80378057-80378069(-) GGGAGGAAGTCG >mm10_chr11:80382792-80382803(-)::chr11:80382791-80382803(-) TCAAGGAAGAGT >mm10_chr11:80474528-80474539(+)::chr11:80474527-80474539(+) GGGAGGAAGTAG >mm10_chr11:80474563-80474574(-)::chr11:80474562-80474574(-) TCCAGGAAGATG >mm10_chr11:80476548-80476559(-)::chr11:80476547-80476559(-) GAAAGGAAGAGC >mm10_chr11:80514037-80514048(+)::chr11:80514036-80514048(+) AGCAGGAAGTAG >mm10_chr11:80533217-80533228(+)::chr11:80533216-80533228(+) ATCAGGAAGCTG >mm10_chr11:80762080-80762091(-)::chr11:80762079-80762091(-) aggaggaaggta >mm10_chr11:80762098-80762109(-)::chr11:80762097-80762109(-) gggaggaagcag >mm10_chr11:80784527-80784538(-)::chr11:80784526-80784538(-) agcaggaactta >mm10_chr11:80785898-80785909(+)::chr11:80785897-80785909(+) AGAAGGAAGAAG >mm10_chr11:80792265-80792276(-)::chr11:80792264-80792276(-) AGCAGGAAGTCA >mm10_chr11:80795537-80795548(+)::chr11:80795536-80795548(+) AGCAGGAAGCGC >mm10_chr11:80802649-80802660(-)::chr11:80802648-80802660(-) ATGAGGAAATAT >mm10_chr11:80809132-80809143(+)::chr11:80809131-80809143(+) gaaaggaagtca >mm10_chr11:80809145-80809156(+)::chr11:80809144-80809156(+) ggaaggaactca >mm10_chr11:80877101-80877112(+)::chr11:80877100-80877112(+) TGAAGGAAGAAA >mm10_chr11:80877119-80877130(-)::chr11:80877118-80877130(-) AGAAGGAAGCCA >mm10_chr11:81542342-81542353(-)::chr11:81542341-81542353(-) CGTAGGAAGTTC >mm10_chr11:81714599-81714610(-)::chr11:81714598-81714610(-) AGAGGGAAGTTC >mm10_chr11:81884749-81884760(-)::chr11:81884748-81884760(-) TCCAGGAAGTCT >mm10_chr11:81891339-81891350(+)::chr11:81891338-81891350(+) GAAAGGAAGTGG >mm10_chr11:82007202-82007213(-)::chr11:82007201-82007213(-) TTAAGGAAGAGG >mm10_chr11:82183523-82183534(+)::chr11:82183522-82183534(+) ACACGGAAGTGT >mm10_chr11:82206140-82206151(-)::chr11:82206139-82206151(-) ACCAGGAAGGGA >mm10_chr11:82218441-82218452(+)::chr11:82218440-82218452(+) AGAAGGAAATGT >mm10_chr11:82218464-82218475(+)::chr11:82218463-82218475(+) TGTAGGAAGCGG >mm10_chr11:82238393-82238404(-)::chr11:82238392-82238404(-) ACAAGGAAGTTG >mm10_chr11:82601832-82601843(-)::chr11:82601831-82601843(-) AGAAGGAAAAGA >mm10_chr11:82662915-82662926(+)::chr11:82662914-82662926(+) ctaaggaagtaa >mm10_chr11:82662959-82662970(-)::chr11:82662958-82662970(-) ACCAGGAAGGAC >mm10_chr11:82724856-82724867(+)::chr11:82724855-82724867(+) ttaaggaaggag >mm10_chr11:82724863-82724874(+)::chr11:82724862-82724874(+) aggaggaagata >mm10_chr11:82747285-82747296(+)::chr11:82747284-82747296(+) GCCAGGAAGAAA >mm10_chr11:82749705-82749716(+)::chr11:82749704-82749716(+) ttaaggaagtag >mm10_chr11:82780999-82781010(+)::chr11:82780998-82781010(+) TCTAGGAAGTTC >mm10_chr11:82784711-82784722(+)::chr11:82784710-82784722(+) ACTAGGAAGGAA >mm10_chr11:82784715-82784726(+)::chr11:82784714-82784726(+) GGAAGGAAGTGT >mm10_chr11:82827004-82827015(-)::chr11:82827003-82827015(-) TGGAGGAAGTGC >mm10_chr11:82827040-82827051(-)::chr11:82827039-82827051(-) AGAGGGAAGTGG >mm10_chr11:82827047-82827058(-)::chr11:82827046-82827058(-) AGGAGGAAGAGG >mm10_chr11:82863692-82863703(+)::chr11:82863691-82863703(+) AGGAGGAAGAGG >mm10_chr11:82863698-82863709(+)::chr11:82863697-82863709(+) AAGAGGAAGGGA >mm10_chr11:82870295-82870306(-)::chr11:82870294-82870306(-) TCGAGGAAGATT >mm10_chr11:82870509-82870520(-)::chr11:82870508-82870520(-) AGAGGGAAGTAG >mm10_chr11:82889996-82890007(-)::chr11:82889995-82890007(-) TGGAGGAAGTAG >mm10_chr11:82890636-82890647(-)::chr11:82890635-82890647(-) ACCCGGAAGCGT >mm10_chr11:82991353-82991364(-)::chr11:82991352-82991364(-) AGAAAGAAGTGG >mm10_chr11:82991360-82991371(-)::chr11:82991359-82991371(-) AGGAGGAAGAAA >mm10_chr11:82991367-82991378(-)::chr11:82991366-82991378(-) AGGAGGAAGGAG >mm10_chr11:82991380-82991391(-)::chr11:82991379-82991391(-) TATAGGAAGAGC >mm10_chr11:83020834-83020845(-)::chr11:83020833-83020845(-) AAAAGCAAGTAA >mm10_chr11:83065055-83065066(-)::chr11:83065054-83065066(-) TCCAGGAAGTGA >mm10_chr11:83125055-83125066(-)::chr11:83125054-83125066(-) AAAAGGATGTTG >mm10_chr11:83175111-83175122(-)::chr11:83175110-83175122(-) TCCAGGAAGTAT >mm10_chr11:83191268-83191279(+)::chr11:83191267-83191279(+) ggcaggaagtgg >mm10_chr11:83191286-83191297(-)::chr11:83191285-83191297(-) cccaggaagttc >mm10_chr11:83260831-83260842(-)::chr11:83260830-83260842(-) aacaggaactag >mm10_chr11:83279044-83279055(-)::chr11:83279043-83279055(-) ACCAGGAAGACA >mm10_chr11:83285842-83285853(-)::chr11:83285841-83285853(-) AAGAGGAAGTTG >mm10_chr11:83297793-83297804(+)::chr11:83297792-83297804(+) ATAGGGAAGAAG >mm10_chr11:83299016-83299027(-)::chr11:83299015-83299027(-) AAACGGAAGTCC >mm10_chr11:83300922-83300933(+)::chr11:83300921-83300933(+) GGGAGGAAGGGG >mm10_chr11:83455627-83455638(+)::chr11:83455626-83455638(+) GAAAGGAAGCTG >mm10_chr11:83457283-83457294(+)::chr11:83457282-83457294(+) GCAAGGAAGTAG >mm10_chr11:83466393-83466404(+)::chr11:83466392-83466404(+) CCCAGGAAGTAT >mm10_chr11:83467417-83467428(+)::chr11:83467416-83467428(+) AACAGGAAGAGC >mm10_chr11:83544444-83544455(-)::chr11:83544443-83544455(-) GGAAGGAAGTGT >mm10_chr11:83544511-83544522(-)::chr11:83544510-83544522(-) TCAGGGAAGTGA >mm10_chr11:83562473-83562484(-)::chr11:83562472-83562484(-) AGCAGGAAGCAC >mm10_chr11:83562518-83562529(-)::chr11:83562517-83562529(-) TCGGGGAAGTAA >mm10_chr11:83572412-83572423(+)::chr11:83572411-83572423(+) AAGAGGAAGTTC >mm10_chr11:83578545-83578556(+)::chr11:83578544-83578556(+) ATCAGGAAGTCC >mm10_chr11:83588096-83588107(-)::chr11:83588095-83588107(-) ctgaggaagttc >mm10_chr11:83621709-83621720(+)::chr11:83621708-83621720(+) ACAAGGAAGGAT >mm10_chr11:83621760-83621771(-)::chr11:83621759-83621771(-) acaaggaagtgC >mm10_chr11:83657541-83657552(+)::chr11:83657540-83657552(+) ACCAGGAAGTCA >mm10_chr11:83657568-83657579(-)::chr11:83657567-83657579(-) TGTAGGAAGTTG >mm10_chr11:83671484-83671495(-)::chr11:83671483-83671495(-) GCAAGGAAGCAA >mm10_chr11:83671840-83671851(-)::chr11:83671839-83671851(-) GGAAGAAAGTAG >mm10_chr11:83708809-83708820(-)::chr11:83708808-83708820(-) ACAAGGAAGTAT >mm10_chr11:83753685-83753696(+)::chr11:83753684-83753696(+) CCCCGGAAGTAT >mm10_chr11:83753747-83753758(-)::chr11:83753746-83753758(-) ACAAGGAAGAGA >mm10_chr11:83789413-83789424(-)::chr11:83789412-83789424(-) AACAGGAAGGAT >mm10_chr11:83789486-83789497(-)::chr11:83789485-83789497(-) GACAGGAAGGCG >mm10_chr11:83800010-83800021(-)::chr11:83800009-83800021(-) AGGCGGAAGTGA >mm10_chr11:83808235-83808246(+)::chr11:83808234-83808246(+) ACAAGGAAATGC >mm10_chr11:83886923-83886934(+)::chr11:83886922-83886934(+) AGCAGGAAGTAA >mm10_chr11:83942089-83942100(-)::chr11:83942088-83942100(-) TAGCGGAAGTCG >mm10_chr11:83958834-83958845(-)::chr11:83958833-83958845(-) cccaggaagtaa >mm10_chr11:84049402-84049413(+)::chr11:84049401-84049413(+) ATTAGGAAGTAA >mm10_chr11:84080104-84080115(-)::chr11:84080103-84080115(-) AGGAGGAAGTGG >mm10_chr11:84083568-84083579(+)::chr11:84083567-84083579(+) GGAAGGAAGGCA >mm10_chr11:84101138-84101149(-)::chr11:84101137-84101149(-) ATAAGGAAGTTC >mm10_chr11:84160978-84160989(-)::chr11:84160977-84160989(-) AGGAGGAAGAGT >mm10_chr11:84164792-84164803(-)::chr11:84164791-84164803(-) TGCAGGAAGAAG >mm10_chr11:84164869-84164880(-)::chr11:84164868-84164880(-) AGAAGGAAGTGC >mm10_chr11:84167279-84167290(-)::chr11:84167278-84167290(-) AAAAGGAAGCCT >mm10_chr11:84170252-84170263(-)::chr11:84170251-84170263(-) cggaggaagagg >mm10_chr11:84170264-84170275(-)::chr11:84170263-84170275(-) agaaggaaaagg >mm10_chr11:84170279-84170290(-)::chr11:84170278-84170290(-) agaaggaagagg >mm10_chr11:84170300-84170311(-)::chr11:84170299-84170311(-) aggaggaagagg >mm10_chr11:84174829-84174840(-)::chr11:84174828-84174840(-) AGAAGGAAGAGT >mm10_chr11:84178636-84178647(-)::chr11:84178635-84178647(-) GACAGGAAGATA >mm10_chr11:84238204-84238215(+)::chr11:84238203-84238215(+) ggaaggaagagg >mm10_chr11:84238213-84238224(+)::chr11:84238212-84238224(+) aggaggaagaag >mm10_chr11:84238232-84238243(+)::chr11:84238231-84238243(+) aggaggaagaag >mm10_chr11:84246791-84246802(-)::chr11:84246790-84246802(-) ggaaggaagACA >mm10_chr11:84246795-84246806(-)::chr11:84246794-84246806(-) gccaggaaggaa >mm10_chr11:84246828-84246839(-)::chr11:84246827-84246839(-) aaaaggaagtag >mm10_chr11:84246850-84246861(-)::chr11:84246849-84246861(-) ggaaggaagagg >mm10_chr11:84253720-84253731(-)::chr11:84253719-84253731(-) aggaggaagaag >mm10_chr11:84253732-84253743(-)::chr11:84253731-84253743(-) aggaggaagagg >mm10_chr11:84291184-84291195(+)::chr11:84291183-84291195(+) aagaggaagagg >mm10_chr11:84291190-84291201(+)::chr11:84291189-84291201(+) aagaggaagagg >mm10_chr11:84291196-84291207(+)::chr11:84291195-84291207(+) aagaggaagagg >mm10_chr11:84316230-84316241(-)::chr11:84316229-84316241(-) AACAGGAAGAAG >mm10_chr11:84316281-84316292(-)::chr11:84316280-84316292(-) TCCAGGAAGTCT >mm10_chr11:84506467-84506478(+)::chr11:84506466-84506478(+) aggaggaagagg >mm10_chr11:84506479-84506490(+)::chr11:84506478-84506490(+) aggaggaagtgg >mm10_chr11:84597719-84597730(+)::chr11:84597718-84597730(+) AAGAGGAAGTGC >mm10_chr11:84662349-84662360(-)::chr11:84662348-84662360(-) aggaggaaggga >mm10_chr11:84662365-84662376(-)::chr11:84662364-84662376(-) agcaggaaggaa >mm10_chr11:84662372-84662383(-)::chr11:84662371-84662383(-) ggaaggaagcag >mm10_chr11:84662376-84662387(-)::chr11:84662375-84662387(-) TCaaggaaggaa >mm10_chr11:84662387-84662398(+)::chr11:84662386-84662398(+) ATAAGGAACTTC >mm10_chr11:84775760-84775771(-)::chr11:84775759-84775771(-) AGGAGGAAGCAG >mm10_chr11:84779493-84779504(-)::chr11:84779492-84779504(-) ATGAGGAAGAAG >mm10_chr11:84829201-84829212(+)::chr11:84829200-84829212(+) CCGCGGAAGTGG >mm10_chr11:84856545-84856556(+)::chr11:84856544-84856556(+) AACAGGAAGAAC >mm10_chr11:84867843-84867854(-)::chr11:84867842-84867854(-) AAGAGGAAGCAG >mm10_chr11:84867856-84867867(-)::chr11:84867855-84867867(-) GGAGGGAAGCGG >mm10_chr11:84869048-84869059(-)::chr11:84869047-84869059(-) AGCAGGAAGTAA >mm10_chr11:84869081-84869092(-)::chr11:84869080-84869092(-) AAAAGGAAATGG >mm10_chr11:84879850-84879861(-)::chr11:84879849-84879861(-) AGGCGGAAGCGG >mm10_chr11:84920544-84920555(-)::chr11:84920543-84920555(-) GAAAGGAAGAGC >mm10_chr11:84920568-84920579(-)::chr11:84920567-84920579(-) TCCAGGAAGATG >mm10_chr11:84920585-84920596(-)::chr11:84920584-84920596(-) ATGAGGAAATAG >mm10_chr11:84942839-84942850(-)::chr11:84942838-84942850(-) TACAGGAAGCAG >mm10_chr11:84945991-84946002(+)::chr11:84945990-84946002(+) ATAAGGAAGGAG >mm10_chr11:84974851-84974862(+)::chr11:84974850-84974862(+) ATGAGGAAATAG >mm10_chr11:84979492-84979503(-)::chr11:84979491-84979503(-) TCCAGGAAGTCT >mm10_chr11:84979557-84979568(-)::chr11:84979556-84979568(-) GAGAGGAAGTGG >mm10_chr11:85106812-85106823(+)::chr11:85106811-85106823(+) aggaggaagaag >mm10_chr11:85106819-85106830(+)::chr11:85106818-85106830(+) agaaggaagaag >mm10_chr11:85106846-85106857(+)::chr11:85106845-85106857(+) aggaggaaggaa >mm10_chr11:85106850-85106861(+)::chr11:85106849-85106861(+) ggaaggaagagg >mm10_chr11:85156962-85156973(+)::chr11:85156961-85156973(+) tacaggaagTTC >mm10_chr11:85156970-85156981(-)::chr11:85156969-85156981(-) AAAAGGAAGAAc >mm10_chr11:85176050-85176061(-)::chr11:85176049-85176061(-) ggaaggaaggag >mm10_chr11:85176054-85176065(-)::chr11:85176053-85176065(-) ggaaggaaggaa >mm10_chr11:85176058-85176069(-)::chr11:85176057-85176069(-) tgaaggaaggaa >mm10_chr11:85183003-85183014(+)::chr11:85183002-85183014(+) AGCAGGAAGGTC >mm10_chr11:85184511-85184522(+)::chr11:85184510-85184522(+) GTAAGGATGTCA >mm10_chr11:85241454-85241465(+)::chr11:85241453-85241465(+) acaatgaagtaa >mm10_chr11:85241496-85241507(+)::chr11:85241495-85241507(+) acaaggaagtaa >mm10_chr11:85266437-85266448(-)::chr11:85266436-85266448(-) GCCAGGAAGTCT >mm10_chr11:85306820-85306831(+)::chr11:85306819-85306831(+) GACAGGAAGTTG >mm10_chr11:85328911-85328922(-)::chr11:85328910-85328922(-) atcaggaagcat >mm10_chr11:85361722-85361733(-)::chr11:85361721-85361733(-) TGGAGGAAGCAA >mm10_chr11:85368037-85368048(-)::chr11:85368036-85368048(-) accaggaagcta >mm10_chr11:85406693-85406704(-)::chr11:85406692-85406704(-) GCTAGGAAGCCG >mm10_chr11:85410457-85410468(+)::chr11:85410456-85410468(+) ACCAGGAAGTAG >mm10_chr11:85412346-85412357(-)::chr11:85412345-85412357(-) ATGAGGAAATAG >mm10_chr11:85412372-85412383(-)::chr11:85412371-85412383(-) ACAGGGAAGTGG >mm10_chr11:85413608-85413619(+)::chr11:85413607-85413619(+) CCAGGGAAGTGA >mm10_chr11:85492468-85492479(-)::chr11:85492467-85492479(-) ACAAGGAAAAGA >mm10_chr11:85521165-85521176(+)::chr11:85521164-85521176(+) TGAAGGAAATGC >mm10_chr11:85622353-85622364(-)::chr11:85622352-85622364(-) TACAGGAAGGGA >mm10_chr11:85733944-85733955(-)::chr11:85733943-85733955(-) GCCAGGAAGGTA >mm10_chr11:85733979-85733990(+)::chr11:85733978-85733990(+) AGGAGGAAGCGG >mm10_chr11:85773515-85773526(+)::chr11:85773514-85773526(+) ACGAGGAAGGAA >mm10_chr11:85773519-85773530(+)::chr11:85773518-85773530(+) GGAAGGAACTTC >mm10_chr11:85773524-85773535(-)::chr11:85773523-85773535(-) AGCAGGAAGTTC >mm10_chr11:85787980-85787991(+)::chr11:85787979-85787991(+) TTACGGAAGAAT >mm10_chr11:85787994-85788005(-)::chr11:85787993-85788005(-) TAGAGGAAGAAC >mm10_chr11:85791329-85791340(-)::chr11:85791328-85791340(-) TGCAGGAAGGGG >mm10_chr11:85791352-85791363(-)::chr11:85791351-85791363(-) AAAAGGAAGGGA >mm10_chr11:85800498-85800509(+)::chr11:85800497-85800509(+) TTAAGGAAGGAT >mm10_chr11:85800544-85800555(-)::chr11:85800543-85800555(-) TCAAGGATGTGA >mm10_chr11:85811389-85811400(+)::chr11:85811388-85811400(+) AACAGGAAATCC >mm10_chr11:85812672-85812683(+)::chr11:85812671-85812683(+) ATGAGGAAGTGC >mm10_chr11:85813718-85813729(-)::chr11:85813717-85813729(-) ACGAGGATGTAG >mm10_chr11:85813789-85813800(+)::chr11:85813788-85813800(+) GGGAGGAAGAAG >mm10_chr11:85813796-85813807(+)::chr11:85813795-85813807(+) AGAAGGAAGAGA >mm10_chr11:85846897-85846908(-)::chr11:85846896-85846908(-) AGAAGGAAATGT >mm10_chr11:85846923-85846934(-)::chr11:85846922-85846934(-) GAACGGAAGTTC >mm10_chr11:85921120-85921131(-)::chr11:85921119-85921131(-) ACAAGGAAGAAT >mm10_chr11:86005653-86005664(+)::chr11:86005652-86005664(+) tcaaggaagtcc >mm10_chr11:86005677-86005688(+)::chr11:86005676-86005688(+) aaaaggaagaaa >mm10_chr11:86099491-86099502(-)::chr11:86099490-86099502(-) AAGGGGAAGTAG >mm10_chr11:86099501-86099512(+)::chr11:86099500-86099512(+) TTGAGGAAGTGC >mm10_chr11:86230919-86230930(-)::chr11:86230918-86230930(-) AATAGGAAGAGA >mm10_chr11:86230933-86230944(+)::chr11:86230932-86230944(+) TCAAGGATGTAA >mm10_chr11:86241582-86241593(+)::chr11:86241581-86241593(+) acaaggaactgg >mm10_chr11:86309979-86309990(-)::chr11:86309978-86309990(-) tcaaggaagccc >mm10_chr11:86310019-86310030(-)::chr11:86310018-86310030(-) aaaaggaagagt >mm10_chr11:86310059-86310070(-)::chr11:86310058-86310070(-) tggaggaagtaa >mm10_chr11:86317229-86317240(+)::chr11:86317228-86317240(+) ATAAGGAAACAC >mm10_chr11:86351900-86351911(-)::chr11:86351899-86351911(-) ACCAGGAAGGAC >mm10_chr11:86380529-86380540(+)::chr11:86380528-86380540(+) gtcaggaagcgc >mm10_chr11:86380543-86380554(+)::chr11:86380542-86380554(+) agtaggaaggaa >mm10_chr11:86380547-86380558(+)::chr11:86380546-86380558(+) ggaaggaagctc >mm10_chr11:86468481-86468492(+)::chr11:86468480-86468492(+) AAGGGGAAGTAT >mm10_chr11:86484078-86484089(-)::chr11:86484077-86484089(-) GCCAGGAAGTTT >mm10_chr11:86571393-86571404(-)::chr11:86571392-86571404(-) AGCAGGAAGTGA >mm10_chr11:86576199-86576210(-)::chr11:86576198-86576210(-) AGAAGGAAGTAA >mm10_chr11:86577400-86577411(+)::chr11:86577399-86577411(+) GAGAGGAAGTTT >mm10_chr11:86634602-86634613(+)::chr11:86634601-86634613(+) AGGAGGAAATGG >mm10_chr11:86649248-86649259(-)::chr11:86649247-86649259(-) ggaaggaaggct >mm10_chr11:86649252-86649263(-)::chr11:86649251-86649263(-) gggaggaaggaa >mm10_chr11:86726628-86726639(+)::chr11:86726627-86726639(+) AGAAGGAAGCCC >mm10_chr11:86741898-86741909(-)::chr11:86741897-86741909(-) ACCAGGAAGGGA >mm10_chr11:86745318-86745329(-)::chr11:86745317-86745329(-) GAAAGGAAGAGC >mm10_chr11:86749510-86749521(-)::chr11:86749509-86749521(-) agcaggaagtgc >mm10_chr11:86757852-86757863(-)::chr11:86757851-86757863(-) ACCGGGAAGTGC >mm10_chr11:86758164-86758175(-)::chr11:86758163-86758175(-) GCAAGGAAGCTT >mm10_chr11:86770027-86770038(-)::chr11:86770026-86770038(-) AGAAGGAAGTGG >mm10_chr11:86807641-86807652(-)::chr11:86807640-86807652(-) GCGCGGAAGTAA >mm10_chr11:86862112-86862123(-)::chr11:86862111-86862123(-) TCCAGGAAGTTG >mm10_chr11:86862146-86862157(-)::chr11:86862145-86862157(-) ACAAGGAAAAGT >mm10_chr11:86871931-86871942(+)::chr11:86871930-86871942(+) TGCAGGAAGAGA >mm10_chr11:86894164-86894175(+)::chr11:86894163-86894175(+) GACAGGAAATAA >mm10_chr11:86938893-86938904(-)::chr11:86938892-86938904(-) AATAGGAAGTCA >mm10_chr11:86939648-86939659(-)::chr11:86939647-86939659(-) GGGAGGAAGAGT >mm10_chr11:86939682-86939693(-)::chr11:86939681-86939693(-) AGCAGGAAATGT >mm10_chr11:86962194-86962205(-)::chr11:86962193-86962205(-) AGGAGGAAGAAG >mm10_chr11:86984562-86984573(+)::chr11:86984561-86984573(+) aggaggaaggag >mm10_chr11:86984569-86984580(+)::chr11:86984568-86984580(+) aggaggaaggag >mm10_chr11:86984576-86984587(+)::chr11:86984575-86984587(+) aggaggaaggag >mm10_chr11:86984583-86984594(+)::chr11:86984582-86984594(+) aggaggaaggag >mm10_chr11:86984611-86984622(+)::chr11:86984610-86984622(+) tgaaggaaggga >mm10_chr11:87005136-87005147(-)::chr11:87005135-87005147(-) TACAGGAAGTCC >mm10_chr11:87026649-87026660(+)::chr11:87026648-87026660(+) AGCAGGAAATGA >mm10_chr11:87109421-87109432(-)::chr11:87109420-87109432(-) AGAAGGAACTCA >mm10_chr11:87126814-87126825(-)::chr11:87126813-87126825(-) ATTAGGAAGGGA >mm10_chr11:87127229-87127240(+)::chr11:87127228-87127240(+) GGGAGGAAGGCG >mm10_chr11:87212469-87212480(+)::chr11:87212468-87212480(+) TGGAGGAAGTGT >mm10_chr11:87212501-87212512(-)::chr11:87212500-87212512(-) AGGAGGAAGAGA >mm10_chr11:87216650-87216661(-)::chr11:87216649-87216661(-) AAAAAGAAGTGA >mm10_chr11:87254391-87254402(+)::chr11:87254390-87254402(+) AAGAGGAAGTAA >mm10_chr11:87285690-87285701(+)::chr11:87285689-87285701(+) agaaggaagttt >mm10_chr11:87285740-87285751(+)::chr11:87285739-87285751(+) ccaagtaagtaa >mm10_chr11:87426434-87426445(+)::chr11:87426433-87426445(+) AAAGGGAAGTGT >mm10_chr11:87443703-87443714(+)::chr11:87443702-87443714(+) ACAAGGAAGTCA >mm10_chr11:87456367-87456378(+)::chr11:87456366-87456378(+) AGAAGGAACTAC >mm10_chr11:87456376-87456387(-)::chr11:87456375-87456387(-) AGAAGGAAGGTA >mm10_chr11:87470931-87470942(-)::chr11:87470930-87470942(-) GCGAGGAAGAAA >mm10_chr11:87477461-87477472(-)::chr11:87477460-87477472(-) aggaggaagagg >mm10_chr11:87523687-87523698(+)::chr11:87523686-87523698(+) CAAAGGAAATGT >mm10_chr11:87524952-87524963(+)::chr11:87524951-87524963(+) aacaggaagagt >mm10_chr11:87566495-87566506(+)::chr11:87566494-87566506(+) ATAAGGAAGTTC >mm10_chr11:87566514-87566525(+)::chr11:87566513-87566525(+) ACAAGGAAATCC >mm10_chr11:87591852-87591863(-)::chr11:87591851-87591863(-) GACAGGAAGTAC >mm10_chr11:87630061-87630072(-)::chr11:87630060-87630072(-) ACAAGGAAGACC >mm10_chr11:87667902-87667913(-)::chr11:87667901-87667913(-) ACAAGGAAGAAA >mm10_chr11:87667916-87667927(-)::chr11:87667915-87667927(-) AGAAGGAACTAG >mm10_chr11:87668410-87668421(-)::chr11:87668409-87668421(-) AGCAGGAAGAAT >mm10_chr11:87677832-87677843(-)::chr11:87677831-87677843(-) AGGCGGAAGTTT >mm10_chr11:87678651-87678662(+)::chr11:87678650-87678662(+) TGAAGGAGGTAC >mm10_chr11:87702235-87702246(+)::chr11:87702234-87702246(+) ACTAGGAAGAAA >mm10_chr11:87708370-87708381(+)::chr11:87708369-87708381(+) ATCAGGAAGAAG >mm10_chr11:87708377-87708388(+)::chr11:87708376-87708388(+) AGAAGGAAGCTG >mm10_chr11:87709797-87709808(+)::chr11:87709796-87709808(+) AAGAGGAAGTAG >mm10_chr11:87710182-87710193(+)::chr11:87710181-87710193(+) GGAGGGAAGTGA >mm10_chr11:87711855-87711866(-)::chr11:87711854-87711866(-) agaagaaagtgg >mm10_chr11:87711885-87711896(-)::chr11:87711884-87711896(-) ttgaggaagtgc >mm10_chr11:87711917-87711928(+)::chr11:87711916-87711928(+) accaggaagaga >mm10_chr11:87712532-87712543(+)::chr11:87712531-87712543(+) TTAGGGAAGTGA >mm10_chr11:87712570-87712581(+)::chr11:87712569-87712581(+) CGCAGGAAGGAG >mm10_chr11:87726152-87726163(-)::chr11:87726151-87726163(-) GGCAGGAAGAGG >mm10_chr11:87825710-87825721(+)::chr11:87825709-87825721(+) TGCAGGAAGCAG >mm10_chr11:87828626-87828637(+)::chr11:87828625-87828637(+) TGAAGGAAGAGA >mm10_chr11:87852177-87852188(-)::chr11:87852176-87852188(-) GAAAGGAAGGAA >mm10_chr11:87852192-87852203(-)::chr11:87852191-87852203(-) ACAAGGAAGCCA >mm10_chr11:87890956-87890967(+)::chr11:87890955-87890967(+) AACAGGAAGGGA >mm10_chr11:87890973-87890984(+)::chr11:87890972-87890984(+) TAAAGGAAGATT >mm10_chr11:87890985-87890996(+)::chr11:87890984-87890996(+) ACGAGGAAGGGA >mm10_chr11:88047634-88047645(-)::chr11:88047633-88047645(-) CGGAGGAAGCGG >mm10_chr11:88047649-88047660(-)::chr11:88047648-88047660(-) GGGAGGAAGAGA >mm10_chr11:88064509-88064520(+)::chr11:88064508-88064520(+) TCAAGGAACTTA >mm10_chr11:88084829-88084840(-)::chr11:88084828-88084840(-) ACCAGGAAGTGT >mm10_chr11:88090020-88090031(+)::chr11:88090019-88090031(+) TTaaggaaggaa >mm10_chr11:88090024-88090035(+)::chr11:88090023-88090035(+) ggaaggaaggaa >mm10_chr11:88090028-88090039(+)::chr11:88090027-88090039(+) ggaaggaaggaa >mm10_chr11:88090032-88090043(+)::chr11:88090031-88090043(+) ggaaggaaggaa >mm10_chr11:88090036-88090047(+)::chr11:88090035-88090047(+) ggaaggaaggaG >mm10_chr11:88119563-88119574(-)::chr11:88119562-88119574(-) TCCAGGAAATAC >mm10_chr11:88123267-88123278(+)::chr11:88123266-88123278(+) GGAAGGAAGCCT >mm10_chr11:88132098-88132109(+)::chr11:88132097-88132109(+) AACAGGAAGCCA >mm10_chr11:88139334-88139345(-)::chr11:88139333-88139345(-) GACAGGAAGACA >mm10_chr11:88148774-88148785(+)::chr11:88148773-88148785(+) GCAAGGAACTCA >mm10_chr11:88148774-88148785(+)::chr11:88148773-88148785(+) GCAAGGAACTCA >mm10_chr11:88150608-88150619(-)::chr11:88150607-88150619(-) aggaggaaggga >mm10_chr11:88150634-88150645(-)::chr11:88150633-88150645(-) agaaggaaaaga >mm10_chr11:88150644-88150655(-)::chr11:88150643-88150655(-) aagaggaagaag >mm10_chr11:88150650-88150661(-)::chr11:88150649-88150661(-) aggaggaagagg >mm10_chr11:88150674-88150685(-)::chr11:88150673-88150685(-) aggaggaagaag >mm10_chr11:88150686-88150697(-)::chr11:88150685-88150697(-) aggaggaagaga >mm10_chr11:88151694-88151705(-)::chr11:88151693-88151705(-) AAGAGGAAGTAG >mm10_chr11:88165063-88165074(-)::chr11:88165062-88165074(-) TGGAGGAAGTGA >mm10_chr11:88165093-88165104(-)::chr11:88165092-88165104(-) AACAGGAAGGTA >mm10_chr11:88183059-88183070(-)::chr11:88183058-88183070(-) AGCAGGAAGAAC >mm10_chr11:88183987-88183998(+)::chr11:88183986-88183998(+) AGAAGGAAGCAA >mm10_chr11:88187999-88188010(+)::chr11:88187998-88188010(+) CGCAGGAAGCTG >mm10_chr11:88194412-88194423(-)::chr11:88194411-88194423(-) AAGAGGAAGGAG >mm10_chr11:88194742-88194753(-)::chr11:88194741-88194753(-) ATGAGGAAGACA >mm10_chr11:88258830-88258841(-)::chr11:88258829-88258841(-) ggcaggaaatac >mm10_chr11:88272152-88272163(-)::chr11:88272151-88272163(-) gccaggaagaaa >mm10_chr11:88272181-88272192(-)::chr11:88272180-88272192(-) gccaggaagaga >mm10_chr11:88294440-88294451(-)::chr11:88294439-88294451(-) AGGAGGAAGGTG >mm10_chr11:88319359-88319370(-)::chr11:88319358-88319370(-) caaaggaagtga >mm10_chr11:88392859-88392870(+)::chr11:88392858-88392870(+) tccaggaagtgt >mm10_chr11:88464076-88464087(-)::chr11:88464075-88464087(-) TGGAGGAAGGAA >mm10_chr11:88472251-88472262(-)::chr11:88472250-88472262(-) GGGAGGAAGCAG >mm10_chr11:88472279-88472290(+)::chr11:88472278-88472290(+) GGCAGGAAGGTC >mm10_chr11:88485538-88485549(-)::chr11:88485537-88485549(-) AGTAGGAAGTGT >mm10_chr11:88485545-88485556(-)::chr11:88485544-88485556(-) TATAGGAAGTAG >mm10_chr11:88538049-88538060(+)::chr11:88538048-88538060(+) AGAAGGAAGCTA >mm10_chr11:88551179-88551190(+)::chr11:88551178-88551190(+) ACCAGGAAGTCT >mm10_chr11:88555631-88555642(-)::chr11:88555630-88555642(-) GGAAGGTAGTGA >mm10_chr11:88555635-88555646(-)::chr11:88555634-88555646(-) AAAAGGAAGGTA >mm10_chr11:88555641-88555652(-)::chr11:88555640-88555652(-) ACAAGGAAAAGG >mm10_chr11:88677658-88677669(-)::chr11:88677657-88677669(-) AGAAGGAAAATC >mm10_chr11:88679816-88679827(-)::chr11:88679815-88679827(-) AGAAGGAAGCCC >mm10_chr11:88679854-88679865(-)::chr11:88679853-88679865(-) AGCAGGAAGGAT >mm10_chr11:88686298-88686309(+)::chr11:88686297-88686309(+) TGCAGGAAGTAG >mm10_chr11:88708998-88709009(+)::chr11:88708997-88709009(+) AACAGGAAGACA >mm10_chr11:88709023-88709034(-)::chr11:88709022-88709034(-) ATCAGGAAGAGA >mm10_chr11:88728696-88728707(+)::chr11:88728695-88728707(+) CAGAGGAAGTGC >mm10_chr11:88765239-88765250(-)::chr11:88765238-88765250(-) AGAGGGAAGTTT >mm10_chr11:88771090-88771101(-)::chr11:88771089-88771101(-) ACCAGGAAGGAG >mm10_chr11:88797375-88797386(+)::chr11:88797374-88797386(+) ggaaggaaataa >mm10_chr11:88797424-88797435(+)::chr11:88797423-88797435(+) tccaggaagaga >mm10_chr11:88825965-88825976(-)::chr11:88825964-88825976(-) TTCAGGAAGTAC >mm10_chr11:88842056-88842067(+)::chr11:88842055-88842067(+) AAAaggaagagg >mm10_chr11:88842071-88842082(+)::chr11:88842070-88842082(+) aggaggaagaag >mm10_chr11:88842083-88842094(+)::chr11:88842082-88842094(+) aagaggaagagg >mm10_chr11:88842089-88842100(+)::chr11:88842088-88842100(+) aagaggaagagg >mm10_chr11:88873779-88873790(+)::chr11:88873778-88873790(+) GTAAGGAAATAA >mm10_chr11:88897773-88897784(+)::chr11:88897772-88897784(+) GACAGGAAGCAG >mm10_chr11:88909146-88909157(-)::chr11:88909145-88909157(-) ATGAGGAAGTAT >mm10_chr11:88933674-88933685(+)::chr11:88933673-88933685(+) AGGAGGAAGTGT >mm10_chr11:88950125-88950136(-)::chr11:88950124-88950136(-) CCAAGGAAGGAG >mm10_chr11:88993823-88993834(+)::chr11:88993822-88993834(+) CGGAGGAAGGGt >mm10_chr11:88993836-88993847(-)::chr11:88993835-88993847(-) tagaggaagcta >mm10_chr11:88999359-88999370(-)::chr11:88999358-88999370(-) TTCAGGAAGTCA >mm10_chr11:88999405-88999416(-)::chr11:88999404-88999416(-) GCGAGGAAGGAA >mm10_chr11:89005950-89005961(-)::chr11:89005949-89005961(-) GGTAGGAAGGAT >mm10_chr11:89005989-89006000(-)::chr11:89005988-89006000(-) ACAAGGAAGACC >mm10_chr11:89017990-89018001(-)::chr11:89017989-89018001(-) ATGAGGAAGAGA >mm10_chr11:89024440-89024451(-)::chr11:89024439-89024451(-) AGAAGGAAGAAA >mm10_chr11:89051932-89051943(-)::chr11:89051931-89051943(-) gccaggaagtgg >mm10_chr11:89051962-89051973(-)::chr11:89051961-89051973(-) gtcaggaagtgt >mm10_chr11:89092952-89092963(+)::chr11:89092951-89092963(+) AGAGGGAAGTGC >mm10_chr11:89092997-89093008(-)::chr11:89092996-89093008(-) GAAAGGAAAACG >mm10_chr11:89124578-89124589(-)::chr11:89124577-89124589(-) AAGAGGAAGCGG >mm10_chr11:89147378-89147389(-)::chr11:89147377-89147389(-) atgaggaagcag >mm10_chr11:89162370-89162381(-)::chr11:89162369-89162381(-) ACCAGGAAGTAG >mm10_chr11:89175741-89175752(-)::chr11:89175740-89175752(-) ACCAGGAAGGGG >mm10_chr11:89373404-89373415(+)::chr11:89373403-89373415(+) ATGAGGAAGTCT >mm10_chr11:89402428-89402439(-)::chr11:89402427-89402439(-) AAGAGGAAGACA >mm10_chr11:89402474-89402485(-)::chr11:89402473-89402485(-) ACAAGGAAGCCA >mm10_chr11:89421261-89421272(+)::chr11:89421260-89421272(+) AGGCGGAAGTTC >mm10_chr11:89508686-89508697(-)::chr11:89508685-89508697(-) GGCAGGAAGAAT >mm10_chr11:89508754-89508765(-)::chr11:89508753-89508765(-) AGAAGGAAACAG >mm10_chr11:89529281-89529292(-)::chr11:89529280-89529292(-) tagaggaagagg >mm10_chr11:89631424-89631435(-)::chr11:89631423-89631435(-) AGCAGGAAGGGA >mm10_chr11:89733584-89733595(+)::chr11:89733583-89733595(+) TCAAGGAAGATG >mm10_chr11:90001680-90001691(-)::chr11:90001679-90001691(-) TGAAGGAAGCAG >mm10_chr11:90015364-90015375(+)::chr11:90015363-90015375(+) TCCAGGAAGGGG >mm10_chr11:90015418-90015429(-)::chr11:90015417-90015429(-) ATTAGGAAGCGG >mm10_chr11:90183432-90183443(+)::chr11:90183431-90183443(+) tgaaggaaCTCG >mm10_chr11:90249120-90249131(-)::chr11:90249119-90249131(-) TGCCGGAAGTGG >mm10_chr11:90255501-90255512(-)::chr11:90255500-90255512(-) aagaggaaggaa >mm10_chr11:90255507-90255518(-)::chr11:90255506-90255518(-) aggaggaagagg >mm10_chr11:90255519-90255530(-)::chr11:90255518-90255530(-) aagaggaagaag >mm10_chr11:90255525-90255536(-)::chr11:90255524-90255536(-) aagaggaagagg >mm10_chr11:90255531-90255542(-)::chr11:90255530-90255542(-) agaaggaagagg >mm10_chr11:90334816-90334827(-)::chr11:90334815-90334827(-) AGCAGGAAGGAT >mm10_chr11:90335111-90335122(+)::chr11:90335110-90335122(+) GTAAGGAAATGA >mm10_chr11:90342985-90342996(+)::chr11:90342984-90342996(+) ATCAGGAAGTCT >mm10_chr11:90348385-90348396(+)::chr11:90348384-90348396(+) TGAAGGAAGTAT >mm10_chr11:90380522-90380533(-)::chr11:90380521-90380533(-) AGAGGGAAGTTC >mm10_chr11:90386453-90386464(-)::chr11:90386452-90386464(-) GGAAGGAAGAGA >mm10_chr11:90386457-90386468(-)::chr11:90386456-90386468(-) AGGAGGAAGGAA >mm10_chr11:90386470-90386481(-)::chr11:90386469-90386481(-) GGAAGGAACTGA >mm10_chr11:90387646-90387657(+)::chr11:90387645-90387657(+) AGGAGGAAGGCT >mm10_chr11:90387664-90387675(+)::chr11:90387663-90387675(+) AACAGGAAGAGA >mm10_chr11:90389712-90389723(-)::chr11:90389711-90389723(-) GGCGGGAAGCGG >mm10_chr11:90398096-90398107(-)::chr11:90398095-90398107(-) GGCAGGAAGGGA >mm10_chr11:90398106-90398117(-)::chr11:90398105-90398117(-) AGAAGGAAGCGG >mm10_chr11:90398975-90398986(-)::chr11:90398974-90398986(-) GGAAGGAAGAAG >mm10_chr11:90398979-90398990(-)::chr11:90398978-90398990(-) AGGAGGAAGGAA >mm10_chr11:90591258-90591269(+)::chr11:90591257-90591269(+) aggaggaagggc >mm10_chr11:90591282-90591293(+)::chr11:90591281-90591293(+) atgaggaagcag >mm10_chr11:90608722-90608733(+)::chr11:90608721-90608733(+) AGAAGGAAGCCT >mm10_chr11:90618680-90618691(+)::chr11:90618679-90618691(+) ATCAGGAAATGA >mm10_chr11:90618739-90618750(-)::chr11:90618738-90618750(-) agaaggaagagg >mm10_chr11:90666409-90666420(+)::chr11:90666408-90666420(+) CCAAGGAAGTAA >mm10_chr11:90686912-90686923(+)::chr11:90686911-90686923(+) ATAAGGAAGGAC >mm10_chr11:90697443-90697454(-)::chr11:90697442-90697454(-) ATAAGGAAATGT >mm10_chr11:90855931-90855942(-)::chr11:90855930-90855942(-) GTAAGGAAATGA >mm10_chr11:90855939-90855950(-)::chr11:90855938-90855950(-) GAAAGCAAGTAA >mm10_chr11:91543867-91543878(-)::chr11:91543866-91543878(-) ATAAGGAAGTGT >mm10_chr11:91856623-91856634(-)::chr11:91856622-91856634(-) TCCCGGAAGTTA >mm10_chr11:92171253-92171264(-)::chr11:92171252-92171264(-) tcaaggaaatac >mm10_chr11:93159048-93159059(+)::chr11:93159047-93159059(+) cagaggaagtca >mm10_chr11:93215673-93215684(-)::chr11:93215672-93215684(-) CGTAGGAAGTCA >mm10_chr11:93215693-93215704(-)::chr11:93215692-93215704(-) AGCAGGAAGTCA >mm10_chr11:93396171-93396182(-)::chr11:93396170-93396182(-) GGAAGGAAGCAT >mm10_chr11:93396175-93396186(-)::chr11:93396174-93396186(-) TGGAGGAAGGAA >mm10_chr11:93503643-93503654(+)::chr11:93503642-93503654(+) TTGAGGAAGTAT >mm10_chr11:93562732-93562743(-)::chr11:93562731-93562743(-) AACAGGAAGAGA >mm10_chr11:93562766-93562777(-)::chr11:93562765-93562777(-) TACAGGAAGGAA >mm10_chr11:93812615-93812626(-)::chr11:93812614-93812626(-) TGAAGGAAGACA >mm10_chr11:93871638-93871649(+)::chr11:93871637-93871649(+) ACCAGGAAATGT >mm10_chr11:93918874-93918885(+)::chr11:93918873-93918885(+) AGCAGGAAGTGG >mm10_chr11:93918893-93918904(-)::chr11:93918892-93918904(-) ACAAGGAAGATC >mm10_chr11:93941092-93941103(-)::chr11:93941091-93941103(-) ATGAGGAAGTTG >mm10_chr11:93995199-93995210(+)::chr11:93995198-93995210(+) ACACGGAAGTAA >mm10_chr11:93995644-93995655(+)::chr11:93995643-93995655(+) AGTAGGAAGTAG >mm10_chr11:93995895-93995906(-)::chr11:93995894-93995906(-) TGGAGGAAGTGA >mm10_chr11:93996791-93996802(+)::chr11:93996790-93996802(+) GGGAGGAAGCGA >mm10_chr11:93996873-93996884(+)::chr11:93996872-93996884(+) TTAGGGAAGTTT >mm10_chr11:94031416-94031427(+)::chr11:94031415-94031427(+) ACAAGGAAATAA >mm10_chr11:94047846-94047857(+)::chr11:94047845-94047857(+) TTGAGGAAGTGG >mm10_chr11:94133601-94133612(+)::chr11:94133600-94133612(+) acagggaagtcc >mm10_chr11:94138084-94138095(-)::chr11:94138083-94138095(-) TCCCGGAAGTTA >mm10_chr11:94159339-94159350(+)::chr11:94159338-94159350(+) TTAAGGAAGAAG >mm10_chr11:94159362-94159373(+)::chr11:94159361-94159373(+) AGCAGGAAGGCT >mm10_chr11:94161540-94161551(-)::chr11:94161539-94161551(-) ACGAGGAAGCCT >mm10_chr11:94183906-94183917(-)::chr11:94183905-94183917(-) aggaggaagtta >mm10_chr11:94193296-94193307(+)::chr11:94193295-94193307(+) AGCAGGAAGTTG >mm10_chr11:94197602-94197613(-)::chr11:94197601-94197613(-) AGCAGGAAATCC >mm10_chr11:94231877-94231888(-)::chr11:94231876-94231888(-) GGAAGGAAGAAT >mm10_chr11:94231881-94231892(-)::chr11:94231880-94231892(-) AGGAGGAAGGAA >mm10_chr11:94232391-94232402(+)::chr11:94232390-94232402(+) TGAAGGAAGCTT >mm10_chr11:94313266-94313277(+)::chr11:94313265-94313277(+) GGGAGGAAGTAA >mm10_chr11:94315029-94315040(-)::chr11:94315028-94315040(-) aacaggaaatga >mm10_chr11:94321386-94321397(-)::chr11:94321385-94321397(-) AAAAGGAAGAAG >mm10_chr11:94321456-94321467(-)::chr11:94321455-94321467(-) TGGAGGAAGTCC >mm10_chr11:94330620-94330631(-)::chr11:94330619-94330631(-) acaaggaagcaa >mm10_chr11:94344674-94344685(-)::chr11:94344673-94344685(-) ATGAGGAAGGGA >mm10_chr11:94346389-94346400(-)::chr11:94346388-94346400(-) GGAAGGAAGCAC >mm10_chr11:94346393-94346404(-)::chr11:94346392-94346404(-) GTCAGGAAGGAA >mm10_chr11:94363871-94363882(-)::chr11:94363870-94363882(-) AGAAGGAAGACT >mm10_chr11:94370945-94370956(-)::chr11:94370944-94370956(-) ATGAGGAAGAAG >mm10_chr11:94386399-94386410(-)::chr11:94386398-94386410(-) TTGAGGAAGTAA >mm10_chr11:94420487-94420498(-)::chr11:94420486-94420498(-) ggaaggaagAGG >mm10_chr11:94420491-94420502(-)::chr11:94420490-94420502(-) agaaggaaggaa >mm10_chr11:94420503-94420514(-)::chr11:94420502-94420514(-) ggaaggaaggaa >mm10_chr11:94420507-94420518(-)::chr11:94420506-94420518(-) Tgaaggaaggaa >mm10_chr11:94489359-94489370(+)::chr11:94489358-94489370(+) GGGAGGAAGGTG >mm10_chr11:94498660-94498671(+)::chr11:94498659-94498671(+) AGGAGGAAGAAA >mm10_chr11:94500776-94500787(+)::chr11:94500775-94500787(+) TGGAGGAAGGGA >mm10_chr11:94577387-94577398(-)::chr11:94577386-94577398(-) GAAAGGAACTAT >mm10_chr11:94602203-94602214(-)::chr11:94602202-94602214(-) CCCAGGAAGTCC >mm10_chr11:94645974-94645985(+)::chr11:94645973-94645985(+) AGAAGGAAAAGG >mm10_chr11:94716387-94716398(+)::chr11:94716386-94716398(+) agagggaagtag >mm10_chr11:94716397-94716408(+)::chr11:94716396-94716408(+) aggaggaAGTGA >mm10_chr11:94716407-94716418(+)::chr11:94716406-94716418(+) GAGAGGAAGTAG >mm10_chr11:94753499-94753510(+)::chr11:94753498-94753510(+) AGGAGGAAGGAT >mm10_chr11:94760422-94760433(-)::chr11:94760421-94760433(-) TCAGGGAAGTGG >mm10_chr11:94872917-94872928(+)::chr11:94872916-94872928(+) CGGAGGAAGGAG >mm10_chr11:94872951-94872962(-)::chr11:94872950-94872962(-) CGCAGGAAGAAT >mm10_chr11:94876052-94876063(+)::chr11:94876051-94876063(+) AGTAGGAAGAAT >mm10_chr11:94876064-94876075(+)::chr11:94876063-94876075(+) AAAAGGAAGTCT >mm10_chr11:94989837-94989848(+)::chr11:94989836-94989848(+) CGCAGGAAGGCC >mm10_chr11:94989854-94989865(+)::chr11:94989853-94989865(+) TGCAGGAAGCAG >mm10_chr11:94993313-94993324(+)::chr11:94993312-94993324(+) GTCAGGAAGAGA >mm10_chr11:94993343-94993354(-)::chr11:94993342-94993354(-) AGCAGGAAGCGT >mm10_chr11:94995996-94996007(-)::chr11:94995995-94996007(-) GGGAGGAAGCAG >mm10_chr11:95005082-95005093(+)::chr11:95005081-95005093(+) GCCAGGAAGGAG >mm10_chr11:95005089-95005100(+)::chr11:95005088-95005100(+) AGGAGGAAATGG >mm10_chr11:95024617-95024628(+)::chr11:95024616-95024628(+) AAGCGGAAGTTG >mm10_chr11:95024651-95024662(+)::chr11:95024650-95024662(+) AGAAGGAACGGA >mm10_chr11:95024656-95024667(+)::chr11:95024655-95024667(+) GAACGGAAGGCG >mm10_chr11:95104477-95104488(-)::chr11:95104476-95104488(-) TACAGGAAGGAA >mm10_chr11:95106488-95106499(+)::chr11:95106487-95106499(+) AGAAGGAAGCAG >mm10_chr11:95106543-95106554(+)::chr11:95106542-95106554(+) TAGAGGAAGAAG >mm10_chr11:95141918-95141929(-)::chr11:95141917-95141929(-) ACCAGGAAGCTG >mm10_chr11:95183963-95183974(+)::chr11:95183962-95183974(+) AAGGGGAAGTAC >mm10_chr11:95189234-95189245(-)::chr11:95189233-95189245(-) agaaggaagagg >mm10_chr11:95189241-95189252(-)::chr11:95189240-95189252(-) aggaggaagaag >mm10_chr11:95198606-95198617(-)::chr11:95198605-95198617(-) ATGAGGAAGAAG >mm10_chr11:95217184-95217195(+)::chr11:95217183-95217195(+) AGAAGAAAGTGG >mm10_chr11:95217212-95217223(+)::chr11:95217211-95217223(+) aggaggaaggag >mm10_chr11:95217234-95217245(+)::chr11:95217233-95217245(+) aggaggaaggag >mm10_chr11:95238696-95238707(-)::chr11:95238695-95238707(-) atcaggaagtgg >mm10_chr11:95258671-95258682(+)::chr11:95258670-95258682(+) GGACGGAAGCAG >mm10_chr11:95261292-95261303(+)::chr11:95261291-95261303(+) GACAGGAAGTGC >mm10_chr11:95261301-95261312(+)::chr11:95261300-95261312(+) TGCAGGAAGGAA >mm10_chr11:95261305-95261316(+)::chr11:95261304-95261316(+) GGAAGGAAGCAC >mm10_chr11:95310237-95310248(-)::chr11:95310236-95310248(-) AGCCGGAAGTTA >mm10_chr11:95310566-95310577(-)::chr11:95310565-95310577(-) ACTAGGAAATGA >mm10_chr11:95310582-95310593(+)::chr11:95310581-95310593(+) CGAAGGACGTTT >mm10_chr11:95351299-95351310(-)::chr11:95351298-95351310(-) ATAAGGAAGAGA >mm10_chr11:95384778-95384789(-)::chr11:95384777-95384789(-) AGAAGGAAGGCT >mm10_chr11:95391417-95391428(-)::chr11:95391416-95391428(-) CTAAGGAAGTCA >mm10_chr11:95391443-95391454(-)::chr11:95391442-95391454(-) AGGAGGACGTGA >mm10_chr11:95402911-95402922(+)::chr11:95402910-95402922(+) TGCAGGAAGAGG >mm10_chr11:95402917-95402928(+)::chr11:95402916-95402928(+) AAGAGGAAGCTA >mm10_chr11:95455613-95455624(+)::chr11:95455612-95455624(+) ACCAGGAAGCTG >mm10_chr11:95457382-95457393(+)::chr11:95457381-95457393(+) ATCAGGAAGTAA >mm10_chr11:95514730-95514741(-)::chr11:95514729-95514741(-) ACGAGGAAGCGG >mm10_chr11:95576060-95576071(+)::chr11:95576059-95576071(+) ATCAGGAAGAAG >mm10_chr11:95584199-95584210(+)::chr11:95584198-95584210(+) GTGAGGAAGTAG >mm10_chr11:95584216-95584227(+)::chr11:95584215-95584227(+) TTTAGGAAGTAT >mm10_chr11:95584238-95584249(+)::chr11:95584237-95584249(+) CCAAGGAAGACA >mm10_chr11:95584255-95584266(+)::chr11:95584254-95584266(+) GAAAGGAAGGCG >mm10_chr11:95592878-95592889(+)::chr11:95592877-95592889(+) AAGAGGAAGTGC >mm10_chr11:95593763-95593774(+)::chr11:95593762-95593774(+) ACTAGGAAGTTC >mm10_chr11:95608599-95608610(-)::chr11:95608598-95608610(-) acaaggaaaaac >mm10_chr11:95657671-95657682(-)::chr11:95657670-95657682(-) gtaagggagtaa >mm10_chr11:95657681-95657692(-)::chr11:95657680-95657692(-) agcaggaagagt >mm10_chr11:95682445-95682456(+)::chr11:95682444-95682456(+) AACAGGAAGTGG >mm10_chr11:95688603-95688614(+)::chr11:95688602-95688614(+) AACAGGAAGCCA >mm10_chr11:95688617-95688628(-)::chr11:95688616-95688628(-) AAGAGGAAGTGG >mm10_chr11:95696561-95696572(-)::chr11:95696560-95696572(-) AGAAGGAAGGGA >mm10_chr11:95708365-95708376(-)::chr11:95708364-95708376(-) ATCCGGAAGTTC >mm10_chr11:95712204-95712215(-)::chr11:95712203-95712215(-) ATAGGGAAgtgt >mm10_chr11:95714041-95714052(-)::chr11:95714040-95714052(-) ATCAGGAAgtgt >mm10_chr11:95749699-95749710(+)::chr11:95749698-95749710(+) GTGAGGAAGACG >mm10_chr11:95771641-95771652(+)::chr11:95771640-95771652(+) TAAAGGAAGTCC >mm10_chr11:95795761-95795772(+)::chr11:95795760-95795772(+) AACAGGAAGAAG >mm10_chr11:95833053-95833064(+)::chr11:95833052-95833064(+) AAAAGGAAGGAG >mm10_chr11:95836258-95836269(-)::chr11:95836257-95836269(-) ACCCGGAAGTCG >mm10_chr11:95859118-95859129(-)::chr11:95859117-95859129(-) TGCAGGAAGTAG >mm10_chr11:95888248-95888259(+)::chr11:95888247-95888259(+) acaaggaagtag >mm10_chr11:95888290-95888301(+)::chr11:95888289-95888301(+) acaaggaagtag >mm10_chr11:95896573-95896584(-)::chr11:95896572-95896584(-) ACAAGGAAGCTC >mm10_chr11:95926158-95926169(+)::chr11:95926157-95926169(+) ATAAGGAAAACA >mm10_chr11:95982769-95982780(-)::chr11:95982768-95982780(-) AGAAGGAAGTCT >mm10_chr11:95988873-95988884(+)::chr11:95988872-95988884(+) ACAAGGAAGGGG >mm10_chr11:95993755-95993766(+)::chr11:95993754-95993766(+) CAAAGGAAGGAA >mm10_chr11:95993759-95993770(+)::chr11:95993758-95993770(+) GGAAGGAAGTGA >mm10_chr11:96004610-96004621(+)::chr11:96004609-96004621(+) AGAAGGAAGGAG >mm10_chr11:96007114-96007125(+)::chr11:96007113-96007125(+) TTGAGGAAGCGA >mm10_chr11:96007169-96007180(+)::chr11:96007168-96007180(+) AGGGGGAAGTGG >mm10_chr11:96018130-96018141(+)::chr11:96018129-96018141(+) TGCAGGAAGTGT >mm10_chr11:96034873-96034884(+)::chr11:96034872-96034884(+) GGCAGGAAGTGA >mm10_chr11:96034891-96034902(-)::chr11:96034890-96034902(-) CGCCGGAAGTCT >mm10_chr11:96034925-96034936(-)::chr11:96034924-96034936(-) ACACGGAAGCCG >mm10_chr11:96048482-96048493(-)::chr11:96048481-96048493(-) AGGAGGAAGCGC >mm10_chr11:96093510-96093521(-)::chr11:96093509-96093521(-) TCCAGGAAGGTA >mm10_chr11:96097024-96097035(+)::chr11:96097023-96097035(+) GGAAGGAAGTTA >mm10_chr11:96130765-96130776(+)::chr11:96130764-96130776(+) TCAAGGAAGGGA >mm10_chr11:96166898-96166909(+)::chr11:96166897-96166909(+) AAAAGGAAGTGT >mm10_chr11:96231239-96231250(+)::chr11:96231238-96231250(+) TTCAGGAAGTAA >mm10_chr11:96259784-96259795(-)::chr11:96259783-96259795(-) aggaggaAGTCT >mm10_chr11:96259810-96259821(-)::chr11:96259809-96259821(-) aggaggaagaag >mm10_chr11:96285912-96285923(-)::chr11:96285911-96285923(-) CGAAGGAAGGAA >mm10_chr11:96285931-96285942(-)::chr11:96285930-96285942(-) GCCAGGAAGGCA >mm10_chr11:96304038-96304049(+)::chr11:96304037-96304049(+) CCGAGGAAGCGG >mm10_chr11:96319527-96319538(+)::chr11:96319526-96319538(+) agaaggaagagg >mm10_chr11:96642673-96642684(+)::chr11:96642672-96642684(+) AGAAGGAAGTGT >mm10_chr11:96674449-96674460(-)::chr11:96674448-96674460(-) ATAAGGAAGGAT >mm10_chr11:96674472-96674483(-)::chr11:96674471-96674483(-) AGCAGGAAGTTG >mm10_chr11:96756942-96756953(-)::chr11:96756941-96756953(-) aagaggaagtgt >mm10_chr11:96765765-96765776(-)::chr11:96765764-96765776(-) AGAAGGAAGTCA >mm10_chr11:96765810-96765821(+)::chr11:96765809-96765821(+) AGAAGGAAGTGG >mm10_chr11:96765825-96765836(-)::chr11:96765824-96765836(-) TGCGGGAAGTCA >mm10_chr11:96768655-96768666(+)::chr11:96768654-96768666(+) AGAAGGAAGGAG >mm10_chr11:96790927-96790938(+)::chr11:96790926-96790938(+) AGGAGGAAGGAG >mm10_chr11:96819940-96819951(+)::chr11:96819939-96819951(+) agaaggaagaag >mm10_chr11:96819958-96819969(+)::chr11:96819957-96819969(+) aggaggaagcag >mm10_chr11:96819970-96819981(+)::chr11:96819969-96819981(+) aagaggaagagg >mm10_chr11:96819985-96819996(+)::chr11:96819984-96819996(+) aggaggaagaag >mm10_chr11:96830515-96830526(+)::chr11:96830514-96830526(+) GGCAGGAAGGGT >mm10_chr11:96845454-96845465(-)::chr11:96845453-96845465(-) acggggaagtag >mm10_chr11:96845496-96845507(-)::chr11:96845495-96845507(-) acaaggaagctc >mm10_chr11:96863642-96863653(+)::chr11:96863641-96863653(+) AGGAGGAAATAC >mm10_chr11:96902489-96902500(-)::chr11:96902488-96902500(-) aagaggaagagg >mm10_chr11:96902495-96902506(-)::chr11:96902494-96902506(-) aggaggaagagg >mm10_chr11:96902519-96902530(-)::chr11:96902518-96902530(-) aggaggaagaga >mm10_chr11:96902539-96902550(-)::chr11:96902538-96902550(-) aggaggaagaga >mm10_chr11:96902569-96902580(-)::chr11:96902568-96902580(-) aggaggaagagg >mm10_chr11:96940098-96940109(+)::chr11:96940097-96940109(+) AACAGGAAATCG >mm10_chr11:96940110-96940121(-)::chr11:96940109-96940121(-) TGCAGGAAGTAC >mm10_chr11:96944163-96944174(+)::chr11:96944162-96944174(+) ACAAGGAAATGC >mm10_chr11:96966999-96967010(+)::chr11:96966998-96967010(+) AAAAGGAAGTGG >mm10_chr11:96967026-96967037(-)::chr11:96967025-96967037(-) GTTAGGAAGTGC >mm10_chr11:96977957-96977968(+)::chr11:96977956-96977968(+) AACAGGAAGCTG >mm10_chr11:96982502-96982513(-)::chr11:96982501-96982513(-) AGAAGGAAGAAC >mm10_chr11:96982524-96982535(+)::chr11:96982523-96982535(+) AGGAGGAAGTGC >mm10_chr11:97041557-97041568(-)::chr11:97041556-97041568(-) AGACGGAAGTAG >mm10_chr11:97041586-97041597(-)::chr11:97041585-97041597(-) TACAGGAAGTTC >mm10_chr11:97050822-97050833(-)::chr11:97050821-97050833(-) GGAAGGAAGGAG >mm10_chr11:97050826-97050837(-)::chr11:97050825-97050837(-) ACCAGGAAGGAA >mm10_chr11:97051955-97051966(-)::chr11:97051954-97051966(-) TGAAGGAAGAAG >mm10_chr11:97052292-97052303(-)::chr11:97052291-97052303(-) ATGAGGAAGAAG >mm10_chr11:97052556-97052567(+)::chr11:97052555-97052567(+) GACAGGAAGGAC >mm10_chr11:97052579-97052590(+)::chr11:97052578-97052590(+) AAGAGGAAGTGG >mm10_chr11:97069483-97069494(+)::chr11:97069482-97069494(+) ACAAGAAAGTTG >mm10_chr11:97083947-97083958(+)::chr11:97083946-97083958(+) TAGAGGAAGGAC >mm10_chr11:97125133-97125144(-)::chr11:97125132-97125144(-) GCAAGGAAATGG >mm10_chr11:97142251-97142262(+)::chr11:97142250-97142262(+) AGGAGGAAGTCG >mm10_chr11:97142286-97142297(-)::chr11:97142285-97142297(-) GAAAGGAAGTGG >mm10_chr11:97145761-97145772(+)::chr11:97145760-97145772(+) ACAAGGAAGTTT >mm10_chr11:97150086-97150097(-)::chr11:97150085-97150097(-) GGGAGGAAGTTG >mm10_chr11:97150118-97150129(+)::chr11:97150117-97150129(+) AAAAGGAAGTGC >mm10_chr11:97187098-97187109(-)::chr11:97187097-97187109(-) GAAGGGAAGTTA >mm10_chr11:97302859-97302870(-)::chr11:97302858-97302870(-) GGCAGGAAGCAG >mm10_chr11:97304792-97304803(+)::chr11:97304791-97304803(+) GTAAGGAAGAAC >mm10_chr11:97402736-97402747(-)::chr11:97402735-97402747(-) ACCAGGAAATGA >mm10_chr11:97412268-97412279(+)::chr11:97412267-97412279(+) AAGAGGAAGTGA >mm10_chr11:97420893-97420904(-)::chr11:97420892-97420904(-) TAAAGGAAGCTG >mm10_chr11:97420932-97420943(-)::chr11:97420931-97420943(-) GAAAGGAAGGGT >mm10_chr11:97446507-97446518(+)::chr11:97446506-97446518(+) aggaggaagaga >mm10_chr11:97446529-97446540(+)::chr11:97446528-97446540(+) aggaggaagagg >mm10_chr11:97448751-97448762(+)::chr11:97448750-97448762(+) AGAAGGAAACAG >mm10_chr11:97528665-97528676(-)::chr11:97528664-97528676(-) aacaggaaatgc >mm10_chr11:97528717-97528728(-)::chr11:97528716-97528728(-) ataaggaaatgc >mm10_chr11:97529032-97529043(+)::chr11:97529031-97529043(+) acagggaagtcg >mm10_chr11:97545182-97545193(-)::chr11:97545181-97545193(-) CCAAGGAAGGAG >mm10_chr11:97545206-97545217(+)::chr11:97545205-97545217(+) ATGAGGAAGAAG >mm10_chr11:97545431-97545442(-)::chr11:97545430-97545442(-) GGAAGGGAGTAA >mm10_chr11:97545435-97545446(-)::chr11:97545434-97545446(-) ACCAGGAAGGGA >mm10_chr11:97567468-97567479(+)::chr11:97567467-97567479(+) ACACGGAAGGTC >mm10_chr11:97567476-97567487(-)::chr11:97567475-97567487(-) ATAAGGAAGACC >mm10_chr11:97578556-97578567(-)::chr11:97578555-97578567(-) AGAGGGAAGTTA >mm10_chr11:97594370-97594381(+)::chr11:97594369-97594381(+) aggaggaagcag >mm10_chr11:97594390-97594401(+)::chr11:97594389-97594401(+) acaaggaaggac >mm10_chr11:97603331-97603342(-)::chr11:97603330-97603342(-) gaaaggaacacg >mm10_chr11:97632196-97632207(+)::chr11:97632195-97632207(+) GCGAGGAACTGT >mm10_chr11:97641839-97641850(-)::chr11:97641838-97641850(-) agaaggaagaca >mm10_chr11:97641858-97641869(-)::chr11:97641857-97641869(-) agtaggaagtga >mm10_chr11:97641890-97641901(-)::chr11:97641889-97641901(-) gggaggaagcag >mm10_chr11:97652331-97652342(-)::chr11:97652330-97652342(-) ACCAGGAAGAGG >mm10_chr11:97662494-97662505(+)::chr11:97662493-97662505(+) AAAGGGAAGTTC >mm10_chr11:97664058-97664069(+)::chr11:97664057-97664069(+) GGGAGGAAGAGG >mm10_chr11:97664091-97664102(-)::chr11:97664090-97664102(-) ATCCGGAAGCGA >mm10_chr11:97667447-97667458(-)::chr11:97667446-97667458(-) aagaggaagagg >mm10_chr11:97667453-97667464(-)::chr11:97667452-97667464(-) aagaggaagagg >mm10_chr11:97667459-97667470(-)::chr11:97667458-97667470(-) aggaggaagagg >mm10_chr11:97667477-97667488(-)::chr11:97667476-97667488(-) aggaggaagagg >mm10_chr11:97677557-97677568(+)::chr11:97677556-97677568(+) GGAAGGAATTCG >mm10_chr11:97712743-97712754(+)::chr11:97712742-97712754(+) agaaggaagGAG >mm10_chr11:97718350-97718361(+)::chr11:97718349-97718361(+) TCCAGGAAATAA >mm10_chr11:97722384-97722395(+)::chr11:97722383-97722395(+) TCCAGGAAGTTC >mm10_chr11:97722435-97722446(+)::chr11:97722434-97722446(+) TTGAGGAAGTCA >mm10_chr11:97740388-97740399(+)::chr11:97740387-97740399(+) AGGAGGAAGCAG >mm10_chr11:97740395-97740406(+)::chr11:97740394-97740406(+) AGCAGGAAGACT >mm10_chr11:97745200-97745211(+)::chr11:97745199-97745211(+) GAAAGGAAGTCC >mm10_chr11:97755787-97755798(+)::chr11:97755786-97755798(+) agcaggaagagg >mm10_chr11:97755793-97755804(+)::chr11:97755792-97755804(+) aagaggaagagg >mm10_chr11:97755821-97755832(+)::chr11:97755820-97755832(+) aagaggaagagg >mm10_chr11:97755830-97755841(+)::chr11:97755829-97755841(+) aggaggaagagg >mm10_chr11:97755842-97755853(+)::chr11:97755841-97755853(+) aggaggaaggaa >mm10_chr11:97782379-97782390(+)::chr11:97782378-97782390(+) GAAAGGAAGTTA >mm10_chr11:97797253-97797264(+)::chr11:97797252-97797264(+) TCGAGGAAGGAG >mm10_chr11:97797260-97797271(+)::chr11:97797259-97797271(+) AGGAGGAAGGAG >mm10_chr11:97797880-97797891(-)::chr11:97797879-97797891(-) ACAAGGAAGGGG >mm10_chr11:97801820-97801831(-)::chr11:97801819-97801831(-) ACCAGGAAGAAA >mm10_chr11:97801846-97801857(+)::chr11:97801845-97801857(+) TAGCGGAAGTGG >mm10_chr11:97808223-97808234(-)::chr11:97808222-97808234(-) GGGAGGAAGTGG >mm10_chr11:97883497-97883508(-)::chr11:97883496-97883508(-) GGTAGGAAATAG >mm10_chr11:97883511-97883522(-)::chr11:97883510-97883522(-) AGCAGGAAGAGT >mm10_chr11:97883887-97883898(+)::chr11:97883886-97883898(+) TACCGGAAGTAC >mm10_chr11:97900103-97900114(+)::chr11:97900102-97900114(+) TTACGGAAGGAA >mm10_chr11:97900107-97900118(+)::chr11:97900106-97900118(+) GGAAGGAACTGC >mm10_chr11:97903644-97903655(-)::chr11:97903643-97903655(-) ATGGGGAAGTGG >mm10_chr11:97903676-97903687(+)::chr11:97903675-97903687(+) ACCAGGAAATCA >mm10_chr11:97915516-97915527(-)::chr11:97915515-97915527(-) acatggaagtgg >mm10_chr11:98023021-98023032(-)::chr11:98023020-98023032(-) ACCGGGAAGTTC >mm10_chr11:98026668-98026679(-)::chr11:98026667-98026679(-) AGCCGGAAGTCA >mm10_chr11:98042106-98042117(-)::chr11:98042105-98042117(-) tgaAGGAAGTGA >mm10_chr11:98042878-98042889(+)::chr11:98042877-98042889(+) AAGAGGAAATGG >mm10_chr11:98048274-98048285(-)::chr11:98048273-98048285(-) GGCAGGAAGGGT >mm10_chr11:98048287-98048298(-)::chr11:98048286-98048298(-) GGCAGGAAGTGG >mm10_chr11:98059779-98059790(-)::chr11:98059778-98059790(-) GCCGGGAAGTGT >mm10_chr11:98148833-98148844(+)::chr11:98148832-98148844(+) AAGAGGAAGTAT >mm10_chr11:98148909-98148920(-)::chr11:98148908-98148920(-) AGTAGGAAGTTG >mm10_chr11:98193320-98193331(+)::chr11:98193319-98193331(+) CGGAGGAAGAAG >mm10_chr11:98193327-98193338(+)::chr11:98193326-98193338(+) AGAAGGAACCGC >mm10_chr11:98202689-98202700(+)::chr11:98202688-98202700(+) AAAGGGAAGCGC >mm10_chr11:98217540-98217551(+)::chr11:98217539-98217551(+) AGGAGGAAGACA >mm10_chr11:98217549-98217560(+)::chr11:98217548-98217560(+) ACAAGGAAGGAA >mm10_chr11:98217553-98217564(+)::chr11:98217552-98217564(+) GGAAGGAAGTTA >mm10_chr11:98217565-98217576(+)::chr11:98217564-98217576(+) AGAAGGAAGATT >mm10_chr11:98296530-98296541(+)::chr11:98296529-98296541(+) GGCAGGAAGGGG >mm10_chr11:98328057-98328068(-)::chr11:98328056-98328068(-) aggaggaAGGAC >mm10_chr11:98328072-98328083(-)::chr11:98328071-98328083(-) aggaggaagagg >mm10_chr11:98328102-98328113(-)::chr11:98328101-98328113(-) AGGAGGAAGGAG >mm10_chr11:98331188-98331199(+)::chr11:98331187-98331199(+) AAAAGGAAGACC >mm10_chr11:98352675-98352686(+)::chr11:98352674-98352686(+) TGAAGGAAGGAA >mm10_chr11:98352679-98352690(+)::chr11:98352678-98352690(+) GGAAGGAAGCTA >mm10_chr11:98356656-98356667(-)::chr11:98356655-98356667(-) CAAAGGAAATAT >mm10_chr11:98400447-98400458(-)::chr11:98400446-98400458(-) TTACGGAAGTGG >mm10_chr11:98400460-98400471(+)::chr11:98400459-98400471(+) AACCGGAAGTAC >mm10_chr11:98412483-98412494(+)::chr11:98412482-98412494(+) TCGAGGAAGTGC >mm10_chr11:98421233-98421244(+)::chr11:98421232-98421244(+) ATAAGGAAAAGA >mm10_chr11:98426129-98426140(-)::chr11:98426128-98426140(-) agaaggaagCAG >mm10_chr11:98426141-98426152(-)::chr11:98426140-98426152(-) aggaggaagagg >mm10_chr11:98426153-98426164(-)::chr11:98426152-98426164(-) aggaggaagaaa >mm10_chr11:98426165-98426176(-)::chr11:98426164-98426176(-) aggaggaagagg >mm10_chr11:98426180-98426191(-)::chr11:98426179-98426191(-) aagaggaaggag >mm10_chr11:98445988-98445999(+)::chr11:98445987-98445999(+) ATGAGGAAATTG >mm10_chr11:98446932-98446943(+)::chr11:98446931-98446943(+) AGTAGGAAGGGC >mm10_chr11:98446993-98447004(-)::chr11:98446992-98447004(-) AGAAGGAAGCGC >mm10_chr11:98481436-98481447(-)::chr11:98481435-98481447(-) GACAGGAAGCAG >mm10_chr11:98525908-98525919(+)::chr11:98525907-98525919(+) agaaggaagaga >mm10_chr11:98551455-98551466(-)::chr11:98551454-98551466(-) ATAAGGAAGAAC >mm10_chr11:98566204-98566215(-)::chr11:98566203-98566215(-) ACAAGGAAATGG >mm10_chr11:98572104-98572115(+)::chr11:98572103-98572115(+) acacggaagaag >mm10_chr11:98578577-98578588(+)::chr11:98578576-98578588(+) GGGAGGAAGAGG >mm10_chr11:98578590-98578601(+)::chr11:98578589-98578601(+) AAAAGGAAGAAG >mm10_chr11:98578619-98578630(+)::chr11:98578618-98578630(+) aagaggaagaag >mm10_chr11:98588255-98588266(-)::chr11:98588254-98588266(-) CGGGGGAAGTAG >mm10_chr11:98599258-98599269(+)::chr11:98599257-98599269(+) TACAGGAAGTGC >mm10_chr11:98660621-98660632(-)::chr11:98660620-98660632(-) AGGAGGAAGAAC >mm10_chr11:98670238-98670249(+)::chr11:98670237-98670249(+) TGGAGGAAGGAG >mm10_chr11:98671742-98671753(+)::chr11:98671741-98671753(+) GAAGGGAAGTTC >mm10_chr11:98671808-98671819(-)::chr11:98671807-98671819(-) GGGAGGAAGACT >mm10_chr11:98676666-98676677(-)::chr11:98676665-98676677(-) TTAATGAAGTGA >mm10_chr11:98705241-98705252(+)::chr11:98705240-98705252(+) TGGAGGAAATGA >mm10_chr11:98708661-98708672(+)::chr11:98708660-98708672(+) aaaaggaaggaa >mm10_chr11:98708665-98708676(+)::chr11:98708664-98708676(+) ggaaggaaatcc >mm10_chr11:98710742-98710753(-)::chr11:98710741-98710753(-) GACAGGAAGAGG >mm10_chr11:98710807-98710818(+)::chr11:98710806-98710818(+) ATGAGGAAGGAA >mm10_chr11:98712783-98712794(-)::chr11:98712782-98712794(-) AGCAGGAAGTGG >mm10_chr11:98712797-98712808(+)::chr11:98712796-98712808(+) AACAGGAAGATC >mm10_chr11:98754213-98754224(+)::chr11:98754212-98754224(+) CCCAGGAAGTGG >mm10_chr11:98754249-98754260(-)::chr11:98754248-98754260(-) ACGAGGAAGCAA >mm10_chr11:98777536-98777547(+)::chr11:98777535-98777547(+) GGGAGGAAGGGA >mm10_chr11:98783340-98783351(-)::chr11:98783339-98783351(-) GGAAGGAAGGGG >mm10_chr11:98785787-98785798(-)::chr11:98785786-98785798(-) TAGAGGAAGTCT >mm10_chr11:98789059-98789070(+)::chr11:98789058-98789070(+) CGGAGGAAGGAA >mm10_chr11:98798851-98798862(+)::chr11:98798850-98798862(+) AGAAGGAAGAGC >mm10_chr11:98798894-98798905(+)::chr11:98798893-98798905(+) AGAAGGAAGAGC >mm10_chr11:98905304-98905315(-)::chr11:98905303-98905315(-) GCCAGGAAATAC >mm10_chr11:98905656-98905667(+)::chr11:98905655-98905667(+) CACAGGAAGATA >mm10_chr11:98905721-98905732(+)::chr11:98905720-98905732(+) AGGAGGAAGCTA >mm10_chr11:98941963-98941974(+)::chr11:98941962-98941974(+) GGCAGGAAGTGG >mm10_chr11:98943540-98943551(-)::chr11:98943539-98943551(-) AAGAGGAAGAAA >mm10_chr11:98943546-98943557(-)::chr11:98943545-98943557(-) GACAGGAAGAGG >mm10_chr11:98943557-98943568(-)::chr11:98943556-98943568(-) GGAAGGAAGAAG >mm10_chr11:98943584-98943595(-)::chr11:98943583-98943595(-) TACAGGAAGAAA >mm10_chr11:98955185-98955196(+)::chr11:98955184-98955196(+) GGAAGGAAGCTT >mm10_chr11:98955196-98955207(-)::chr11:98955195-98955207(-) AAGAGGAAGACA >mm10_chr11:98963652-98963663(-)::chr11:98963651-98963663(-) AGCAGGAAATCA >mm10_chr11:98978792-98978803(-)::chr11:98978791-98978803(-) GGAAGGAAGATG >mm10_chr11:98993849-98993860(-)::chr11:98993848-98993860(-) GCGAGGAAGCCA >mm10_chr11:98993904-98993915(+)::chr11:98993903-98993915(+) ACAGGGAAGTCC >mm10_chr11:99043246-99043257(-)::chr11:99043245-99043257(-) TTGAGGAAGTGG >mm10_chr11:99043301-99043312(+)::chr11:99043300-99043312(+) AACAGGAAGGAG >mm10_chr11:99073952-99073963(+)::chr11:99073951-99073963(+) ATCAGGAAGTGA >mm10_chr11:99073997-99074008(+)::chr11:99073996-99074008(+) AGAAGGAAGGAG >mm10_chr11:99077296-99077307(-)::chr11:99077295-99077307(-) ATTAGGAAGTAA >mm10_chr11:99099010-99099021(-)::chr11:99099009-99099021(-) AGCAGGAAGAGA >mm10_chr11:99099607-99099618(-)::chr11:99099606-99099618(-) gaaaggaaattt >mm10_chr11:99109508-99109519(-)::chr11:99109507-99109519(-) AAAGGGAAGTGC >mm10_chr11:99109525-99109536(-)::chr11:99109524-99109536(-) TCAAGGAAGGCA >mm10_chr11:99113760-99113771(-)::chr11:99113759-99113771(-) aggaggaaggag >mm10_chr11:99113781-99113792(-)::chr11:99113780-99113792(-) aggaggaagaga >mm10_chr11:99113802-99113813(-)::chr11:99113801-99113813(-) agaaggaagagg >mm10_chr11:99113812-99113823(-)::chr11:99113811-99113823(-) aggaggaaggag >mm10_chr11:99120724-99120735(+)::chr11:99120723-99120735(+) GAGAGGAAGGGA >mm10_chr11:99120760-99120771(+)::chr11:99120759-99120771(+) TGCAGGAAGCTA >mm10_chr11:99137149-99137160(-)::chr11:99137148-99137160(-) taaaggatgtca >mm10_chr11:99137207-99137218(-)::chr11:99137206-99137218(-) atggggaagtaa >mm10_chr11:99182665-99182676(+)::chr11:99182664-99182676(+) AGGAGGAAGAGG >mm10_chr11:99230435-99230446(-)::chr11:99230434-99230446(-) AAAGGGAAGCGA >mm10_chr11:99318993-99319004(+)::chr11:99318992-99319004(+) GGAAGGAAGGAT >mm10_chr11:99346409-99346420(+)::chr11:99346408-99346420(+) Gagaggaagaag >mm10_chr11:99346431-99346442(+)::chr11:99346430-99346442(+) aagaggaaggag >mm10_chr11:99346443-99346454(+)::chr11:99346442-99346454(+) gagaggaagaaA >mm10_chr11:99386063-99386074(-)::chr11:99386062-99386074(-) cggcggaagttc >mm10_chr11:99423475-99423486(-)::chr11:99423474-99423486(-) ACGAGGAAGAAT >mm10_chr11:99514219-99514230(+)::chr11:99514218-99514230(+) AGAAGGAATTCA >mm10_chr11:99751704-99751715(-)::chr11:99751703-99751715(-) GGAAAGAAGTGT >mm10_chr11:99902447-99902458(+)::chr11:99902446-99902458(+) GAGAGGAAGTTT >mm10_chr11:99994736-99994747(+)::chr11:99994735-99994747(+) ATGaggaaggga >mm10_chr11:99994770-99994781(+)::chr11:99994769-99994781(+) agaatgaagtaa >mm10_chr11:100013886-100013897(-)::chr11:100013885-100013897(-) TAAAGGAAGTTG >mm10_chr11:100015463-100015474(-)::chr11:100015462-100015474(-) AGTAGGAAGGAC >mm10_chr11:100015478-100015489(-)::chr11:100015477-100015489(-) AGAAGGAAGTCA >mm10_chr11:100139574-100139585(+)::chr11:100139573-100139585(+) ACCAGGAAGCTG >mm10_chr11:100150480-100150491(+)::chr11:100150479-100150491(+) GGAAGGAAGGCG >mm10_chr11:100152557-100152568(-)::chr11:100152556-100152568(-) AGCAGGAAGGAG >mm10_chr11:100153971-100153982(-)::chr11:100153970-100153982(-) TGCAGGAAGTAC >mm10_chr11:100154844-100154855(-)::chr11:100154843-100154855(-) TAGAGGAAGTCC >mm10_chr11:100166790-100166801(+)::chr11:100166789-100166801(+) acaaggaagtgC >mm10_chr11:100169008-100169019(-)::chr11:100169007-100169019(-) AGAAGGAAGTGC >mm10_chr11:100186818-100186829(+)::chr11:100186817-100186829(+) ACTAGGAAGTCA >mm10_chr11:100186832-100186843(+)::chr11:100186831-100186843(+) ACAAGGAAGGGA >mm10_chr11:100241522-100241533(+)::chr11:100241521-100241533(+) gtaaggaagaca >mm10_chr11:100241726-100241737(+)::chr11:100241725-100241737(+) agaaagaagtag >mm10_chr11:100241757-100241768(+)::chr11:100241756-100241768(+) tgaaggaagaag >mm10_chr11:100241764-100241775(+)::chr11:100241763-100241775(+) agaaggaagctg >mm10_chr11:100241774-100241785(+)::chr11:100241773-100241785(+) tgaaggaagaag >mm10_chr11:100245360-100245371(-)::chr11:100245359-100245371(-) GGAAGGAAAGTA >mm10_chr11:100261855-100261866(-)::chr11:100261854-100261866(-) AAAGGGAAGTCT >mm10_chr11:100278461-100278472(+)::chr11:100278460-100278472(+) ATGAGGAAGGAG >mm10_chr11:100278483-100278494(+)::chr11:100278482-100278494(+) ACAAGGAAGATA >mm10_chr11:100331444-100331455(+)::chr11:100331443-100331455(+) agaaggaaggaa >mm10_chr11:100331448-100331459(+)::chr11:100331447-100331459(+) ggaaggaaggaa >mm10_chr11:100331452-100331463(+)::chr11:100331451-100331463(+) ggaaggaaggaa >mm10_chr11:100331456-100331467(+)::chr11:100331455-100331467(+) ggaaggaaggaa >mm10_chr11:100331460-100331471(+)::chr11:100331459-100331471(+) ggaaggaaggaa >mm10_chr11:100331464-100331475(+)::chr11:100331463-100331475(+) ggaaggaaggaa >mm10_chr11:100331468-100331479(+)::chr11:100331467-100331479(+) ggaaggaaggaa >mm10_chr11:100331472-100331483(+)::chr11:100331471-100331483(+) ggaaggaaggaa >mm10_chr11:100331476-100331487(+)::chr11:100331475-100331487(+) ggaaggaaggaa >mm10_chr11:100331480-100331491(+)::chr11:100331479-100331491(+) ggaaggaaggaC >mm10_chr11:100376143-100376154(-)::chr11:100376142-100376154(-) GTGAGGAAGTAG >mm10_chr11:100395277-100395288(-)::chr11:100395276-100395288(-) GAGAGGAAGTCC >mm10_chr11:100395314-100395325(+)::chr11:100395313-100395325(+) GGAAGGAAATTA >mm10_chr11:100396134-100396145(-)::chr11:100396133-100396145(-) AGGAGGAAGTCT >mm10_chr11:100426123-100426134(-)::chr11:100426122-100426134(-) ATAAGGAACTGG >mm10_chr11:100457406-100457417(+)::chr11:100457405-100457417(+) TGCAGGAAGCAG >mm10_chr11:100483093-100483104(+)::chr11:100483092-100483104(+) agaaggaagaag >mm10_chr11:100483109-100483120(+)::chr11:100483108-100483120(+) agaaggaagagg >mm10_chr11:100483123-100483134(+)::chr11:100483122-100483134(+) gaaaggaagaag >mm10_chr11:100483130-100483141(+)::chr11:100483129-100483141(+) agaaggaagaag >mm10_chr11:100483142-100483153(+)::chr11:100483141-100483153(+) aaaaggaagagg >mm10_chr11:100483157-100483168(+)::chr11:100483156-100483168(+) aggaggaagagg >mm10_chr11:100483163-100483174(+)::chr11:100483162-100483174(+) aagaggaagaga >mm10_chr11:100504877-100504888(+)::chr11:100504876-100504888(+) AGGAGGAAGTTG >mm10_chr11:100545939-100545950(-)::chr11:100545938-100545950(-) aagagGAAGGCA >mm10_chr11:100547159-100547170(+)::chr11:100547158-100547170(+) atgaggaagtga >mm10_chr11:100548291-100548302(-)::chr11:100548290-100548302(-) AAGAGGAAGCAG >mm10_chr11:100548311-100548322(+)::chr11:100548310-100548322(+) AGAAGGAAGGTC >mm10_chr11:100552699-100552710(+)::chr11:100552698-100552710(+) acaaggaaatag >mm10_chr11:100560724-100560735(-)::chr11:100560723-100560735(-) aggaggaAGTTG >mm10_chr11:100560731-100560742(-)::chr11:100560730-100560742(-) aggaggaaggag >mm10_chr11:100560774-100560785(-)::chr11:100560773-100560785(-) tgcaggaagatg >mm10_chr11:100560802-100560813(-)::chr11:100560801-100560813(-) tataggaagatg >mm10_chr11:100563667-100563678(+)::chr11:100563666-100563678(+) AGGAGGAAGGGG >mm10_chr11:100570077-100570088(-)::chr11:100570076-100570088(-) TCCAGGAAGAGA >mm10_chr11:100570134-100570145(-)::chr11:100570133-100570145(-) AGAACGAAGTTA >mm10_chr11:100570141-100570152(-)::chr11:100570140-100570152(-) AGAAGGAAGAAC >mm10_chr11:100577093-100577104(-)::chr11:100577092-100577104(-) AAGAGGAAGGCA >mm10_chr11:100588708-100588719(+)::chr11:100588707-100588719(+) CCCAGGAAGTTC >mm10_chr11:100588734-100588745(+)::chr11:100588733-100588745(+) AGGAGGAAATGA >mm10_chr11:100589489-100589500(-)::chr11:100589488-100589500(-) AGAAGAAAGTAT >mm10_chr11:100610309-100610320(-)::chr11:100610308-100610320(-) GAAGGGAAGTAT >mm10_chr11:100610357-100610368(+)::chr11:100610356-100610368(+) GCCAGGAAGTCG >mm10_chr11:100610923-100610934(-)::chr11:100610922-100610934(-) aaaaggaagaTA >mm10_chr11:100610935-100610946(-)::chr11:100610934-100610946(-) agaaggaagagg >mm10_chr11:100610953-100610964(-)::chr11:100610952-100610964(-) aagaggaagagg >mm10_chr11:100626765-100626776(-)::chr11:100626764-100626776(-) AACAGGAAATGC >mm10_chr11:100626803-100626814(-)::chr11:100626802-100626814(-) AAGAGGAAGTGA >mm10_chr11:100626809-100626820(-)::chr11:100626808-100626820(-) AACAGGAAGAGG >mm10_chr11:100713508-100713519(-)::chr11:100713507-100713519(-) TCCAGGAAGAAC >mm10_chr11:100713867-100713878(-)::chr11:100713866-100713878(-) AAGAGGAAGGGC >mm10_chr11:100721388-100721399(-)::chr11:100721387-100721399(-) GAAAGGAAACCG >mm10_chr11:100765236-100765247(-)::chr11:100765235-100765247(-) AGCAGGAAGGAG >mm10_chr11:100790655-100790666(+)::chr11:100790654-100790666(+) AATAGGAAGAAC >mm10_chr11:100791842-100791853(-)::chr11:100791841-100791853(-) GAGAGGAAGTCC >mm10_chr11:100791858-100791869(-)::chr11:100791857-100791869(-) AGCAGGAAGGTG >mm10_chr11:100791865-100791876(-)::chr11:100791864-100791876(-) GGAAGGAAGCAG >mm10_chr11:100791869-100791880(-)::chr11:100791868-100791880(-) AGGAGGAAGGAA >mm10_chr11:100799662-100799673(-)::chr11:100799661-100799673(-) AGAAGGAACTCT >mm10_chr11:100800332-100800343(-)::chr11:100800331-100800343(-) AGTAGGAAGTAC >mm10_chr11:100822563-100822574(+)::chr11:100822562-100822574(+) TACAGGAAGAAG >mm10_chr11:100822585-100822596(-)::chr11:100822584-100822596(-) AGAAGGAAATCG >mm10_chr11:100828936-100828947(+)::chr11:100828935-100828947(+) GGGAGGAAGAGA >mm10_chr11:100829001-100829012(+)::chr11:100829000-100829012(+) acacggaagagt >mm10_chr11:100848243-100848254(+)::chr11:100848242-100848254(+) ACACGGAAGCAT >mm10_chr11:100850630-100850641(-)::chr11:100850629-100850641(-) ACCAGGAAGCGG >mm10_chr11:100870706-100870717(+)::chr11:100870705-100870717(+) TGCAGGAAGATG >mm10_chr11:100931753-100931764(+)::chr11:100931752-100931764(+) ACACGGAAGCCA >mm10_chr11:100946993-100947004(-)::chr11:100946992-100947004(-) TACAGGAAGTCA >mm10_chr11:100952301-100952312(-)::chr11:100952300-100952312(-) GGAAGGAAGGGC >mm10_chr11:100952305-100952316(-)::chr11:100952304-100952316(-) AAGAGGAAGGAA >mm10_chr11:100962862-100962873(+)::chr11:100962861-100962873(+) GGCAGGAAGGCA >mm10_chr11:100994897-100994908(-)::chr11:100994896-100994908(-) gagaggaagatg >mm10_chr11:101008304-101008315(+)::chr11:101008303-101008315(+) ACGAGGAAGGAA >mm10_chr11:101008308-101008319(+)::chr11:101008307-101008319(+) GGAAGGAAGTGA >mm10_chr11:101021082-101021093(+)::chr11:101021081-101021093(+) TGAAGGAAATCA >mm10_chr11:101021119-101021130(-)::chr11:101021118-101021130(-) TCCAGGAAGTTT >mm10_chr11:101053089-101053100(+)::chr11:101053088-101053100(+) AGAAGGAAGTTG >mm10_chr11:101053122-101053133(+)::chr11:101053121-101053133(+) AGGAGGAAGTAA >mm10_chr11:101095472-101095483(+)::chr11:101095471-101095483(+) CTAAGGAAGAAG >mm10_chr11:101119945-101119956(+)::chr11:101119944-101119956(+) AGACGGAAGAGA >mm10_chr11:101126610-101126621(+)::chr11:101126609-101126621(+) AAAAGGAAGCAC >mm10_chr11:101133156-101133167(+)::chr11:101133155-101133167(+) agaaggaaacgg >mm10_chr11:101133169-101133180(+)::chr11:101133168-101133180(+) cacaggaagata >mm10_chr11:101133178-101133189(+)::chr11:101133177-101133189(+) ataaggaacttc >mm10_chr11:101133183-101133194(-)::chr11:101133182-101133194(-) tcgaggaagttc >mm10_chr11:101156429-101156440(-)::chr11:101156428-101156440(-) AGGAGGAAGAGG >mm10_chr11:101158722-101158733(+)::chr11:101158721-101158733(+) tacaggaagacc >mm10_chr11:101234486-101234497(+)::chr11:101234485-101234497(+) tagaggaagtct >mm10_chr11:101271134-101271145(-)::chr11:101271133-101271145(-) ACGGGGAAGTTT >mm10_chr11:101302240-101302251(-)::chr11:101302239-101302251(-) ACCGGGAAGTAG >mm10_chr11:101426311-101426322(-)::chr11:101426310-101426322(-) AACAGGAAGCGG >mm10_chr11:101428855-101428866(-)::chr11:101428854-101428866(-) ACAAGGAAATGT >mm10_chr11:101435486-101435497(-)::chr11:101435485-101435497(-) AACAGGAAGTCA >mm10_chr11:101435749-101435760(-)::chr11:101435748-101435760(-) GAGAGGAAGTGG >mm10_chr11:101463062-101463073(-)::chr11:101463061-101463073(-) AGGAGGAAGTAA >mm10_chr11:101523382-101523393(-)::chr11:101523381-101523393(-) ACGAGGAAATGG >mm10_chr11:101523403-101523414(-)::chr11:101523402-101523414(-) ACAAGGAATTGA >mm10_chr11:101536391-101536402(+)::chr11:101536390-101536402(+) ggaaggaaggga >mm10_chr11:101536403-101536414(+)::chr11:101536402-101536414(+) gggaggaaggaa >mm10_chr11:101536407-101536418(+)::chr11:101536406-101536418(+) ggaaggaaggaa >mm10_chr11:101536411-101536422(+)::chr11:101536410-101536422(+) ggaaggaaggga >mm10_chr11:101536967-101536978(+)::chr11:101536966-101536978(+) AAAAGGAACTCG >mm10_chr11:101543561-101543572(+)::chr11:101543560-101543572(+) AGAAGGAAAAAC >mm10_chr11:101553793-101553804(-)::chr11:101553792-101553804(-) AACAGGAAGTAG >mm10_chr11:101560172-101560183(+)::chr11:101560171-101560183(+) ttaaggaagcag >mm10_chr11:101620183-101620194(+)::chr11:101620182-101620194(+) actaggaagtgc >mm10_chr11:101620247-101620258(+)::chr11:101620246-101620258(+) cccaggaagcgg >mm10_chr11:101657880-101657891(+)::chr11:101657879-101657891(+) acaaggaaaTGC >mm10_chr11:101668224-101668235(-)::chr11:101668223-101668235(-) GGAAAGAAGTCG >mm10_chr11:101668243-101668254(+)::chr11:101668242-101668254(+) CTAAGGACGTAC >mm10_chr11:101668722-101668733(+)::chr11:101668721-101668733(+) TACAGGAAGGCC >mm10_chr11:101687458-101687469(-)::chr11:101687457-101687469(-) GGGAGGAAGGGA >mm10_chr11:101696558-101696569(+)::chr11:101696557-101696569(+) TGGAGGAAGATA >mm10_chr11:101700486-101700497(-)::chr11:101700485-101700497(-) AGGAGGAAGCAT >mm10_chr11:101708592-101708603(+)::chr11:101708591-101708603(+) AGGAGGAAGCAG >mm10_chr11:101708625-101708636(+)::chr11:101708624-101708636(+) GTGAGGAAGTGC >mm10_chr11:101719830-101719841(-)::chr11:101719829-101719841(-) ACAAGGAAATGT >mm10_chr11:101719883-101719894(+)::chr11:101719882-101719894(+) ATGAGGAAATGT >mm10_chr11:101720191-101720202(-)::chr11:101720190-101720202(-) AGAAGGAAGCGC >mm10_chr11:101732890-101732901(-)::chr11:101732889-101732901(-) GGCAGGAAGTTC >mm10_chr11:101756705-101756716(-)::chr11:101756704-101756716(-) aacaggaagtcc >mm10_chr11:101763809-101763820(-)::chr11:101763808-101763820(-) ATGAGGAAATGT >mm10_chr11:101763828-101763839(-)::chr11:101763827-101763839(-) ACTAGGAAGTAG >mm10_chr11:101779765-101779776(+)::chr11:101779764-101779776(+) AACAGGAAGGGG >mm10_chr11:101870205-101870216(-)::chr11:101870204-101870216(-) GGAAGGAATTGC >mm10_chr11:101870209-101870220(-)::chr11:101870208-101870220(-) AGTAGGAAGGAA >mm10_chr11:101888666-101888677(+)::chr11:101888665-101888677(+) tgaaggaggtat >mm10_chr11:101910321-101910332(+)::chr11:101910320-101910332(+) AGAAGTAAGCGG >mm10_chr11:101998376-101998387(+)::chr11:101998375-101998387(+) ACCAGGAAGTGG >mm10_chr11:102030123-102030134(+)::chr11:102030122-102030134(+) GCCAGGAAGAAG >mm10_chr11:102088564-102088575(+)::chr11:102088563-102088575(+) ACACGGAAGGGA >mm10_chr11:102128368-102128379(+)::chr11:102128367-102128379(+) agaaggaaagaa >mm10_chr11:102156413-102156424(-)::chr11:102156412-102156424(-) GACCGGAAGTTG >mm10_chr11:102185357-102185368(+)::chr11:102185356-102185368(+) AAGAGGAAATAA >mm10_chr11:102186456-102186467(-)::chr11:102186455-102186467(-) ACAAGGAAACAT >mm10_chr11:102186482-102186493(-)::chr11:102186481-102186493(-) ACAAGGAAGTTT >mm10_chr11:102189687-102189698(+)::chr11:102189686-102189698(+) CCGAGGAAGAAA >mm10_chr11:102209938-102209949(+)::chr11:102209937-102209949(+) ATTAGGAAGGGG >mm10_chr11:102209965-102209976(+)::chr11:102209964-102209976(+) GACAGGAAGAGG >mm10_chr11:102228594-102228605(+)::chr11:102228593-102228605(+) AGAAGGAAGAGG >mm10_chr11:102245266-102245277(-)::chr11:102245265-102245277(-) GGCGGGAAGCGA >mm10_chr11:102248805-102248816(+)::chr11:102248804-102248816(+) CCGAGGAAGAAG >mm10_chr11:102265024-102265035(-)::chr11:102265023-102265035(-) ACAAGGAAATCA >mm10_chr11:102267678-102267689(-)::chr11:102267677-102267689(-) AGGAGGAAATGG >mm10_chr11:102296913-102296924(-)::chr11:102296912-102296924(-) aagaggaaggga >mm10_chr11:102296919-102296930(-)::chr11:102296918-102296930(-) aggaggaagagg >mm10_chr11:102305433-102305444(+)::chr11:102305432-102305444(+) GGCAGGAAGAAC >mm10_chr11:102305441-102305452(-)::chr11:102305440-102305452(-) TTCAGGAAGTTC >mm10_chr11:102309224-102309235(+)::chr11:102309223-102309235(+) ACAAGGAAGCTG >mm10_chr11:102329560-102329571(-)::chr11:102329559-102329571(-) aggaggaagaag >mm10_chr11:102329575-102329586(-)::chr11:102329574-102329586(-) aggaggaagagg >mm10_chr11:102335147-102335158(-)::chr11:102335146-102335158(-) GCCAGGAAGTCT >mm10_chr11:102338755-102338766(-)::chr11:102338754-102338766(-) GGAAGGAAGGGC >mm10_chr11:102338759-102338770(-)::chr11:102338758-102338770(-) GTGAGGAAGGAA >mm10_chr11:102372535-102372546(-)::chr11:102372534-102372546(-) TCCAGGAAGAAT >mm10_chr11:102385243-102385254(+)::chr11:102385242-102385254(+) CAGAGGAAGTGA >mm10_chr11:102424858-102424869(+)::chr11:102424857-102424869(+) ACCAGGAAGACA >mm10_chr11:102533175-102533186(+)::chr11:102533174-102533186(+) AAGAGGAAGAGG >mm10_chr11:102533181-102533192(+)::chr11:102533180-102533192(+) AAGAGGAAGAGG >mm10_chr11:102533187-102533198(+)::chr11:102533186-102533198(+) AAGAGGAAGGCG >mm10_chr11:102556312-102556323(+)::chr11:102556311-102556323(+) AACAGGAATTCG >mm10_chr11:102578950-102578961(+)::chr11:102578949-102578961(+) GGAAGGAAGCAA >mm10_chr11:102579002-102579013(-)::chr11:102579001-102579013(-) GCGAGGAAGAGG >mm10_chr11:102602240-102602251(+)::chr11:102602239-102602251(+) ATAAGGAagttg >mm10_chr11:102633488-102633499(+)::chr11:102633487-102633499(+) ccaaggaaatgt >mm10_chr11:102646152-102646163(-)::chr11:102646151-102646163(-) aagaggaagaag >mm10_chr11:102646158-102646169(-)::chr11:102646157-102646169(-) aggaggaagagg >mm10_chr11:102646167-102646178(-)::chr11:102646166-102646178(-) aagaggaagagg >mm10_chr11:102646179-102646190(-)::chr11:102646178-102646190(-) aggaggaagaga >mm10_chr11:102657134-102657145(+)::chr11:102657133-102657145(+) agcaggaaatgg >mm10_chr11:102697549-102697560(-)::chr11:102697548-102697560(-) AGGAGGAAGAGA >mm10_chr11:102697578-102697589(+)::chr11:102697577-102697589(+) CCAAGGATGTAA >mm10_chr11:102888369-102888380(+)::chr11:102888368-102888380(+) TGAAGGAAGTGA >mm10_chr11:102893216-102893227(-)::chr11:102893215-102893227(-) AACAGGAAGAGC >mm10_chr11:102900799-102900810(-)::chr11:102900798-102900810(-) GACCGGAAGTGG >mm10_chr11:102924844-102924855(+)::chr11:102924843-102924855(+) TAAAGGAAGTGC >mm10_chr11:102971300-102971311(-)::chr11:102971299-102971311(-) aggaggaagaga >mm10_chr11:102971318-102971329(-)::chr11:102971317-102971329(-) aagaggaagaag >mm10_chr11:102971324-102971335(-)::chr11:102971323-102971335(-) aggaggaagagg >mm10_chr11:102973264-102973275(+)::chr11:102973263-102973275(+) aggaggaagagg >mm10_chr11:102973285-102973296(+)::chr11:102973284-102973296(+) aggaggaagagg >mm10_chr11:103002445-103002456(+)::chr11:103002444-103002456(+) acaaggaagtcc >mm10_chr11:103007142-103007153(-)::chr11:103007141-103007153(-) ggaaggaaattg >mm10_chr11:103007146-103007157(-)::chr11:103007145-103007157(-) acacggaaggaa >mm10_chr11:103007161-103007172(-)::chr11:103007160-103007172(-) aaagggaagttc >mm10_chr11:103030395-103030406(+)::chr11:103030394-103030406(+) AGGAGGAAGTAG >mm10_chr11:103046404-103046415(+)::chr11:103046403-103046415(+) TCCAGGAAATAC >mm10_chr11:103065564-103065575(-)::chr11:103065563-103065575(-) TCAAGGAAGAAC >mm10_chr11:103065573-103065584(+)::chr11:103065572-103065584(+) TGAAGGAAATAG >mm10_chr11:103074180-103074191(-)::chr11:103074179-103074191(-) GGGAGGAAGCAG >mm10_chr11:103074898-103074909(-)::chr11:103074897-103074909(-) aggaggaagagg >mm10_chr11:103074910-103074921(-)::chr11:103074909-103074921(-) aggaggaagagg >mm10_chr11:103078179-103078190(-)::chr11:103078178-103078190(-) GCCAGGAAGTTC >mm10_chr11:103097217-103097228(-)::chr11:103097216-103097228(-) ACGAGGAAATGC >mm10_chr11:103097434-103097445(+)::chr11:103097433-103097445(+) AGCAGGAAGGGA >mm10_chr11:103100527-103100538(-)::chr11:103100526-103100538(-) AGAGGGAAGTCA >mm10_chr11:103132886-103132897(-)::chr11:103132885-103132897(-) TTGAGGAAGGCG >mm10_chr11:103141061-103141072(-)::chr11:103141060-103141072(-) TGCAGGAAGAGG >mm10_chr11:103142348-103142359(-)::chr11:103142347-103142359(-) CGCAGGAAGGAT >mm10_chr11:103142432-103142443(+)::chr11:103142431-103142443(+) GTAAGGAAGTAA >mm10_chr11:103197343-103197354(+)::chr11:103197342-103197354(+) AGAAGGAAGCAG >mm10_chr11:103199488-103199499(+)::chr11:103199487-103199499(+) AGGAGGAAGAGG >mm10_chr11:103233709-103233720(-)::chr11:103233708-103233720(-) GGAGGGAAGTGA >mm10_chr11:103233914-103233925(-)::chr11:103233913-103233925(-) AGGAGGAAATGG >mm10_chr11:103244181-103244192(-)::chr11:103244180-103244192(-) AGGAGGAAGCCA >mm10_chr11:103249681-103249692(-)::chr11:103249680-103249692(-) TTAAGGAAGTCG >mm10_chr11:103249724-103249735(-)::chr11:103249723-103249735(-) AAGAGGAAGCAT >mm10_chr11:103267771-103267782(+)::chr11:103267770-103267782(+) GGAAGGAAGGGC >mm10_chr11:103269550-103269561(-)::chr11:103269549-103269561(-) AGGAGGAAGTCA >mm10_chr11:103281950-103281961(-)::chr11:103281949-103281961(-) TCCAGGAAGTCC >mm10_chr11:103288772-103288783(-)::chr11:103288771-103288783(-) AGCAGGAAGCCA >mm10_chr11:103289565-103289576(+)::chr11:103289564-103289576(+) GGAAGGAAGAAT >mm10_chr11:103326936-103326947(+)::chr11:103326935-103326947(+) ACGAGGAGGTGG >mm10_chr11:103338405-103338416(+)::chr11:103338404-103338416(+) AGGAGGAAGTGG >mm10_chr11:103355116-103355127(+)::chr11:103355115-103355127(+) ACAAGGAAGAGA >mm10_chr11:103358425-103358436(+)::chr11:103358424-103358436(+) ACAAGGAAGGGC >mm10_chr11:103358444-103358455(-)::chr11:103358443-103358455(-) GGAAGGAAATCT >mm10_chr11:103363415-103363426(-)::chr11:103363414-103363426(-) GGGAGGAAGTTC >mm10_chr11:103372384-103372395(-)::chr11:103372383-103372395(-) GACAGGAAGATT >mm10_chr11:103372430-103372441(-)::chr11:103372429-103372441(-) ACCAGGAAATAG >mm10_chr11:103375687-103375698(+)::chr11:103375686-103375698(+) atgaggaaataa >mm10_chr11:103375713-103375724(+)::chr11:103375712-103375724(+) atgaggatgtac >mm10_chr11:103382088-103382099(+)::chr11:103382087-103382099(+) GCAAGGAAGTTG >mm10_chr11:103382127-103382138(+)::chr11:103382126-103382138(+) CAAAGGAAATGA >mm10_chr11:103383740-103383751(-)::chr11:103383739-103383751(-) tgcaggaagtgc >mm10_chr11:103406018-103406029(+)::chr11:103406017-103406029(+) TAGAGGAAGAAG >mm10_chr11:103406025-103406036(+)::chr11:103406024-103406036(+) AGAAGGAAGAGG >mm10_chr11:103406764-103406775(-)::chr11:103406763-103406775(-) GGCAGGAAGCCA >mm10_chr11:103411095-103411106(+)::chr11:103411094-103411106(+) AAAAGGAAGGGC >mm10_chr11:103432196-103432207(-)::chr11:103432195-103432207(-) GGGAGGAAGAAA >mm10_chr11:103575271-103575282(-)::chr11:103575270-103575282(-) agcagGAAGTGC >mm10_chr11:103697709-103697720(-)::chr11:103697708-103697720(-) AGCCGGAAGTGG >mm10_chr11:103698050-103698061(-)::chr11:103698049-103698061(-) GTGAGGAAGTGA >mm10_chr11:103703804-103703815(-)::chr11:103703803-103703815(-) AGGAGGAAGGAG >mm10_chr11:103739068-103739079(+)::chr11:103739067-103739079(+) TCCAGGAAGTGG >mm10_chr11:103750813-103750824(+)::chr11:103750812-103750824(+) ACGAGGAAGATT >mm10_chr11:103827294-103827305(-)::chr11:103827293-103827305(-) ATAAGGAACGCA >mm10_chr11:103979576-103979587(-)::chr11:103979575-103979587(-) AGAAGGAAGTAG >mm10_chr11:103980220-103980231(-)::chr11:103980219-103980231(-) TAAAGGAAGGGC >mm10_chr11:103980243-103980254(-)::chr11:103980242-103980254(-) TGAAGGAAGCAC >mm10_chr11:103984223-103984234(+)::chr11:103984222-103984234(+) GTGAGGAAGTTC >mm10_chr11:104064882-104064893(-)::chr11:104064881-104064893(-) AGAGGGAAGAAA >mm10_chr11:104064889-104064900(-)::chr11:104064888-104064900(-) AGAAGGAAGAGG >mm10_chr11:104111976-104111987(+)::chr11:104111975-104111987(+) CGGAGGAAGATC >mm10_chr11:104122570-104122581(+)::chr11:104122569-104122581(+) ACCAGGAAGTGG >mm10_chr11:104123193-104123204(-)::chr11:104123192-104123204(-) AACAGGAAGCAG >mm10_chr11:104123254-104123265(-)::chr11:104123253-104123265(-) TGGAGGAAGTAA >mm10_chr11:104141505-104141516(+)::chr11:104141504-104141516(+) ACAGGGAAGTGC >mm10_chr11:104141530-104141541(-)::chr11:104141529-104141541(-) AGAAGGAAGAAG >mm10_chr11:104171644-104171655(+)::chr11:104171643-104171655(+) AGCAGGAAGGAT >mm10_chr11:104267483-104267494(+)::chr11:104267482-104267494(+) CCAGGGAAGTAG >mm10_chr11:104279688-104279699(-)::chr11:104279687-104279699(-) AGAAGGCAGTTG >mm10_chr11:104279718-104279729(-)::chr11:104279717-104279729(-) ACCAGGAAGTAT >mm10_chr11:104288974-104288985(-)::chr11:104288973-104288985(-) AGCAGGAAGTGT >mm10_chr11:104289442-104289453(+)::chr11:104289441-104289453(+) ATAAGGAACTCT >mm10_chr11:104293371-104293382(+)::chr11:104293370-104293382(+) ACAAGGAACTGT >mm10_chr11:104302821-104302832(+)::chr11:104302820-104302832(+) GACAGGAAGCGT >mm10_chr11:104317378-104317389(-)::chr11:104317377-104317389(-) TGGAGGAAGATG >mm10_chr11:104410545-104410556(+)::chr11:104410544-104410556(+) ccaaggaagcat >mm10_chr11:104426214-104426225(-)::chr11:104426213-104426225(-) AAAAGGAAGAGA >mm10_chr11:104426240-104426251(-)::chr11:104426239-104426251(-) CTAAGGAAGTGA >mm10_chr11:104433717-104433728(+)::chr11:104433716-104433728(+) aacaggaagtgc >mm10_chr11:104550684-104550695(+)::chr11:104550683-104550695(+) AATAGGAAGTGT >mm10_chr11:104572818-104572829(+)::chr11:104572817-104572829(+) AGCAGGAAGTGA >mm10_chr11:104582366-104582377(-)::chr11:104582365-104582377(-) aagaggaaggag >mm10_chr11:104582372-104582383(-)::chr11:104582371-104582383(-) aagaggaagagg >mm10_chr11:104582378-104582389(-)::chr11:104582377-104582389(-) agaaggaagagg >mm10_chr11:104590338-104590349(+)::chr11:104590337-104590349(+) AGCAGGAAGCCC >mm10_chr11:104629940-104629951(-)::chr11:104629939-104629951(-) GGGAGGAAGGGT >mm10_chr11:104630555-104630566(+)::chr11:104630554-104630566(+) AGGAGGAAGCTG >mm10_chr11:104631481-104631492(+)::chr11:104631480-104631492(+) TGAAGGAAGTGA >mm10_chr11:104639121-104639132(-)::chr11:104639120-104639132(-) CCAAGGAAGTGG >mm10_chr11:104658418-104658429(-)::chr11:104658417-104658429(-) agcaggaagatc >mm10_chr11:104703386-104703397(-)::chr11:104703385-104703397(-) gggaggaagaAT >mm10_chr11:104703414-104703425(-)::chr11:104703413-104703425(-) aggaggaagacg >mm10_chr11:104703426-104703437(-)::chr11:104703425-104703437(-) aggaggaagacg >mm10_chr11:104909301-104909312(+)::chr11:104909300-104909312(+) GAGAGGAAGGGT >mm10_chr11:104961707-104961718(+)::chr11:104961706-104961718(+) GTGAGGAAGTTT >mm10_chr11:104970319-104970330(+)::chr11:104970318-104970330(+) agcaggaaatag >mm10_chr11:104970339-104970350(+)::chr11:104970338-104970350(+) aacaggaaatag >mm10_chr11:105033163-105033174(-)::chr11:105033162-105033174(-) AGAAGGAAGAGC >mm10_chr11:105033181-105033192(-)::chr11:105033180-105033192(-) AGCAGGAAGAGG >mm10_chr11:105126423-105126434(-)::chr11:105126422-105126434(-) TTCCGGAAGTAG >mm10_chr11:105126446-105126457(+)::chr11:105126445-105126457(+) CACAGGAAGTCC >mm10_chr11:105145462-105145473(-)::chr11:105145461-105145473(-) CCAAGGAAGGGA >mm10_chr11:105145500-105145511(-)::chr11:105145499-105145511(-) ACAAGGAAATAA >mm10_chr11:105171346-105171357(+)::chr11:105171345-105171357(+) AACAGGAAGATT >mm10_chr11:105262181-105262192(+)::chr11:105262180-105262192(+) TGCAGGAAGTTA >mm10_chr11:105311705-105311716(-)::chr11:105311704-105311716(-) GGCAGGAAATGA >mm10_chr11:105311759-105311770(+)::chr11:105311758-105311770(+) GGAAGGAAGTGG >mm10_chr11:105353048-105353059(+)::chr11:105353047-105353059(+) GTCAGGAAGAAG >mm10_chr11:105353626-105353637(+)::chr11:105353625-105353637(+) TCAGGGAAGTCA >mm10_chr11:105353676-105353687(+)::chr11:105353675-105353687(+) AGAAGAAAGTGC >mm10_chr11:105362295-105362306(+)::chr11:105362294-105362306(+) AAGAGGAAGAAT >mm10_chr11:105362522-105362533(+)::chr11:105362521-105362533(+) AGAAGGAACTTG >mm10_chr11:105369184-105369195(+)::chr11:105369183-105369195(+) AGAAGCAAGTGG >mm10_chr11:105410375-105410386(-)::chr11:105410374-105410386(-) ACCAGGAAGGCC >mm10_chr11:105410589-105410600(-)::chr11:105410588-105410600(-) TGGAGGAAGGAT >mm10_chr11:105424934-105424945(+)::chr11:105424933-105424945(+) AGGAGGAAGAAA >mm10_chr11:105435444-105435455(+)::chr11:105435443-105435455(+) ACAAGGAAATCC >mm10_chr11:105435469-105435480(-)::chr11:105435468-105435480(-) AAGAGGAAGAAG >mm10_chr11:105435475-105435486(-)::chr11:105435474-105435486(-) aaaAGGAAGAGG >mm10_chr11:105447787-105447798(+)::chr11:105447786-105447798(+) AGAAGGAAGAGG >mm10_chr11:105447825-105447836(+)::chr11:105447824-105447836(+) AGGAGGAAGTGG >mm10_chr11:105469321-105469332(-)::chr11:105469320-105469332(-) GGAAGGAACTGC >mm10_chr11:105525848-105525859(-)::chr11:105525847-105525859(-) TCAAGGAAGCAA >mm10_chr11:105559889-105559900(+)::chr11:105559888-105559900(+) actaggaagtat >mm10_chr11:105567433-105567444(-)::chr11:105567432-105567444(-) AAGAGGAAGGGC >mm10_chr11:105574342-105574353(-)::chr11:105574341-105574353(-) AACAGGAAGGGT >mm10_chr11:105592138-105592149(-)::chr11:105592137-105592149(-) ACAAGGAAAGAA >mm10_chr11:105624539-105624550(+)::chr11:105624538-105624550(+) TCAAGGTAGTAT >mm10_chr11:105713810-105713821(+)::chr11:105713809-105713821(+) TTCAGGAAGTTC >mm10_chr11:105804999-105805010(+)::chr11:105804998-105805010(+) AGCAGGAAATGT >mm10_chr11:105823969-105823980(+)::chr11:105823968-105823980(+) ggcaggaagtac >mm10_chr11:105890081-105890092(-)::chr11:105890080-105890092(-) CAGAGGAAGTGC >mm10_chr11:105898621-105898632(+)::chr11:105898620-105898632(+) TAAAGGAAGTGT >mm10_chr11:105898697-105898708(+)::chr11:105898696-105898708(+) ACAAGGAAGCAC >mm10_chr11:105909193-105909204(+)::chr11:105909192-105909204(+) gacaggaaggca >mm10_chr11:105916610-105916621(+)::chr11:105916609-105916621(+) ACCAGGAAGTTT >mm10_chr11:105916651-105916662(+)::chr11:105916650-105916662(+) AGAAGGAACTTC >mm10_chr11:105944908-105944919(-)::chr11:105944907-105944919(-) TGTAGGAAGTCT >mm10_chr11:105947358-105947369(-)::chr11:105947357-105947369(-) AACAGGAAGGAA >mm10_chr11:105947388-105947399(-)::chr11:105947387-105947399(-) gggaggaaGAAG >mm10_chr11:105960033-105960044(+)::chr11:105960032-105960044(+) ATCAGGAAGGCC >mm10_chr11:106019579-106019590(+)::chr11:106019578-106019590(+) GCTAGGAAGTCT >mm10_chr11:106043265-106043276(+)::chr11:106043264-106043276(+) aggaggaaggaa >mm10_chr11:106043269-106043280(+)::chr11:106043268-106043280(+) ggaaggaaggag >mm10_chr11:106066037-106066048(+)::chr11:106066036-106066048(+) CAAAGGAAGTGT >mm10_chr11:106098417-106098428(-)::chr11:106098416-106098428(-) GACAGGAAGGAA >mm10_chr11:106104031-106104042(+)::chr11:106104030-106104042(+) AAAAGGAaggtg >mm10_chr11:106122943-106122954(-)::chr11:106122942-106122954(-) AACAGGAAGTCA >mm10_chr11:106134756-106134767(-)::chr11:106134755-106134767(-) ATCAGGAAGCTG >mm10_chr11:106134773-106134784(-)::chr11:106134772-106134784(-) ATCAGGAAGCTG >mm10_chr11:106135353-106135364(-)::chr11:106135352-106135364(-) AAAGGGAAGTCT >mm10_chr11:106148492-106148503(-)::chr11:106148491-106148503(-) AGAAGGAAGGGC >mm10_chr11:106159214-106159225(+)::chr11:106159213-106159225(+) AAGAGGAAGAGT >mm10_chr11:106178177-106178188(-)::chr11:106178176-106178188(-) GTGAGGAAGAAA >mm10_chr11:106193738-106193749(+)::chr11:106193737-106193749(+) CAGAGGAAGTCC >mm10_chr11:106202610-106202621(+)::chr11:106202609-106202621(+) AGGAGGAAGGAA >mm10_chr11:106202614-106202625(+)::chr11:106202613-106202625(+) GGAAGGAAGTTA >mm10_chr11:106271956-106271967(-)::chr11:106271955-106271967(-) GGAAGGAAGCCA >mm10_chr11:106271960-106271971(-)::chr11:106271959-106271971(-) AGGAGGAAGGAA >mm10_chr11:106272792-106272803(-)::chr11:106272791-106272803(-) ATCGGGAAGTCC >mm10_chr11:106273185-106273196(+)::chr11:106273184-106273196(+) ACGCGGAAGCGC >mm10_chr11:106318895-106318906(+)::chr11:106318894-106318906(+) AACAGGAAGAAG >mm10_chr11:106332663-106332674(+)::chr11:106332662-106332674(+) CAGAGGAAGTGA >mm10_chr11:106393443-106393454(+)::chr11:106393442-106393454(+) GTAAGGATATCG >mm10_chr11:106428207-106428218(-)::chr11:106428206-106428218(-) TAGAGGAAATGA >mm10_chr11:106472751-106472762(+)::chr11:106472750-106472762(+) AAGAGGAAGGGA >mm10_chr11:106475538-106475549(-)::chr11:106475537-106475549(-) AACAGGAAGCTG >mm10_chr11:106478094-106478105(-)::chr11:106478093-106478105(-) GGAAGTAAGTTG >mm10_chr11:106478098-106478109(-)::chr11:106478097-106478109(-) TGGAGGAAGTAA >mm10_chr11:106478124-106478135(-)::chr11:106478123-106478135(-) TAAGGGAAGTGG >mm10_chr11:106500867-106500878(-)::chr11:106500866-106500878(-) GTCCGGAAGTTA >mm10_chr11:106540747-106540758(-)::chr11:106540746-106540758(-) GCCAGGAAGTTA >mm10_chr11:106542290-106542301(+)::chr11:106542289-106542301(+) TGCAGGAAGACC >mm10_chr11:106554531-106554542(-)::chr11:106554530-106554542(-) AGAAGGATGTCA >mm10_chr11:106574085-106574096(-)::chr11:106574084-106574096(-) AGAGGGAAGTGG >mm10_chr11:106580094-106580105(+)::chr11:106580093-106580105(+) TCCAGGAAGTGA >mm10_chr11:106613297-106613308(-)::chr11:106613296-106613308(-) AAGAGGAAATTA >mm10_chr11:106613303-106613314(-)::chr11:106613302-106613314(-) TGCAGGAAGAGG >mm10_chr11:106614482-106614493(+)::chr11:106614481-106614493(+) ATAAGGAAGTCA >mm10_chr11:106639681-106639692(-)::chr11:106639680-106639692(-) AAGAGGAAGGAG >mm10_chr11:106639687-106639698(-)::chr11:106639686-106639698(-) TGGAGGAAGAGG >mm10_chr11:106659811-106659822(+)::chr11:106659810-106659822(+) GGAAGGAAGCCC >mm10_chr11:106661466-106661477(-)::chr11:106661465-106661477(-) aggaggaagggg >mm10_chr11:106661473-106661484(-)::chr11:106661472-106661484(-) aaaaggaaggag >mm10_chr11:106663716-106663727(+)::chr11:106663715-106663727(+) aagaggaagaag >mm10_chr11:106663728-106663739(+)::chr11:106663727-106663739(+) aggaggaagagg >mm10_chr11:106663734-106663745(+)::chr11:106663733-106663745(+) aagaggaagaag >mm10_chr11:106675565-106675576(-)::chr11:106675564-106675576(-) AGAAGGAAGCAT >mm10_chr11:106706525-106706536(-)::chr11:106706524-106706536(-) AAGAGGAAATAG >mm10_chr11:106709447-106709458(-)::chr11:106709446-106709458(-) GCAAGGAAGTGC >mm10_chr11:106730973-106730984(-)::chr11:106730972-106730984(-) TGGAGGAAGATA >mm10_chr11:106731023-106731034(-)::chr11:106731022-106731034(-) ATGAGGAAGACG >mm10_chr11:106737348-106737359(+)::chr11:106737347-106737359(+) AAGAGGAAGGAA >mm10_chr11:106737352-106737363(+)::chr11:106737351-106737363(+) GGAAGGAACTGT >mm10_chr11:106759191-106759202(+)::chr11:106759190-106759202(+) CAGAGGAAGTCA >mm10_chr11:106787676-106787687(-)::chr11:106787675-106787687(-) AGAAGGAAGCGC >mm10_chr11:106788965-106788976(-)::chr11:106788964-106788976(-) GCGAGGAAGTAG >mm10_chr11:106813840-106813851(+)::chr11:106813839-106813851(+) AGGAGGAAGAGG >mm10_chr11:106813846-106813857(+)::chr11:106813845-106813857(+) AAGAGGAAGAGG >mm10_chr11:106815125-106815136(+)::chr11:106815124-106815136(+) ACAAGGAAACAA >mm10_chr11:106842458-106842469(+)::chr11:106842457-106842469(+) AACAGGAAGAGG >mm10_chr11:106842464-106842475(+)::chr11:106842463-106842475(+) AAGAGGAAGGGG >mm10_chr11:106890214-106890225(-)::chr11:106890213-106890225(-) TGTAGGAAGTCA >mm10_chr11:106890221-106890232(-)::chr11:106890220-106890232(-) TAAAGGATGTAG >mm10_chr11:106890281-106890292(-)::chr11:106890280-106890292(-) GACAGGAAGATA >mm10_chr11:106896711-106896722(-)::chr11:106896710-106896722(-) AGCAGGAACTGA >mm10_chr11:106908128-106908139(-)::chr11:106908127-106908139(-) acaaggaactgt >mm10_chr11:106957504-106957515(+)::chr11:106957503-106957515(+) AAGAGGAAGGAT >mm10_chr11:106957508-106957519(+)::chr11:106957507-106957519(+) GGAAGGATGTCT >mm10_chr11:106957573-106957584(+)::chr11:106957572-106957584(+) AACAGGAAGTAT >mm10_chr11:106967878-106967889(-)::chr11:106967877-106967889(-) GACAGGAAGCGT >mm10_chr11:106973112-106973123(+)::chr11:106973111-106973123(+) GACAGGAaggaa >mm10_chr11:106973116-106973127(+)::chr11:106973115-106973127(+) GGAaggaagatg >mm10_chr11:106973140-106973151(+)::chr11:106973139-106973151(+) aggaggaagagg >mm10_chr11:106973146-106973157(+)::chr11:106973145-106973157(+) aagaggaagagg >mm10_chr11:106973152-106973163(+)::chr11:106973151-106973163(+) aagaggaAATGG >mm10_chr11:106982503-106982514(+)::chr11:106982502-106982514(+) AGCAGGAAATTA >mm10_chr11:106999645-106999656(+)::chr11:106999644-106999656(+) GCAGGGAAGCGT >mm10_chr11:107034301-107034312(+)::chr11:107034300-107034312(+) ATAAGGAAGAAT >mm10_chr11:107034567-107034578(+)::chr11:107034566-107034578(+) AGGAGGAAGATG >mm10_chr11:107130678-107130689(-)::chr11:107130677-107130689(-) TGGAGGAAGGAT >mm10_chr11:107147461-107147472(+)::chr11:107147460-107147472(+) acaaggaaatgg >mm10_chr11:107148639-107148650(+)::chr11:107148638-107148650(+) CACAGGAAGTCA >mm10_chr11:107148657-107148668(-)::chr11:107148656-107148668(-) GTAAGGAAGATG >mm10_chr11:107148707-107148718(-)::chr11:107148706-107148718(-) AGCAGGAAATAA >mm10_chr11:107149067-107149078(+)::chr11:107149066-107149078(+) GTGAGGAAGGAA >mm10_chr11:107149117-107149128(-)::chr11:107149116-107149128(-) AAAAGGAAGAGA >mm10_chr11:107241860-107241871(-)::chr11:107241859-107241871(-) aagaggaagttg >mm10_chr11:107370640-107370651(+)::chr11:107370639-107370651(+) AGCAGGAAGCAT >mm10_chr11:107370676-107370687(-)::chr11:107370675-107370687(-) GGAAGGAAATCT >mm10_chr11:107370680-107370691(-)::chr11:107370679-107370691(-) GGCAGGAAGGAA >mm10_chr11:107370688-107370699(-)::chr11:107370687-107370699(-) GGGAGGAAGGCA >mm10_chr11:107402396-107402407(+)::chr11:107402395-107402407(+) agggggaagtgc >mm10_chr11:107416629-107416640(+)::chr11:107416628-107416640(+) AGAAGGAAGCTC >mm10_chr11:107416676-107416687(+)::chr11:107416675-107416687(+) CCAAGGAAGTCA >mm10_chr11:107417449-107417460(+)::chr11:107417448-107417460(+) GAACGGAAGTAG >mm10_chr11:107428155-107428166(-)::chr11:107428154-107428166(-) ACCAGGAAGGCT >mm10_chr11:107428185-107428196(-)::chr11:107428184-107428196(-) TGCAGGAAGTAT >mm10_chr11:107455234-107455245(-)::chr11:107455233-107455245(-) GGAAGGAACTAG >mm10_chr11:107457211-107457222(-)::chr11:107457210-107457222(-) agaaggaagcag >mm10_chr11:107457250-107457261(-)::chr11:107457249-107457261(-) gagaggaagagg >mm10_chr11:107463503-107463514(-)::chr11:107463502-107463514(-) GCCAGGAAGTTG >mm10_chr11:107466060-107466071(+)::chr11:107466059-107466071(+) aggaggaagaag >mm10_chr11:107466069-107466080(+)::chr11:107466068-107466080(+) aagaggaaggag >mm10_chr11:107466085-107466096(+)::chr11:107466084-107466096(+) aggaggaagaag >mm10_chr11:107513401-107513412(-)::chr11:107513400-107513412(-) AACAGGAAGCGT >mm10_chr11:107555161-107555172(+)::chr11:107555160-107555172(+) ATGAGGAAGTGT >mm10_chr11:107580516-107580527(+)::chr11:107580515-107580527(+) AAGAGGAAGTTG >mm10_chr11:107580706-107580717(+)::chr11:107580705-107580717(+) ACAAGGAAGAGC >mm10_chr11:107592579-107592590(-)::chr11:107592578-107592590(-) AGAAGGAAAAAG >mm10_chr11:107675935-107675946(-)::chr11:107675934-107675946(-) AAGAGGAAGAGG >mm10_chr11:107675941-107675952(-)::chr11:107675940-107675952(-) AACAGGAAGAGG >mm10_chr11:107695726-107695737(+)::chr11:107695725-107695737(+) agaaggacgggg >mm10_chr11:107730956-107730967(+)::chr11:107730955-107730967(+) TTAAGGAACTAC >mm10_chr11:107738116-107738127(+)::chr11:107738115-107738127(+) GCCAGGAAGTTC >mm10_chr11:107854521-107854532(+)::chr11:107854520-107854532(+) aggaggaagagg >mm10_chr11:107854533-107854544(+)::chr11:107854532-107854544(+) gggaggaagagg >mm10_chr11:107854712-107854723(-)::chr11:107854711-107854723(-) ACAAGGAAAAGT >mm10_chr11:107989733-107989744(-)::chr11:107989732-107989744(-) ggaaggaagagg >mm10_chr11:107989737-107989748(-)::chr11:107989736-107989748(-) aagaggaaggaa >mm10_chr11:107989746-107989757(-)::chr11:107989745-107989757(-) aggaggaagaag >mm10_chr11:107989759-107989770(-)::chr11:107989758-107989770(-) aggaggaagaag >mm10_chr11:107989766-107989777(-)::chr11:107989765-107989777(-) aagaggaaggag >mm10_chr11:107989779-107989790(-)::chr11:107989778-107989790(-) ggaaggaagaag >mm10_chr11:107989783-107989794(-)::chr11:107989782-107989794(-) ggaaggaaggaa >mm10_chr11:107989787-107989798(-)::chr11:107989786-107989798(-) aggaggaaggaa >mm10_chr11:107989810-107989821(-)::chr11:107989809-107989821(-) GGAaggaagagg >mm10_chr11:108113161-108113172(-)::chr11:108113160-108113172(-) ataaggatgtgg >mm10_chr11:108160025-108160036(-)::chr11:108160024-108160036(-) ggaaggaaatgt >mm10_chr11:108160029-108160040(-)::chr11:108160028-108160040(-) ttaaggaaggaa >mm10_chr11:108223346-108223357(-)::chr11:108223345-108223357(-) ATAAGGAACTGC >mm10_chr11:108252062-108252073(-)::chr11:108252061-108252073(-) ATAGGGAAGGAA >mm10_chr11:108252099-108252110(-)::chr11:108252098-108252110(-) ATCAGGAAGATG >mm10_chr11:108258140-108258151(-)::chr11:108258139-108258151(-) TCAAGGAAATGA >mm10_chr11:108258184-108258195(+)::chr11:108258183-108258195(+) CAGAGGAAGACG >mm10_chr11:108259544-108259555(+)::chr11:108259543-108259555(+) GGGAGGAAGTGG >mm10_chr11:108269758-108269769(+)::chr11:108269757-108269769(+) ACACGGAAGCAC >mm10_chr11:108307635-108307646(+)::chr11:108307634-108307646(+) AGAAGGAAGTTA >mm10_chr11:108318165-108318176(+)::chr11:108318164-108318176(+) TACAGGAAGGAC >mm10_chr11:108318185-108318196(-)::chr11:108318184-108318196(-) AAGCGGAAGTCA >mm10_chr11:108318459-108318470(+)::chr11:108318458-108318470(+) ACAAGGATGTGC >mm10_chr11:108331585-108331596(+)::chr11:108331584-108331596(+) AAAAGGAAGACA >mm10_chr11:108344235-108344246(-)::chr11:108344234-108344246(-) aggaggaagcag >mm10_chr11:108365377-108365388(-)::chr11:108365376-108365388(-) ATCAGGAAGATG >mm10_chr11:108378051-108378062(-)::chr11:108378050-108378062(-) AGGAGGAAGTGC >mm10_chr11:108445518-108445529(+)::chr11:108445517-108445529(+) acagggaagtgg >mm10_chr11:108752210-108752221(-)::chr11:108752209-108752221(-) aggaggaaggga >mm10_chr11:108752253-108752264(-)::chr11:108752252-108752264(-) agcaggaaggga >mm10_chr11:108752273-108752284(-)::chr11:108752272-108752284(-) taaaggaaggga >mm10_chr11:108780986-108780997(-)::chr11:108780985-108780997(-) ATGAGGAAATGC >mm10_chr11:108781019-108781030(-)::chr11:108781018-108781030(-) ATCAGGAAGAAT >mm10_chr11:108818704-108818715(-)::chr11:108818703-108818715(-) AAGAGGAAGTAC >mm10_chr11:108920465-108920476(+)::chr11:108920464-108920476(+) ACCGGGAAATAA >mm10_chr11:109029746-109029757(+)::chr11:109029745-109029757(+) aagaggaagaga >mm10_chr11:109029767-109029778(+)::chr11:109029766-109029778(+) aggaggaagagg >mm10_chr11:109077861-109077872(-)::chr11:109077860-109077872(-) agcaggaaggtt >mm10_chr11:109077924-109077935(-)::chr11:109077923-109077935(-) ATAAGGATGTAA >mm10_chr11:109135346-109135357(+)::chr11:109135345-109135357(+) aggaggaAGCAG >mm10_chr11:109258384-109258395(-)::chr11:109258383-109258395(-) AGAAGGAAATTC >mm10_chr11:109264330-109264341(-)::chr11:109264329-109264341(-) ACCAGGAAGGGG >mm10_chr11:109270696-109270707(+)::chr11:109270695-109270707(+) AAAAGGAAGAGG >mm10_chr11:109270702-109270713(+)::chr11:109270701-109270713(+) AAGAGGAAGAac >mm10_chr11:109281557-109281568(+)::chr11:109281556-109281568(+) AACAGGAAGAAC >mm10_chr11:109307922-109307933(-)::chr11:109307921-109307933(-) AGGAGGAAGTAA >mm10_chr11:109321404-109321415(+)::chr11:109321403-109321415(+) AGAAGGAAGGAA >mm10_chr11:109321408-109321419(+)::chr11:109321407-109321419(+) GGAAGGAAGAGT >mm10_chr11:109321420-109321431(+)::chr11:109321419-109321431(+) ACCAGGAAGGAT >mm10_chr11:109326573-109326584(+)::chr11:109326572-109326584(+) GGGAGGAAGGGA >mm10_chr11:109347579-109347590(+)::chr11:109347578-109347590(+) ACCAGGAAGCGA >mm10_chr11:109347598-109347609(-)::chr11:109347597-109347609(-) AGAAGGAAGCTA >mm10_chr11:109350535-109350546(+)::chr11:109350534-109350546(+) ATGAGGAAGGAT >mm10_chr11:109350573-109350584(-)::chr11:109350572-109350584(-) AATAGGAAGGAG >mm10_chr11:109353326-109353337(-)::chr11:109353325-109353337(-) ACCAGGAACTAT >mm10_chr11:109353345-109353356(-)::chr11:109353344-109353356(-) ATAAGGAACTTT >mm10_chr11:109354648-109354659(-)::chr11:109354647-109354659(-) TTAaggaaggga >mm10_chr11:109401566-109401577(+)::chr11:109401565-109401577(+) AGCAGGAAGAGG >mm10_chr11:109426073-109426084(+)::chr11:109426072-109426084(+) AGGAGGAAGGTG >mm10_chr11:109496135-109496146(-)::chr11:109496134-109496146(-) ACACGGAAGACT >mm10_chr11:109496155-109496166(+)::chr11:109496154-109496166(+) AAGAGGAAGGAG >mm10_chr11:109496162-109496173(+)::chr11:109496161-109496173(+) AGGAGGAAGGAC >mm10_chr11:109497253-109497264(-)::chr11:109497252-109497264(-) AGGAGGAAATAG >mm10_chr11:109498526-109498537(-)::chr11:109498525-109498537(-) AGAAGGATGTAG >mm10_chr11:109498564-109498575(-)::chr11:109498563-109498575(-) AACGGGAAATAA >mm10_chr11:109510810-109510821(-)::chr11:109510809-109510821(-) ACAAGGAAATGC >mm10_chr11:109518988-109518999(+)::chr11:109518987-109518999(+) GGAAGGAAGGGA >mm10_chr11:109519028-109519039(-)::chr11:109519027-109519039(-) tacaGGAAGTTA >mm10_chr11:109554568-109554579(-)::chr11:109554567-109554579(-) ACCAGGAAATGC >mm10_chr11:109593732-109593743(+)::chr11:109593731-109593743(+) AGAAGGAAGGAA >mm10_chr11:109593736-109593747(+)::chr11:109593735-109593747(+) GGAAGGAAATTT >mm10_chr11:109610337-109610348(+)::chr11:109610336-109610348(+) AGCCGGAAGTGG >mm10_chr11:109612100-109612111(-)::chr11:109612099-109612111(-) GCAAGGAAGCAG >mm10_chr11:109615324-109615335(+)::chr11:109615323-109615335(+) AGCAGGAAGTCA >mm10_chr11:109616589-109616600(+)::chr11:109616588-109616600(+) ACAGGGAAGTTG >mm10_chr11:109616895-109616906(+)::chr11:109616894-109616906(+) AGGAGGAAGAAG >mm10_chr11:109616902-109616913(+)::chr11:109616901-109616913(+) AGAAGGAAGGTT >mm10_chr11:109649548-109649559(-)::chr11:109649547-109649559(-) AGAAGGAAGCCG >mm10_chr11:109649564-109649575(-)::chr11:109649563-109649575(-) GGAAGGAAGGGG >mm10_chr11:109687816-109687827(-)::chr11:109687815-109687827(-) TAGAGGAAGTAC >mm10_chr11:109704333-109704344(+)::chr11:109704332-109704344(+) AGGAGGAAGTGG >mm10_chr11:109716359-109716370(-)::chr11:109716358-109716370(-) ACCAGGAAGTTT >mm10_chr11:109722778-109722789(-)::chr11:109722777-109722789(-) AACAGGAAATCA >mm10_chr11:109738386-109738397(+)::chr11:109738385-109738397(+) GTAAGGAGGTAG >mm10_chr11:109745138-109745149(+)::chr11:109745137-109745149(+) ATGAGGAAGGAG >mm10_chr11:109745150-109745161(-)::chr11:109745149-109745161(-) AACAGGAAATTG >mm10_chr11:109747463-109747474(+)::chr11:109747462-109747474(+) tcaaggaaatga >mm10_chr11:109749568-109749579(+)::chr11:109749567-109749579(+) aagaggaagagg >mm10_chr11:109749574-109749585(+)::chr11:109749573-109749585(+) aagaggaagagg >mm10_chr11:109749613-109749624(+)::chr11:109749612-109749624(+) agaaggaaaagg >mm10_chr11:109754563-109754574(-)::chr11:109754562-109754574(-) GAAAGGAAGAGG >mm10_chr11:109754873-109754884(-)::chr11:109754872-109754884(-) ACAAGGAAGTCT >mm10_chr11:109772557-109772568(+)::chr11:109772556-109772568(+) atgaggaaggag >mm10_chr11:109773130-109773141(+)::chr11:109773129-109773141(+) TAAAGGAAGCTA >mm10_chr11:109773149-109773160(+)::chr11:109773148-109773160(+) ACCAGGAAGAAC >mm10_chr11:109795549-109795560(-)::chr11:109795548-109795560(-) AGAAGGAAGGCA >mm10_chr11:109797478-109797489(+)::chr11:109797477-109797489(+) AGCAGGAAGAGA >mm10_chr11:109826996-109827007(-)::chr11:109826995-109827007(-) AGGAGGAAGAGC >mm10_chr11:109827052-109827063(-)::chr11:109827051-109827063(-) TAAAGGAAGTTT >mm10_chr11:109844477-109844488(-)::chr11:109844476-109844488(-) GGAAGGAAGTct >mm10_chr11:109849095-109849106(-)::chr11:109849094-109849106(-) ACAAGGCAGTGT >mm10_chr11:109849125-109849136(+)::chr11:109849124-109849136(+) CGAAGGAAGAAG >mm10_chr11:109879381-109879392(-)::chr11:109879380-109879392(-) AGAAGGAAGATT >mm10_chr11:109879403-109879414(-)::chr11:109879402-109879414(-) aagaggaagaag >mm10_chr11:109879412-109879423(-)::chr11:109879411-109879423(-) aggaggaagaag >mm10_chr11:109892518-109892529(+)::chr11:109892517-109892529(+) TAGAGGAAGACA >mm10_chr11:109892536-109892547(+)::chr11:109892535-109892547(+) AACAGGAAGCAT >mm10_chr11:109892985-109892996(+)::chr11:109892984-109892996(+) gagaggaagaac >mm10_chr11:109896351-109896362(-)::chr11:109896350-109896362(-) ACTAGGAAATAG >mm10_chr11:109896376-109896387(-)::chr11:109896375-109896387(-) ATTAGGAAGTCC >mm10_chr11:110165981-110165992(-)::chr11:110165980-110165992(-) ATGAGGAAGAGA >mm10_chr11:110166021-110166032(+)::chr11:110166020-110166032(+) TCAAGGAAGTAG >mm10_chr11:110180331-110180342(-)::chr11:110180330-110180342(-) AAGAGGAAGTTT >mm10_chr11:110268658-110268669(-)::chr11:110268657-110268669(-) GGAAGGAAGTTG >mm10_chr11:110268662-110268673(-)::chr11:110268661-110268673(-) TCCAGGAAGGAA >mm10_chr11:110416744-110416755(+)::chr11:110416743-110416755(+) cccaggaagtgg >mm10_chr11:110416776-110416787(+)::chr11:110416775-110416787(+) agaaggaaattg >mm10_chr11:110442882-110442893(-)::chr11:110442881-110442893(-) accaggaagatc >mm10_chr11:110442906-110442917(+)::chr11:110442905-110442917(+) aggaggaagcgt >mm10_chr11:110448544-110448555(+)::chr11:110448543-110448555(+) GAGAGGAAGAGA >mm10_chr11:110448565-110448576(-)::chr11:110448564-110448576(-) TCAGGGAAGTAG >mm10_chr11:110448580-110448591(-)::chr11:110448579-110448591(-) ACAAGGAAGGAG >mm10_chr11:110465510-110465521(+)::chr11:110465509-110465521(+) AGAAGGAAGCTG >mm10_chr11:110478111-110478122(-)::chr11:110478110-110478122(-) AAGAGGAAGAAC >mm10_chr11:110544457-110544468(-)::chr11:110544456-110544468(-) GGAAGGAAGCCA >mm10_chr11:110554866-110554877(-)::chr11:110554865-110554877(-) GGCAGGAAGAGA >mm10_chr11:110596154-110596165(-)::chr11:110596153-110596165(-) AAGAGGAAGAAC >mm10_chr11:110596502-110596513(+)::chr11:110596501-110596513(+) CCAAGGAAGCAA >mm10_chr11:110633631-110633642(+)::chr11:110633630-110633642(+) acagggaaataa >mm10_chr11:110714340-110714351(-)::chr11:110714339-110714351(-) agaaggaaggaG >mm10_chr11:110714347-110714358(-)::chr11:110714346-110714358(-) aggaggaagaag >mm10_chr11:110714354-110714365(-)::chr11:110714353-110714365(-) agaaggaaggag >mm10_chr11:110714361-110714372(-)::chr11:110714360-110714372(-) ggaaggaagaag >mm10_chr11:110714365-110714376(-)::chr11:110714364-110714376(-) ggaaggaaggaa >mm10_chr11:110839473-110839484(-)::chr11:110839472-110839484(-) AGCAGGAAGTAT >mm10_chr11:110899895-110899906(+)::chr11:110899894-110899906(+) AAAAGGAACTGA >mm10_chr11:110993845-110993856(-)::chr11:110993844-110993856(-) TGGAGGAAGTGG >mm10_chr11:111065795-111065806(+)::chr11:111065794-111065806(+) ACCGGGAAGTGT >mm10_chr11:111065910-111065921(-)::chr11:111065909-111065921(-) AGGAGGAAGTCG >mm10_chr11:111066231-111066242(-)::chr11:111066230-111066242(-) CGAAGCAAGTGA >mm10_chr11:111066684-111066695(-)::chr11:111066683-111066695(-) AAGAGGAAGAAT >mm10_chr11:111082973-111082984(-)::chr11:111082972-111082984(-) AACAGGAAGCCA >mm10_chr11:111087365-111087376(+)::chr11:111087364-111087376(+) GCATGGAAGTAG >mm10_chr11:111096813-111096824(+)::chr11:111096812-111096824(+) ACGAGGAAGACG >mm10_chr11:111240685-111240696(+)::chr11:111240684-111240696(+) TCTAGGAAGTTC >mm10_chr11:111252338-111252349(+)::chr11:111252337-111252349(+) TGAAGGAAGAGG >mm10_chr11:111252379-111252390(-)::chr11:111252378-111252390(-) GCAGGGAAGACG >mm10_chr11:111256724-111256735(-)::chr11:111256723-111256735(-) GGAAGGAAGTTG >mm10_chr11:111256728-111256739(-)::chr11:111256727-111256739(-) CGAGGGAAGGAA >mm10_chr11:111283781-111283792(+)::chr11:111283780-111283792(+) AGTAGGAAGAGT >mm10_chr11:111309930-111309941(+)::chr11:111309929-111309941(+) aacaggaagcag >mm10_chr11:111309945-111309956(+)::chr11:111309944-111309956(+) gaaaggaagaga >mm10_chr11:111322793-111322804(+)::chr11:111322792-111322804(+) TGGAGGAAGTGG >mm10_chr11:111322804-111322815(+)::chr11:111322803-111322815(+) GTAAGGAAATAA >mm10_chr11:111445196-111445207(+)::chr11:111445195-111445207(+) TGAAGGAAGAAA >mm10_chr11:111494470-111494481(-)::chr11:111494469-111494481(-) aggaggaagaag >mm10_chr11:111494477-111494488(-)::chr11:111494476-111494488(-) gccaggaaggag >mm10_chr11:111598740-111598751(-)::chr11:111598739-111598751(-) AGCAGGAAGTGC >mm10_chr11:111666703-111666714(+)::chr11:111666702-111666714(+) AAAAGGAAGAAG >mm10_chr11:111666716-111666727(+)::chr11:111666715-111666727(+) AGAAGGAAGGTA >mm10_chr11:111682516-111682527(+)::chr11:111682515-111682527(+) ACAAGGAAGCCT >mm10_chr11:111687996-111688007(-)::chr11:111687995-111688007(-) ATCAGGAAGGCA >mm10_chr11:111690073-111690084(-)::chr11:111690072-111690084(-) GGAAGGAAGGCA >mm10_chr11:111690077-111690088(-)::chr11:111690076-111690088(-) CCAAGGAAGGAA >mm10_chr11:111690091-111690102(-)::chr11:111690090-111690102(-) ACGGGGAAGTCC >mm10_chr11:111732333-111732344(-)::chr11:111732332-111732344(-) ACAAGGAAGCCC >mm10_chr11:111735627-111735638(-)::chr11:111735626-111735638(-) GACAGGAAGTTG >mm10_chr11:111890200-111890211(-)::chr11:111890199-111890211(-) AAGAGGAAGAAA >mm10_chr11:111913737-111913748(-)::chr11:111913736-111913748(-) AAGAGGAAGTCA >mm10_chr11:111990109-111990120(-)::chr11:111990108-111990120(-) TACAGGAAGTGA >mm10_chr11:111990130-111990141(+)::chr11:111990129-111990141(+) TGGAGGAAATAA >mm10_chr11:112215064-112215075(+)::chr11:112215063-112215075(+) TGCAGGAAGGAG >mm10_chr11:112276197-112276208(-)::chr11:112276196-112276208(-) CTAAGGAAGCAA >mm10_chr11:112307117-112307128(-)::chr11:112307116-112307128(-) tcaaggaagtgc >mm10_chr11:112478853-112478864(+)::chr11:112478852-112478864(+) ACATGGAAGTGC >mm10_chr11:112478893-112478904(-)::chr11:112478892-112478904(-) AGGAGGAAGAGA >mm10_chr11:112501840-112501851(-)::chr11:112501839-112501851(-) AGGAGGAAATGC >mm10_chr11:112631167-112631178(-)::chr11:112631166-112631178(-) ACTaggaagaaa >mm10_chr11:112695413-112695424(+)::chr11:112695412-112695424(+) ACAAGGAAGCGT >mm10_chr11:112718145-112718156(+)::chr11:112718144-112718156(+) AGGAGGAAGCAT >mm10_chr11:112724813-112724824(+)::chr11:112724812-112724824(+) ACAAGGAAGTTC >mm10_chr11:112724829-112724840(+)::chr11:112724828-112724840(+) AAAGGGAAGTAA >mm10_chr11:112767099-112767110(+)::chr11:112767098-112767110(+) AACAGGAAGCCA >mm10_chr11:112777102-112777113(+)::chr11:112777101-112777113(+) aggaggaagaga >mm10_chr11:112777114-112777125(+)::chr11:112777113-112777125(+) aggaggaagaag >mm10_chr11:112777134-112777145(+)::chr11:112777133-112777145(+) aggaggaaggag >mm10_chr11:112777163-112777174(+)::chr11:112777162-112777174(+) agaaggaaaagg >mm10_chr11:112777169-112777180(+)::chr11:112777168-112777180(+) aaaaggaagagg >mm10_chr11:112855469-112855480(+)::chr11:112855468-112855480(+) aggaggaagaga >mm10_chr11:112855490-112855501(+)::chr11:112855489-112855501(+) aggaggaAGGAC >mm10_chr11:112874226-112874237(-)::chr11:112874225-112874237(-) ACGAGGAAGGAT >mm10_chr11:112874257-112874268(+)::chr11:112874256-112874268(+) CGAAGTAAGTCA >mm10_chr11:112880954-112880965(+)::chr11:112880953-112880965(+) atgaggaaatta >mm10_chr11:112925294-112925305(-)::chr11:112925293-112925305(-) tgagggaagtag >mm10_chr11:112977648-112977659(-)::chr11:112977647-112977659(-) AGCCGGAAGCGT >mm10_chr11:112979741-112979752(+)::chr11:112979740-112979752(+) atAAGGAAATTG >mm10_chr11:112992172-112992183(-)::chr11:112992171-112992183(-) GGCGGGAAGCGG >mm10_chr11:112992542-112992553(+)::chr11:112992541-112992553(+) AGAAGGAAGGAG >mm10_chr11:113008707-113008718(+)::chr11:113008706-113008718(+) CGCAGGAAGTTT >mm10_chr11:113010961-113010972(-)::chr11:113010960-113010972(-) AAAAGGAAATCT >mm10_chr11:113012165-113012176(-)::chr11:113012164-113012176(-) AGCAGGAAGTCT >mm10_chr11:113015580-113015591(+)::chr11:113015579-113015591(+) ACCAGGAAGATG >mm10_chr11:113046277-113046288(-)::chr11:113046276-113046288(-) AGAAGGAACGAG >mm10_chr11:113046289-113046300(-)::chr11:113046288-113046300(-) GTACGGAAGGAG >mm10_chr11:113051099-113051110(+)::chr11:113051098-113051110(+) gggaggaagaag >mm10_chr11:113051110-113051121(+)::chr11:113051109-113051121(+) gaaaggaaggac >mm10_chr11:113051131-113051142(+)::chr11:113051130-113051142(+) aagaggaagtga >mm10_chr11:113051164-113051175(+)::chr11:113051163-113051175(+) aggaggaagaca >mm10_chr11:113051184-113051195(+)::chr11:113051183-113051195(+) gagaggaaggag >mm10_chr11:113078727-113078738(-)::chr11:113078726-113078738(-) TCAAGGAAGTAC >mm10_chr11:113078791-113078802(+)::chr11:113078790-113078802(+) Tggaggaagagg >mm10_chr11:113078803-113078814(+)::chr11:113078802-113078814(+) aggaggaagagg >mm10_chr11:113078809-113078820(+)::chr11:113078808-113078820(+) aagaggaagagg >mm10_chr11:113082871-113082882(+)::chr11:113082870-113082882(+) aggaggaagagg >mm10_chr11:113082877-113082888(+)::chr11:113082876-113082888(+) aagaggaagagg >mm10_chr11:113082886-113082897(+)::chr11:113082885-113082897(+) aggaggaagagg >mm10_chr11:113101531-113101542(+)::chr11:113101530-113101542(+) GGCAGGAAGGAA >mm10_chr11:113101850-113101861(+)::chr11:113101849-113101861(+) AAGAGGAAATGA >mm10_chr11:113111132-113111143(-)::chr11:113111131-113111143(-) TGCAGGAAGTCA >mm10_chr11:113112940-113112951(+)::chr11:113112939-113112951(+) ATCAGGAAGTTG >mm10_chr11:113113440-113113451(-)::chr11:113113439-113113451(-) ACAAGGAAGTAA >mm10_chr11:113125845-113125856(-)::chr11:113125844-113125856(-) gtcaggaaggtg >mm10_chr11:113125862-113125873(-)::chr11:113125861-113125873(-) GGAAggaagatg >mm10_chr11:113125892-113125903(-)::chr11:113125891-113125903(-) ACCAGGAAGTAA >mm10_chr11:113126470-113126481(+)::chr11:113126469-113126481(+) Ggaaggaagcag >mm10_chr11:113126490-113126501(+)::chr11:113126489-113126501(+) aggaggaaggag >mm10_chr11:113153518-113153529(-)::chr11:113153517-113153529(-) AAGAGGAAATAC >mm10_chr11:113167313-113167324(-)::chr11:113167312-113167324(-) ACAAGGAAATGT >mm10_chr11:113192258-113192269(-)::chr11:113192257-113192269(-) GGAAGGAAATCT >mm10_chr11:113209096-113209107(+)::chr11:113209095-113209107(+) AGAAGGAAGAAG >mm10_chr11:113209673-113209684(-)::chr11:113209672-113209684(-) GGCAGGAAGTAT >mm10_chr11:113232021-113232032(+)::chr11:113232020-113232032(+) TGCAGGAAGAGA >mm10_chr11:113251402-113251413(+)::chr11:113251401-113251413(+) ACGAGGAAGTAA >mm10_chr11:113258250-113258261(+)::chr11:113258249-113258261(+) AGCAGGAAGTGC >mm10_chr11:113263176-113263187(-)::chr11:113263175-113263187(-) ACAAGGAAGGGG >mm10_chr11:113266113-113266124(-)::chr11:113266112-113266124(-) aggaggaagaag >mm10_chr11:113266132-113266143(-)::chr11:113266131-113266143(-) aggaggaaggag >mm10_chr11:113266154-113266165(-)::chr11:113266153-113266165(-) aggaggaagaag >mm10_chr11:113275936-113275947(+)::chr11:113275935-113275947(+) aggaggaagagg >mm10_chr11:113275945-113275956(+)::chr11:113275944-113275956(+) aggaggaagaag >mm10_chr11:113275957-113275968(+)::chr11:113275956-113275968(+) aagaggaagaag >mm10_chr11:113275969-113275980(+)::chr11:113275968-113275980(+) aggaggaagagg >mm10_chr11:113275975-113275986(+)::chr11:113275974-113275986(+) aagaggaagagg >mm10_chr11:113275987-113275998(+)::chr11:113275986-113275998(+) aggaggaagagg >mm10_chr11:113323854-113323865(+)::chr11:113323853-113323865(+) AAGAGGAAATGG >mm10_chr11:113330369-113330380(+)::chr11:113330368-113330380(+) atgaggaaggag >mm10_chr11:113334681-113334692(-)::chr11:113334680-113334692(-) TAAAGGAAGTAA >mm10_chr11:113334697-113334708(-)::chr11:113334696-113334708(-) AGCAGGAAGTAC >mm10_chr11:113440409-113440420(-)::chr11:113440408-113440420(-) GAAAGGAAGTGG >mm10_chr11:113480476-113480487(+)::chr11:113480475-113480487(+) aagaggaagagg >mm10_chr11:113480524-113480535(+)::chr11:113480523-113480535(+) aagaggaagatg >mm10_chr11:113480544-113480555(+)::chr11:113480543-113480555(+) aggaggaagagg >mm10_chr11:113480550-113480561(+)::chr11:113480549-113480561(+) aagaggaagaga >mm10_chr11:113484751-113484762(+)::chr11:113484750-113484762(+) TCGAGGAAGTGC >mm10_chr11:113484783-113484794(-)::chr11:113484782-113484794(-) TCCAGGAAGTGC >mm10_chr11:113488006-113488017(-)::chr11:113488005-113488017(-) GGCAGGAAGATG >mm10_chr11:113504621-113504632(-)::chr11:113504620-113504632(-) AGCAGGAAGTAG >mm10_chr11:113508144-113508155(-)::chr11:113508143-113508155(-) TTCAGGAAGTGT >mm10_chr11:113544433-113544444(-)::chr11:113544432-113544444(-) TAGAGGAAGTGC >mm10_chr11:113560584-113560595(-)::chr11:113560583-113560595(-) aggaggaagagg >mm10_chr11:113560602-113560613(-)::chr11:113560601-113560613(-) aggaggaagaag >mm10_chr11:113560620-113560631(-)::chr11:113560619-113560631(-) aggaggaagaga >mm10_chr11:113562997-113563008(+)::chr11:113562996-113563008(+) ACAAGGAAATAG >mm10_chr11:113565753-113565764(-)::chr11:113565752-113565764(-) AGCAGGAAGTGA >mm10_chr11:113642996-113643007(+)::chr11:113642995-113643007(+) atgaggaagaag >mm10_chr11:113643003-113643014(+)::chr11:113643002-113643014(+) agaaggaagagg >mm10_chr11:113643019-113643030(+)::chr11:113643018-113643030(+) aggaggaagaag >mm10_chr11:113643049-113643060(+)::chr11:113643048-113643060(+) aggaggaagcag >mm10_chr11:113643056-113643067(+)::chr11:113643055-113643067(+) agcaggaaggag >mm10_chr11:113649477-113649488(-)::chr11:113649476-113649488(-) GGGCGGAAGTGC >mm10_chr11:113649525-113649536(+)::chr11:113649524-113649536(+) AGGCGGAAGCGG >mm10_chr11:113684163-113684174(+)::chr11:113684162-113684174(+) CGCAGGAAGAGG >mm10_chr11:113691650-113691661(+)::chr11:113691649-113691661(+) TGAAGGATGTGC >mm10_chr11:113718709-113718720(+)::chr11:113718708-113718720(+) gtgaggaaggag >mm10_chr11:113730047-113730058(-)::chr11:113730046-113730058(-) AACAGGAAGTTC >mm10_chr11:113739302-113739313(-)::chr11:113739301-113739313(-) ACCAGGAAGCAG >mm10_chr11:113741311-113741322(-)::chr11:113741310-113741322(-) acagggaaatat >mm10_chr11:113747373-113747384(+)::chr11:113747372-113747384(+) AGAAGGAAGCTG >mm10_chr11:113752503-113752514(+)::chr11:113752502-113752514(+) TAAAGGAAGTGG >mm10_chr11:113760749-113760760(-)::chr11:113760748-113760760(-) tacaggacgtaa >mm10_chr11:113766417-113766428(-)::chr11:113766416-113766428(-) caaaggaagcaa >mm10_chr11:113779929-113779940(-)::chr11:113779928-113779940(-) TGCAGGAAGTCA >mm10_chr11:113802660-113802671(+)::chr11:113802659-113802671(+) GAGAGGAAGGAA >mm10_chr11:113802672-113802683(+)::chr11:113802671-113802683(+) AGAAGGAACACG >mm10_chr11:113815902-113815913(-)::chr11:113815901-113815913(-) accaggaagact >mm10_chr11:113815913-113815924(-)::chr11:113815912-113815924(-) accaggaagcca >mm10_chr11:113835310-113835321(-)::chr11:113835309-113835321(-) AACAGGAAGACA >mm10_chr11:113835326-113835337(-)::chr11:113835325-113835337(-) GTAAGGGAGTTA >mm10_chr11:113986312-113986323(-)::chr11:113986311-113986323(-) ACACGGAAGGGC >mm10_chr11:114056397-114056408(+)::chr11:114056396-114056408(+) ACAAGGAAGTTG >mm10_chr11:114335093-114335104(+)::chr11:114335092-114335104(+) aggaggaaggac >mm10_chr11:114335103-114335114(+)::chr11:114335102-114335114(+) accaggaagagg >mm10_chr11:114336376-114336387(-)::chr11:114336375-114336387(-) ATGAGGAAGTAA >mm10_chr11:114336653-114336664(-)::chr11:114336652-114336664(-) ATAAGGAAGGGG >mm10_chr11:114367217-114367228(-)::chr11:114367216-114367228(-) GACAGGAAGCAG >mm10_chr11:114416674-114416685(+)::chr11:114416673-114416685(+) GAAAGGAAGTGG >mm10_chr11:114468540-114468551(+)::chr11:114468539-114468551(+) AGGAGGAAGGGG >mm10_chr11:114477625-114477636(+)::chr11:114477624-114477636(+) acaaggaagtcc >mm10_chr11:114523468-114523479(+)::chr11:114523467-114523479(+) AGGAGGAAGAAG >mm10_chr11:114526851-114526862(-)::chr11:114526850-114526862(-) ACGAGGAAATGA >mm10_chr11:114568696-114568707(+)::chr11:114568695-114568707(+) GGAAGGAAGCTG >mm10_chr11:114571330-114571341(-)::chr11:114571329-114571341(-) ACGAGGAAGCTT >mm10_chr11:114577040-114577051(-)::chr11:114577039-114577051(-) aacaggaagtac >mm10_chr11:114652663-114652674(+)::chr11:114652662-114652674(+) TGTAGGAAATAG >mm10_chr11:114747956-114747967(-)::chr11:114747955-114747967(-) ATGAGGAAGTAA >mm10_chr11:114788585-114788596(-)::chr11:114788584-114788596(-) aaaaggaagagg >mm10_chr11:114788606-114788617(-)::chr11:114788605-114788617(-) aggaggaagagg >mm10_chr11:114788645-114788656(-)::chr11:114788644-114788656(-) aagaggaagagg >mm10_chr11:114810495-114810506(+)::chr11:114810494-114810506(+) aacaggaaatcc >mm10_chr11:114830258-114830269(-)::chr11:114830257-114830269(-) AAGAGGAAGGTC >mm10_chr11:114830264-114830275(-)::chr11:114830263-114830275(-) TCCAGGAAGAGG >mm10_chr11:114837154-114837165(+)::chr11:114837153-114837165(+) ACAGGGAAGTTG >mm10_chr11:114846622-114846633(-)::chr11:114846621-114846633(-) CACAGGAAGTCA >mm10_chr11:114846646-114846657(-)::chr11:114846645-114846657(-) TCAAGGAAGAGC >mm10_chr11:114853250-114853261(+)::chr11:114853249-114853261(+) AGGAGGAAGTGG >mm10_chr11:114853337-114853348(+)::chr11:114853336-114853348(+) GGGAGGAAGAAG >mm10_chr11:114860867-114860878(-)::chr11:114860866-114860878(-) aggaggaaggat >mm10_chr11:114861338-114861349(-)::chr11:114861337-114861349(-) aagaggaagaag >mm10_chr11:114867127-114867138(+)::chr11:114867126-114867138(+) GGAAGGAGGTGG >mm10_chr11:114883404-114883415(+)::chr11:114883403-114883415(+) AGGAGGAAATAG >mm10_chr11:114885382-114885393(-)::chr11:114885381-114885393(-) AAGAGGAAATAC >mm10_chr11:114885403-114885414(+)::chr11:114885402-114885414(+) AGGAGGAAGGAT >mm10_chr11:114885987-114885998(-)::chr11:114885986-114885998(-) ATAGGGAAGTTA >mm10_chr11:114886021-114886032(-)::chr11:114886020-114886032(-) AAGCGGAAGTGT >mm10_chr11:114892453-114892464(+)::chr11:114892452-114892464(+) aaccggaagtcc >mm10_chr11:114897884-114897895(-)::chr11:114897883-114897895(-) AGCAGGAAGGTG >mm10_chr11:114903573-114903584(-)::chr11:114903572-114903584(-) TGAAGGAAGAGA >mm10_chr11:114903582-114903593(-)::chr11:114903581-114903593(-) AGAAGAAAGTGA >mm10_chr11:114903606-114903617(-)::chr11:114903605-114903617(-) AGCAGGAAGAGG >mm10_chr11:114913108-114913119(+)::chr11:114913107-114913119(+) AAGAGGAAGGAC >mm10_chr11:114915138-114915149(-)::chr11:114915137-114915149(-) GGGAGGAAGAAG >mm10_chr11:114967886-114967897(+)::chr11:114967885-114967897(+) GACAGGAAGGAT >mm10_chr11:114967923-114967934(+)::chr11:114967922-114967934(+) AGAAGGAAAATG >mm10_chr11:115071125-115071136(+)::chr11:115071124-115071136(+) tggaggaagaat >mm10_chr11:115071143-115071154(-)::chr11:115071142-115071154(-) tagaggaagaaa >mm10_chr11:115133983-115133994(-)::chr11:115133982-115133994(-) AGGAGGAAGTGC >mm10_chr11:115133999-115134010(-)::chr11:115133998-115134010(-) AGCGGGAAGTGG >mm10_chr11:115134028-115134039(+)::chr11:115134027-115134039(+) AAGAGGAAGGAG >mm10_chr11:115134032-115134043(+)::chr11:115134031-115134043(+) GGAAGGAGGTAG >mm10_chr11:115163140-115163151(-)::chr11:115163139-115163151(-) CCCAGGAAGTGG >mm10_chr11:115173498-115173509(-)::chr11:115173497-115173509(-) ACCAGGAAGACT >mm10_chr11:115175091-115175102(+)::chr11:115175090-115175102(+) aaaaggaagacc >mm10_chr11:115192351-115192362(-)::chr11:115192350-115192362(-) AAAAGGAAGTCA >mm10_chr11:115236942-115236953(+)::chr11:115236941-115236953(+) AGCAGGAAGGAA >mm10_chr11:115291136-115291147(-)::chr11:115291135-115291147(-) CCAAGGAAGCTG >mm10_chr11:115297147-115297158(-)::chr11:115297146-115297158(-) GGGAGGAAGTCC >mm10_chr11:115359932-115359943(+)::chr11:115359931-115359943(+) AAGAGGAAGTGA >mm10_chr11:115359941-115359952(-)::chr11:115359940-115359952(-) AGCAGGAAGTCA >mm10_chr11:115381795-115381806(+)::chr11:115381794-115381806(+) ACCAGGAAGGTC >mm10_chr11:115452160-115452171(-)::chr11:115452159-115452171(-) GGCAGGAAGAGT >mm10_chr11:115463754-115463765(-)::chr11:115463753-115463765(-) GGGAGGAAGCAT >mm10_chr11:115473018-115473029(-)::chr11:115473017-115473029(-) CCAAGGAAGAAA >mm10_chr11:115473055-115473066(+)::chr11:115473054-115473066(+) TGCAGGAAGCAG >mm10_chr11:115475669-115475680(+)::chr11:115475668-115475680(+) ACCAGGAAGCGG >mm10_chr11:115491823-115491834(+)::chr11:115491822-115491834(+) GCACGGAAGATG >mm10_chr11:115512903-115512914(-)::chr11:115512902-115512914(-) TTCAGGAAGTAG >mm10_chr11:115514556-115514567(-)::chr11:115514555-115514567(-) TACAGGAAGGGG >mm10_chr11:115615782-115615793(-)::chr11:115615781-115615793(-) ACCAGGAAGGAG >mm10_chr11:115615792-115615803(+)::chr11:115615791-115615803(+) GTAAGGAAGTGC >mm10_chr11:115639196-115639207(+)::chr11:115639195-115639207(+) ACCAGGAAGCAA >mm10_chr11:115649816-115649827(+)::chr11:115649815-115649827(+) ATCAGGAAGGCC >mm10_chr11:115666917-115666928(-)::chr11:115666916-115666928(-) GAGAGGAAGgag >mm10_chr11:115680084-115680095(+)::chr11:115680083-115680095(+) ACCAGGAAGAGG >mm10_chr11:115692989-115693000(+)::chr11:115692988-115693000(+) AAGAGGAAGTGG >mm10_chr11:115699581-115699592(-)::chr11:115699580-115699592(-) AAGAGGAAGTGT >mm10_chr11:115699587-115699598(-)::chr11:115699586-115699598(-) GGAAGGAAGAGG >mm10_chr11:115712462-115712473(+)::chr11:115712461-115712473(+) AAAAGGAAGTCT >mm10_chr11:115712490-115712501(+)::chr11:115712489-115712501(+) ACAGGGAAGTGG >mm10_chr11:115712503-115712514(+)::chr11:115712502-115712514(+) GGGAGGAAGTGA >mm10_chr11:115713618-115713629(-)::chr11:115713617-115713629(-) ACAAGGAAATGT >mm10_chr11:115713650-115713661(+)::chr11:115713649-115713661(+) TGAAGGAAATAA >mm10_chr11:115774889-115774900(-)::chr11:115774888-115774900(-) aacaggaagttc >mm10_chr11:115775858-115775869(-)::chr11:115775857-115775869(-) AGAGGGAAGTTA >mm10_chr11:115779336-115779347(-)::chr11:115779335-115779347(-) GGCAGGAAGTCT >mm10_chr11:115784972-115784983(+)::chr11:115784971-115784983(+) aaaaggaaggaa >mm10_chr11:115784976-115784987(+)::chr11:115784975-115784987(+) ggaaggaagtgg >mm10_chr11:115797138-115797149(-)::chr11:115797137-115797149(-) AGCAGGAAGTAG >mm10_chr11:115797150-115797161(-)::chr11:115797149-115797161(-) AGCAGGAAGCAG >mm10_chr11:115814011-115814022(-)::chr11:115814010-115814022(-) AAGAGGAAGTGA >mm10_chr11:115824062-115824073(+)::chr11:115824061-115824073(+) AGCAGGAAGCAG >mm10_chr11:115839889-115839900(-)::chr11:115839888-115839900(-) AGGAGGAACTAG >mm10_chr11:115839923-115839934(-)::chr11:115839922-115839934(-) GGAAGGATGTGG >mm10_chr11:115839927-115839938(-)::chr11:115839926-115839938(-) AGAAGGAAGGAT >mm10_chr11:115872471-115872482(-)::chr11:115872470-115872482(-) ATAAGGCAGTCA >mm10_chr11:115888537-115888548(-)::chr11:115888536-115888548(-) ggcaggaaggca >mm10_chr11:115888545-115888556(-)::chr11:115888544-115888556(-) ggcaggaaggca >mm10_chr11:115888553-115888564(-)::chr11:115888552-115888564(-) ggcaggaaggca >mm10_chr11:115888561-115888572(-)::chr11:115888560-115888572(-) ggcaggaaggca >mm10_chr11:115888569-115888580(-)::chr11:115888568-115888580(-) ggaaggaaggca >mm10_chr11:115888573-115888584(-)::chr11:115888572-115888584(-) ggaaggaaggaa >mm10_chr11:115888577-115888588(-)::chr11:115888576-115888588(-) ggaaggaaggaa >mm10_chr11:115888581-115888592(-)::chr11:115888580-115888592(-) ggaaggaaggaa >mm10_chr11:115888585-115888596(-)::chr11:115888584-115888596(-) gtcaggaaggaa >mm10_chr11:115948639-115948650(-)::chr11:115948638-115948650(-) GGAAGGAAGAGC >mm10_chr11:115948643-115948654(-)::chr11:115948642-115948654(-) AGAAGGAAGGAA >mm10_chr11:115955463-115955474(+)::chr11:115955462-115955474(+) AAAAGGAAGAAA >mm10_chr11:115975819-115975830(-)::chr11:115975818-115975830(-) AGAAGGAAGAGG >mm10_chr11:115975826-115975837(-)::chr11:115975825-115975837(-) GTCAGGAAGAAG >mm10_chr11:116024180-116024191(-)::chr11:116024179-116024191(-) AGCGGGAAGTTG >mm10_chr11:116060652-116060663(-)::chr11:116060651-116060663(-) AGAAGGAAGTGA >mm10_chr11:116088992-116089003(-)::chr11:116088991-116089003(-) TCAAGGAAGCAG >mm10_chr11:116131084-116131095(+)::chr11:116131083-116131095(+) TCCAGGAAGTCG >mm10_chr11:116132495-116132506(-)::chr11:116132494-116132506(-) ACCAGGAAGCCA >mm10_chr11:116146203-116146214(-)::chr11:116146202-116146214(-) TCAGGGAAGTTG >mm10_chr11:116147547-116147558(+)::chr11:116147546-116147558(+) AGCAGGAAGAGA >mm10_chr11:116147557-116147568(+)::chr11:116147556-116147568(+) GACAGGAAGAAT >mm10_chr11:116169064-116169075(+)::chr11:116169063-116169075(+) agaaggaagaag >mm10_chr11:116169071-116169082(+)::chr11:116169070-116169082(+) agaaggaagagg >mm10_chr11:116169080-116169091(+)::chr11:116169079-116169091(+) aggaggaagaag >mm10_chr11:116169092-116169103(+)::chr11:116169091-116169103(+) agaaggaagagg >mm10_chr11:116170767-116170778(-)::chr11:116170766-116170778(-) ATCAGGAAATAG >mm10_chr11:116170840-116170851(-)::chr11:116170839-116170851(-) CATAGGAAGTGA >mm10_chr11:116187801-116187812(+)::chr11:116187800-116187812(+) GACAGGAAGGCA >mm10_chr11:116218896-116218907(-)::chr11:116218895-116218907(-) AGCAGGAAGTGC >mm10_chr11:116272747-116272758(-)::chr11:116272746-116272758(-) agcaggaagtgc >mm10_chr11:116306727-116306738(-)::chr11:116306726-116306738(-) GGACGGAAGCCG >mm10_chr11:116306748-116306759(-)::chr11:116306747-116306759(-) GGGAGGAAGTGG >mm10_chr11:116306807-116306818(+)::chr11:116306806-116306818(+) AGGCGGAAGTGT >mm10_chr11:116327488-116327499(-)::chr11:116327487-116327499(-) GGAAGGAAGTGG >mm10_chr11:116327492-116327503(-)::chr11:116327491-116327503(-) GACAGGAAGGAA >mm10_chr11:116345372-116345383(-)::chr11:116345371-116345383(-) TTAGGGAAGTCA >mm10_chr11:116345389-116345400(-)::chr11:116345388-116345400(-) AGGAGGAAGAGG >mm10_chr11:116353628-116353639(+)::chr11:116353627-116353639(+) AAAAGGAACTGT >mm10_chr11:116368285-116368296(-)::chr11:116368284-116368296(-) ACAAGGAAGTAA >mm10_chr11:116377236-116377247(+)::chr11:116377235-116377247(+) AACAGGAAGCGG >mm10_chr11:116377277-116377288(+)::chr11:116377276-116377288(+) TCGAGGAAATTC >mm10_chr11:116396205-116396216(+)::chr11:116396204-116396216(+) CCCAGGAAGTTC >mm10_chr11:116416463-116416474(+)::chr11:116416462-116416474(+) GTAGGGAAGTTA >mm10_chr11:116426424-116426435(+)::chr11:116426423-116426435(+) AGAAGGAAGAAC >mm10_chr11:116426457-116426468(-)::chr11:116426456-116426468(-) GCAACGAAGTGG >mm10_chr11:116429527-116429538(+)::chr11:116429526-116429538(+) AGCAGGAAGCCA >mm10_chr11:116431934-116431945(-)::chr11:116431933-116431945(-) ACAAGGAAGCAC >mm10_chr11:116433801-116433812(+)::chr11:116433800-116433812(+) TCAATGAAGTAA >mm10_chr11:116534361-116534372(-)::chr11:116534360-116534372(-) CAGAGGAAGTGG >mm10_chr11:116565860-116565871(+)::chr11:116565859-116565871(+) AGCAGGAAGTGC >mm10_chr11:116565893-116565904(+)::chr11:116565892-116565904(+) AGGAGGAAGGAA >mm10_chr11:116616080-116616091(-)::chr11:116616079-116616091(-) TAGAGGAAGAGG >mm10_chr11:116666776-116666787(+)::chr11:116666775-116666787(+) GGAAGGAAGCCA >mm10_chr11:116682650-116682661(-)::chr11:116682649-116682661(-) TCCAGGAAGTGG >mm10_chr11:116688120-116688131(+)::chr11:116688119-116688131(+) GGCAGGAAATGG >mm10_chr11:116704738-116704749(-)::chr11:116704737-116704749(-) agcaggaagatc >mm10_chr11:116704745-116704756(-)::chr11:116704744-116704756(-) aggaggaagcag >mm10_chr11:116704779-116704790(-)::chr11:116704778-116704790(-) accaggaagcca >mm10_chr11:116733956-116733967(-)::chr11:116733955-116733967(-) gcaaggaagggg >mm10_chr11:116733989-116734000(-)::chr11:116733988-116734000(-) gagaggaagggg >mm10_chr11:116754242-116754253(+)::chr11:116754241-116754253(+) GGAAGGAAGAAT >mm10_chr11:116765126-116765137(-)::chr11:116765125-116765137(-) tacaggaagact >mm10_chr11:116773448-116773459(-)::chr11:116773447-116773459(-) accaggatgtaa >mm10_chr11:116781147-116781158(-)::chr11:116781146-116781158(-) ACCAGGAAATGG >mm10_chr11:116795169-116795180(-)::chr11:116795168-116795180(-) ACAAGGAAGGCA >mm10_chr11:116795190-116795201(-)::chr11:116795189-116795201(-) GCAAGGAAGATG >mm10_chr11:116796017-116796028(+)::chr11:116796016-116796028(+) AGAAGGAAGCCT >mm10_chr11:116840415-116840426(-)::chr11:116840414-116840426(-) TCAAGGAAGTGG >mm10_chr11:116843528-116843539(-)::chr11:116843527-116843539(-) AGACGGAAGTGT >mm10_chr11:116843545-116843556(-)::chr11:116843544-116843556(-) GCAGGGAAGCGA >mm10_chr11:116868294-116868305(+)::chr11:116868293-116868305(+) AGCAGGAAGCAA >mm10_chr11:116949902-116949913(+)::chr11:116949901-116949913(+) ATGAGGAAGCGG >mm10_chr11:116971009-116971020(-)::chr11:116971008-116971020(-) ACAAGGAAGGGC >mm10_chr11:116992200-116992211(+)::chr11:116992199-116992211(+) AGGAGGAAGTAA >mm10_chr11:117076778-117076789(+)::chr11:117076777-117076789(+) GGCCGGAAGTGC >mm10_chr11:117082567-117082578(+)::chr11:117082566-117082578(+) AGCAGGAAATGC >mm10_chr11:117088565-117088576(+)::chr11:117088564-117088576(+) aggaggaagggt >mm10_chr11:117088600-117088611(+)::chr11:117088599-117088611(+) atagggaaggcg >mm10_chr11:117089844-117089855(-)::chr11:117089843-117089855(-) ACCAGGAAGTTC >mm10_chr11:117114759-117114770(+)::chr11:117114758-117114770(+) ACGAGGAAGCAC >mm10_chr11:117119910-117119921(-)::chr11:117119909-117119921(-) AGGAGGAAGCGC >mm10_chr11:117121131-117121142(-)::chr11:117121130-117121142(-) ACAAGGAACTGG >mm10_chr11:117121187-117121198(-)::chr11:117121186-117121198(-) CCAAGGAAGCAG >mm10_chr11:117121208-117121219(-)::chr11:117121207-117121219(-) AGCAGGAAATCG >mm10_chr11:117139256-117139267(-)::chr11:117139255-117139267(-) GGCAGGAAGGCA >mm10_chr11:117146240-117146251(-)::chr11:117146239-117146251(-) GTGAGGAAGGAG >mm10_chr11:117146260-117146271(-)::chr11:117146259-117146271(-) ATGCGGAAGTGA >mm10_chr11:117150631-117150642(+)::chr11:117150630-117150642(+) AGAAGGAAGTTC >mm10_chr11:117150651-117150662(+)::chr11:117150650-117150662(+) TGCAGGAAATGA >mm10_chr11:117151954-117151965(+)::chr11:117151953-117151965(+) GGAAGGAAGGAC >mm10_chr11:117205209-117205220(+)::chr11:117205208-117205220(+) ACCAGGAAGGGC >mm10_chr11:117227271-117227282(+)::chr11:117227270-117227282(+) GGAAGGAAGGTG >mm10_chr11:117227289-117227300(+)::chr11:117227288-117227300(+) GGCAGGAAATCA >mm10_chr11:117227328-117227339(-)::chr11:117227327-117227339(-) AGAAGGAAGGCT >mm10_chr11:117231678-117231689(+)::chr11:117231677-117231689(+) ACCAGGAAATAG >mm10_chr11:117247378-117247389(+)::chr11:117247377-117247389(+) ATCAGGAAGGGT >mm10_chr11:117251883-117251894(-)::chr11:117251882-117251894(-) TCCAGGAAGTGG >mm10_chr11:117251932-117251943(+)::chr11:117251931-117251943(+) ATGAGGAAGCCA >mm10_chr11:117254635-117254646(-)::chr11:117254634-117254646(-) TAGAGGAAGAGA >mm10_chr11:117258102-117258113(-)::chr11:117258101-117258113(-) aagaggaagtga >mm10_chr11:117258108-117258119(-)::chr11:117258107-117258119(-) aggaggaagagg >mm10_chr11:117263343-117263354(-)::chr11:117263342-117263354(-) TGCAGGAAGGAC >mm10_chr11:117268543-117268554(+)::chr11:117268542-117268554(+) TTGAGGAAGCCG >mm10_chr11:117281413-117281424(+)::chr11:117281412-117281424(+) ACcaggaagttc >mm10_chr11:117281421-117281432(-)::chr11:117281420-117281432(-) atgaggaagaac >mm10_chr11:117285366-117285377(+)::chr11:117285365-117285377(+) ACCAGGAAGTGT >mm10_chr11:117312698-117312709(+)::chr11:117312697-117312709(+) AGGAGGAAGGGC >mm10_chr11:117316973-117316984(-)::chr11:117316972-117316984(-) AACAGGAAGCCA >mm10_chr11:117325710-117325721(+)::chr11:117325709-117325721(+) AGAAGGAAATGG >mm10_chr11:117386678-117386689(+)::chr11:117386677-117386689(+) aagaggaagagg >mm10_chr11:117411753-117411764(-)::chr11:117411752-117411764(-) gacaggaagaag >mm10_chr11:117411785-117411796(-)::chr11:117411784-117411796(-) agaaggaagaga >mm10_chr11:117411792-117411803(-)::chr11:117411791-117411803(-) aggaggaagaag >mm10_chr11:117413332-117413343(-)::chr11:117413331-117413343(-) accgggaagtaa >mm10_chr11:117413367-117413378(+)::chr11:117413366-117413378(+) agaaggaacttg >mm10_chr11:117432935-117432946(+)::chr11:117432934-117432946(+) acagggaagtcc >mm10_chr11:117476151-117476162(-)::chr11:117476150-117476162(-) ATAGGGAAGTGT >mm10_chr11:117476202-117476213(+)::chr11:117476201-117476213(+) GCCGGGAAGTTC >mm10_chr11:117498823-117498834(+)::chr11:117498822-117498834(+) ggcaggaaggca >mm10_chr11:117498827-117498838(+)::chr11:117498826-117498838(+) ggaaggcagtcg >mm10_chr11:117551965-117551976(+)::chr11:117551964-117551976(+) GAAAGGAAGCCT >mm10_chr11:117552018-117552029(-)::chr11:117552017-117552029(-) AGGAGGAAATGG >mm10_chr11:117552499-117552510(+)::chr11:117552498-117552510(+) AGAAGGAAGTGG >mm10_chr11:117597939-117597950(-)::chr11:117597938-117597950(-) GGAAGGAAGCTA >mm10_chr11:117598013-117598024(+)::chr11:117598012-117598024(+) TCCAGGAAATAC >mm10_chr11:117626725-117626736(+)::chr11:117626724-117626736(+) AGAAGGAAGGGC >mm10_chr11:117633881-117633892(-)::chr11:117633880-117633892(-) AGAAGGAAGAGA >mm10_chr11:117654727-117654738(-)::chr11:117654726-117654738(-) AACAGGAAGTCG >mm10_chr11:117657486-117657497(+)::chr11:117657485-117657497(+) GGGAGGAAGTCA >mm10_chr11:117712331-117712342(+)::chr11:117712330-117712342(+) ACCAGGAAGTCA >mm10_chr11:117712376-117712387(+)::chr11:117712375-117712387(+) AAGAGGAAGATA >mm10_chr11:117712408-117712419(+)::chr11:117712407-117712419(+) AGCAGGAAGAGG >mm10_chr11:117724676-117724687(-)::chr11:117724675-117724687(-) CTAAGGAAGAGC >mm10_chr11:117727286-117727297(-)::chr11:117727285-117727297(-) AGAAGGAAGTTG >mm10_chr11:117727345-117727356(-)::chr11:117727344-117727356(-) ACCAGGAACTAG >mm10_chr11:117736352-117736363(+)::chr11:117736351-117736363(+) AGCAGGAAGCTG >mm10_chr11:117764460-117764471(+)::chr11:117764459-117764471(+) CGGACGAAGTAG >mm10_chr11:117767608-117767619(+)::chr11:117767607-117767619(+) ATCAGGAAGATC >mm10_chr11:117769121-117769132(+)::chr11:117769120-117769132(+) GCCAGGAAGAGG >mm10_chr11:117769127-117769138(+)::chr11:117769126-117769138(+) AAGAGGAAGAAA >mm10_chr11:117769131-117769142(+)::chr11:117769130-117769142(+) GGAAGAAAGTGT >mm10_chr11:117769148-117769159(+)::chr11:117769147-117769159(+) ACCAGGAAGTGG >mm10_chr11:117775728-117775739(+)::chr11:117775727-117775739(+) AAGAGGAAGTAG >mm10_chr11:117779617-117779628(-)::chr11:117779616-117779628(-) ACAGGGAAGTCC >mm10_chr11:117781049-117781060(-)::chr11:117781048-117781060(-) AGCAGGAAGCAG >mm10_chr11:117781096-117781107(+)::chr11:117781095-117781107(+) atgaggaaattg >mm10_chr11:117790730-117790741(-)::chr11:117790729-117790741(-) AGCAGGAAGTTG >mm10_chr11:117790754-117790765(-)::chr11:117790753-117790765(-) ACCAGGAAGGCG >mm10_chr11:117792022-117792033(+)::chr11:117792021-117792033(+) GCAAGGAAGTCA >mm10_chr11:117792359-117792370(+)::chr11:117792358-117792370(+) AGTAGGAAGGAG >mm10_chr11:117792391-117792402(-)::chr11:117792390-117792402(-) ACCAGGAAGCAT >mm10_chr11:117803470-117803481(-)::chr11:117803469-117803481(-) ACAAGGAAGTGG >mm10_chr11:117803490-117803501(+)::chr11:117803489-117803501(+) ACAAGGAAGTAT >mm10_chr11:117814075-117814086(-)::chr11:117814074-117814086(-) AGAAGGAAGTGG >mm10_chr11:117824261-117824272(-)::chr11:117824260-117824272(-) AGCAGGAAGTGG >mm10_chr11:117829066-117829077(+)::chr11:117829065-117829077(+) AAAGGGAAGTAG >mm10_chr11:117849108-117849119(+)::chr11:117849107-117849119(+) AGAAGGAACCGC >mm10_chr11:117873443-117873454(-)::chr11:117873442-117873454(-) GCACGGAAGAGA >mm10_chr11:117881980-117881991(-)::chr11:117881979-117881991(-) AGAAGGAAGTTG >mm10_chr11:117912837-117912848(-)::chr11:117912836-117912848(-) GGGAGGAAGTGG >mm10_chr11:117914802-117914813(-)::chr11:117914801-117914813(-) ATCAGGAAGTGG >mm10_chr11:117914818-117914829(-)::chr11:117914817-117914829(-) GGGAGGAAGAAG >mm10_chr11:117935700-117935711(+)::chr11:117935699-117935711(+) GCAAGGAAGTGG >mm10_chr11:117945775-117945786(+)::chr11:117945774-117945786(+) aagaggaaggaa >mm10_chr11:117945779-117945790(+)::chr11:117945778-117945790(+) ggaaggaaggga >mm10_chr11:117945791-117945802(+)::chr11:117945790-117945802(+) gggaggaaggaa >mm10_chr11:117945795-117945806(+)::chr11:117945794-117945806(+) ggaaggaaggaa >mm10_chr11:117945799-117945810(+)::chr11:117945798-117945810(+) ggaaggaagaaa >mm10_chr11:117951217-117951228(-)::chr11:117951216-117951228(-) TTGAGGAAGCCG >mm10_chr11:117958346-117958357(-)::chr11:117958345-117958357(-) ATGGGGAAGTGG >mm10_chr11:117960131-117960142(-)::chr11:117960130-117960142(-) AGGAGGAAGTGA >mm10_chr11:117963701-117963712(+)::chr11:117963700-117963712(+) GCCGGGAAGTGA >mm10_chr11:117963712-117963723(-)::chr11:117963711-117963723(-) GCCAGGAAGAGT >mm10_chr11:117976331-117976342(+)::chr11:117976330-117976342(+) GGGAGGAAGCAC >mm10_chr11:117986853-117986864(+)::chr11:117986852-117986864(+) CGCCGGAAGCGG >mm10_chr11:117991959-117991970(-)::chr11:117991958-117991970(-) GAAGGGAAGTGA >mm10_chr11:117993636-117993647(-)::chr11:117993635-117993647(-) AGAAGGAAGTGG >mm10_chr11:117998480-117998491(-)::chr11:117998479-117998491(-) ATAAGGAAGAGG >mm10_chr11:118014542-118014553(-)::chr11:118014541-118014553(-) GACAGGAAGTGA >mm10_chr11:118014555-118014566(-)::chr11:118014554-118014566(-) AAAAGGAAGTGC >mm10_chr11:118043098-118043109(+)::chr11:118043097-118043109(+) ACCAGGAAGTTG >mm10_chr11:118063206-118063217(-)::chr11:118063205-118063217(-) ATAAGGAAGAGA >mm10_chr11:118063434-118063445(+)::chr11:118063433-118063445(+) ggcaggaaatat >mm10_chr11:118063479-118063490(+)::chr11:118063478-118063490(+) agaaggaagagg >mm10_chr11:118063492-118063503(+)::chr11:118063491-118063503(+) aggaggaagagg >mm10_chr11:118145882-118145893(-)::chr11:118145881-118145893(-) AAGAGGAAGGGC >mm10_chr11:118145888-118145899(-)::chr11:118145887-118145899(-) TGACGGAAGAGG >mm10_chr11:118145909-118145920(+)::chr11:118145908-118145920(+) ACAAGGAAGGTG >mm10_chr11:118163812-118163823(+)::chr11:118163811-118163823(+) AGAAGGAAGCAA >mm10_chr11:118176730-118176741(+)::chr11:118176729-118176741(+) ATTAGGAAGTGT >mm10_chr11:118182975-118182986(+)::chr11:118182974-118182986(+) GGCAGGAAGAGC >mm10_chr11:118185860-118185871(+)::chr11:118185859-118185871(+) AGCAGGAAGTTC >mm10_chr11:118193636-118193647(-)::chr11:118193635-118193647(-) CGGAGGAAGCAG >mm10_chr11:118205957-118205968(+)::chr11:118205956-118205968(+) ACCAGGAAGGAA >mm10_chr11:118205961-118205972(+)::chr11:118205960-118205972(+) GGAAGGAAGGAA >mm10_chr11:118211888-118211899(+)::chr11:118211887-118211899(+) AGAGGGAAGTGA >mm10_chr11:118212103-118212114(+)::chr11:118212102-118212114(+) TGAGGGAAGTGC >mm10_chr11:118222471-118222482(-)::chr11:118222470-118222482(-) AGCAGGAAGGCA >mm10_chr11:118222480-118222491(-)::chr11:118222479-118222491(-) GGAAGGAAGAGC >mm10_chr11:118222484-118222495(-)::chr11:118222483-118222495(-) AGGAGGAAGGAA >mm10_chr11:118234638-118234649(+)::chr11:118234637-118234649(+) AGAAGGAACTCC >mm10_chr11:118237977-118237988(+)::chr11:118237976-118237988(+) AAGAGGAAGAAA >mm10_chr11:118238002-118238013(+)::chr11:118238001-118238013(+) aggaggaagagt >mm10_chr11:118238021-118238032(+)::chr11:118238020-118238032(+) agaaggaaatgg >mm10_chr11:118247092-118247103(+)::chr11:118247091-118247103(+) AACAGGAAGGCA >mm10_chr11:118248979-118248990(+)::chr11:118248978-118248990(+) AGGAGGAAATTA >mm10_chr11:118264840-118264851(-)::chr11:118264839-118264851(-) AGAAGGAAGAGG >mm10_chr11:118290438-118290449(-)::chr11:118290437-118290449(-) AAGCGGAAGTGA >mm10_chr11:118346595-118346606(-)::chr11:118346594-118346606(-) AGAAGGAAGCTG >mm10_chr11:118360758-118360769(+)::chr11:118360757-118360769(+) AGGAGGAAGTGG >mm10_chr11:118363066-118363077(-)::chr11:118363065-118363077(-) ATGAGGAAATGA >mm10_chr11:118363085-118363096(-)::chr11:118363084-118363096(-) AAGAGGAAATAG >mm10_chr11:118381649-118381660(+)::chr11:118381648-118381660(+) aggaggaagagg >mm10_chr11:118381661-118381672(+)::chr11:118381660-118381672(+) agaaggaagagg >mm10_chr11:118381688-118381699(+)::chr11:118381687-118381699(+) aggaggaagagg >mm10_chr11:118418999-118419010(-)::chr11:118418998-118419010(-) GGGCGGAAGTGG >mm10_chr11:118419127-118419138(-)::chr11:118419126-118419138(-) GCCGGGAAGACG >mm10_chr11:118419154-118419165(-)::chr11:118419153-118419165(-) TAGAGGAAGACA >mm10_chr11:118450941-118450952(-)::chr11:118450940-118450952(-) Agcaggaagcag >mm10_chr11:118465257-118465268(-)::chr11:118465256-118465268(-) AAAGGGAAGTTT >mm10_chr11:118465281-118465292(-)::chr11:118465280-118465292(-) aaaaggaagttt >mm10_chr11:118467810-118467821(-)::chr11:118467809-118467821(-) tccgggaagtgg >mm10_chr11:118480016-118480027(-)::chr11:118480015-118480027(-) AGCAGGAAGGCT >mm10_chr11:118480023-118480034(-)::chr11:118480022-118480034(-) CGCAGGAAGCAG >mm10_chr11:118487279-118487290(+)::chr11:118487278-118487290(+) GACAGGAAGCAG >mm10_chr11:118521363-118521374(-)::chr11:118521362-118521374(-) CACAGGAAGTCC >mm10_chr11:118521922-118521933(+)::chr11:118521921-118521933(+) cggaggaagcca >mm10_chr11:118521975-118521986(-)::chr11:118521974-118521986(-) agcaggaactga >mm10_chr11:118525707-118525718(+)::chr11:118525706-118525718(+) AGCAGGAAATGG >mm10_chr11:118527318-118527329(+)::chr11:118527317-118527329(+) attaggaaggga >mm10_chr11:118533063-118533074(-)::chr11:118533062-118533074(-) TGCAGGAAGTTT >mm10_chr11:118533122-118533133(+)::chr11:118533121-118533133(+) GTAAGGAAGTGG >mm10_chr11:118533137-118533148(-)::chr11:118533136-118533148(-) AAAAGGAAAGTA >mm10_chr11:118749040-118749051(+)::chr11:118749039-118749051(+) TACAGGAAGTGC >mm10_chr11:118878864-118878875(-)::chr11:118878863-118878875(-) AGAAGGAAAGGA >mm10_chr11:118983238-118983249(+)::chr11:118983237-118983249(+) AGCAGGAAGAAC >mm10_chr11:118985720-118985731(-)::chr11:118985719-118985731(-) GGGAGGAAGCAC >mm10_chr11:119052787-119052798(-)::chr11:119052786-119052798(-) GTAAGGAAGACG >mm10_chr11:119079342-119079353(+)::chr11:119079341-119079353(+) AGGAGGAAGATC >mm10_chr11:119110090-119110101(+)::chr11:119110089-119110101(+) GAAaggaaggaa >mm10_chr11:119110094-119110105(+)::chr11:119110093-119110105(+) ggaaggaaggga >mm10_chr11:119124684-119124695(+)::chr11:119124683-119124695(+) CCCAGGAAGTAA >mm10_chr11:119127539-119127550(-)::chr11:119127538-119127550(-) GGAAGGATGTCC >mm10_chr11:119127543-119127554(-)::chr11:119127542-119127554(-) GGAAGGAAGGAT >mm10_chr11:119127547-119127558(-)::chr11:119127546-119127558(-) ACCAGGAAGGAA >mm10_chr11:119133983-119133994(+)::chr11:119133982-119133994(+) GGCAGGAAGTGC >mm10_chr11:119159572-119159583(-)::chr11:119159571-119159583(-) tggaggaagagc >mm10_chr11:119171784-119171795(+)::chr11:119171783-119171795(+) agaaggaaacgt >mm10_chr11:119171837-119171848(+)::chr11:119171836-119171848(+) atgaggaagcta >mm10_chr11:119184659-119184670(+)::chr11:119184658-119184670(+) GACAGGAAGCAG >mm10_chr11:119184666-119184677(+)::chr11:119184665-119184677(+) AGCAGGAAATGA >mm10_chr11:119190535-119190546(+)::chr11:119190534-119190546(+) TGAAGGAAGAAC >mm10_chr11:119190570-119190581(+)::chr11:119190569-119190581(+) AGGAGGAAGGAA >mm10_chr11:119198483-119198494(+)::chr11:119198482-119198494(+) AGCAGGAAGCTG >mm10_chr11:119300072-119300083(+)::chr11:119300071-119300083(+) GGGAGGAAGTGA >mm10_chr11:119314426-119314437(-)::chr11:119314425-119314437(-) AGCAGGAAGCAG >mm10_chr11:119314452-119314463(-)::chr11:119314451-119314463(-) ACAAGGAAGAGG >mm10_chr11:119368979-119368990(-)::chr11:119368978-119368990(-) AGAAGGAAGTGG >mm10_chr11:119375610-119375621(-)::chr11:119375609-119375621(-) ACCAGGAAATGG >mm10_chr11:119375664-119375675(+)::chr11:119375663-119375675(+) TTAAGGAAGTTA >mm10_chr11:119440443-119440454(-)::chr11:119440442-119440454(-) ATGAGGAAGTGC >mm10_chr11:119442872-119442883(+)::chr11:119442871-119442883(+) AGGAGGAAGTCA >mm10_chr11:119503661-119503672(+)::chr11:119503660-119503672(+) AGCAGGAAGCGT >mm10_chr11:119629276-119629287(-)::chr11:119629275-119629287(-) AGGAGGAAGTAG >mm10_chr11:119648788-119648799(-)::chr11:119648787-119648799(-) AACAGGAAATAG >mm10_chr11:119648807-119648818(-)::chr11:119648806-119648818(-) AACAGGAAATAG >mm10_chr11:119685660-119685671(+)::chr11:119685659-119685671(+) GCAAGGAAGAAC >mm10_chr11:119685719-119685730(-)::chr11:119685718-119685730(-) GGCAGGAAGGAA >mm10_chr11:119709486-119709497(+)::chr11:119709485-119709497(+) TCAAGGAAGGAG >mm10_chr11:119716308-119716319(-)::chr11:119716307-119716319(-) GAAGGGAAGTCT >mm10_chr11:119728353-119728364(-)::chr11:119728352-119728364(-) aagaggaagtta >mm10_chr11:119754738-119754749(-)::chr11:119754737-119754749(-) AGAAGGAGGTCA >mm10_chr11:119775500-119775511(+)::chr11:119775499-119775511(+) GGCAGGAAATAG >mm10_chr11:119846230-119846241(+)::chr11:119846229-119846241(+) AGCAGGAAGGCT >mm10_chr11:119933435-119933446(-)::chr11:119933434-119933446(-) GGGAGGAAATGC >mm10_chr11:119936513-119936524(-)::chr11:119936512-119936524(-) AAAAGGAAGGGT >mm10_chr11:119936893-119936904(+)::chr11:119936892-119936904(+) GCCAGGAAGTCC >mm10_chr11:119936932-119936943(+)::chr11:119936931-119936943(+) AGCAGGAAGACA >mm10_chr11:119941904-119941915(-)::chr11:119941903-119941915(-) AGAAGGAATTCA >mm10_chr11:119952551-119952562(+)::chr11:119952550-119952562(+) TATAGGAAGGAG >mm10_chr11:119952576-119952587(-)::chr11:119952575-119952587(-) ATCAGGAAGAGC >mm10_chr11:119955584-119955595(-)::chr11:119955583-119955595(-) GGAAGGAAGGAG >mm10_chr11:119955623-119955634(-)::chr11:119955622-119955634(-) AACAGGAAGTTA >mm10_chr11:119959019-119959030(-)::chr11:119959018-119959030(-) TCAAGGAACTGG >mm10_chr11:119959042-119959053(-)::chr11:119959041-119959053(-) GGAAGGAAATCC >mm10_chr11:119963190-119963201(-)::chr11:119963189-119963201(-) GGGAGGAAGAAG >mm10_chr11:119963245-119963256(-)::chr11:119963244-119963256(-) AGAAGGAAGAAG >mm10_chr11:119973998-119974009(-)::chr11:119973997-119974009(-) ACCAGGAAGTCT >mm10_chr11:119988108-119988119(+)::chr11:119988107-119988119(+) AAGAGGAAGTAG >mm10_chr11:120013319-120013330(+)::chr11:120013318-120013330(+) AGAAGGAAGTCA >mm10_chr11:120039772-120039783(+)::chr11:120039771-120039783(+) GCCAGGAAGTGC >mm10_chr11:120039860-120039871(+)::chr11:120039859-120039871(+) CCCAGGAAGTGG >mm10_chr11:120060462-120060473(+)::chr11:120060461-120060473(+) AGCAGGAAGCAG >mm10_chr11:120066011-120066022(-)::chr11:120066010-120066022(-) TGAAGGAAGAGA >mm10_chr11:120066047-120066058(-)::chr11:120066046-120066058(-) TGCAGGAAGCAT >mm10_chr11:120112302-120112313(+)::chr11:120112301-120112313(+) GATAGGAAGTAG >mm10_chr11:120128680-120128691(-)::chr11:120128679-120128691(-) aagaggaagagg >mm10_chr11:120128686-120128697(-)::chr11:120128685-120128697(-) aggaggaagagg >mm10_chr11:120128698-120128709(-)::chr11:120128697-120128709(-) ATgaggaagaag >mm10_chr11:120130296-120130307(+)::chr11:120130295-120130307(+) AGGAGGAAGCAG >mm10_chr11:120135689-120135700(+)::chr11:120135688-120135700(+) TAAAGGAAGCCA >mm10_chr11:120135711-120135722(+)::chr11:120135710-120135722(+) ACAGGGAAgtgt >mm10_chr11:120139644-120139655(-)::chr11:120139643-120139655(-) TCCAGGAAGTCA >mm10_chr11:120146672-120146683(+)::chr11:120146671-120146683(+) AACAGGAAGGCA >mm10_chr11:120151313-120151324(-)::chr11:120151312-120151324(-) AGGCGGAAGTTT >mm10_chr11:120158458-120158469(+)::chr11:120158457-120158469(+) gggaggaagaaa >mm10_chr11:120158479-120158490(+)::chr11:120158478-120158490(+) aagaggaagaag >mm10_chr11:120158501-120158512(+)::chr11:120158500-120158512(+) gggaggaaggaa >mm10_chr11:120158514-120158525(+)::chr11:120158513-120158525(+) agaaggaaggaa >mm10_chr11:120158518-120158529(+)::chr11:120158517-120158529(+) ggaaggaaggga >mm10_chr11:120158530-120158541(+)::chr11:120158529-120158541(+) gggaggaAGGAA >mm10_chr11:120181549-120181560(-)::chr11:120181548-120181560(-) GGAAGGAAGATT >mm10_chr11:120199875-120199886(+)::chr11:120199874-120199886(+) TAGAGGAAGAAC >mm10_chr11:120201630-120201641(+)::chr11:120201629-120201641(+) aggaggaagagt >mm10_chr11:120206785-120206796(-)::chr11:120206784-120206796(-) gccaggaagtgc >mm10_chr11:120219128-120219139(-)::chr11:120219127-120219139(-) GGAAGGAAGAAT >mm10_chr11:120219132-120219143(-)::chr11:120219131-120219143(-) AAGAGGAAGGAA >mm10_chr11:120248567-120248578(-)::chr11:120248566-120248578(-) AGCAGGAAGCAA >mm10_chr11:120251683-120251694(-)::chr11:120251682-120251694(-) AGGAGGAAGCCA >mm10_chr11:120251695-120251706(-)::chr11:120251694-120251706(-) AGAAGGAAGGGG >mm10_chr11:120252946-120252957(-)::chr11:120252945-120252957(-) AGGAGGAAGGAG >mm10_chr11:120255175-120255186(-)::chr11:120255174-120255186(-) GCCAGGAAGTAG >mm10_chr11:120260544-120260555(+)::chr11:120260543-120260555(+) TGCAGGAAGGGA >mm10_chr11:120260568-120260579(+)::chr11:120260567-120260579(+) AGCAGGAAGAAC >mm10_chr11:120301358-120301369(+)::chr11:120301357-120301369(+) ggcaggaagatc >mm10_chr11:120304927-120304938(-)::chr11:120304926-120304938(-) GAAAGGAAGACA >mm10_chr11:120350830-120350841(-)::chr11:120350829-120350841(-) AGAAGGAAGTGA >mm10_chr11:120354067-120354078(-)::chr11:120354066-120354078(-) CCCAGGAAGTGC >mm10_chr11:120366613-120366624(-)::chr11:120366612-120366624(-) ACAAGGAAGCTG >mm10_chr11:120373351-120373362(+)::chr11:120373350-120373362(+) AGTAGGAAGCTA >mm10_chr11:120388904-120388915(-)::chr11:120388903-120388915(-) TCTAGGAAGTAA >mm10_chr11:120410032-120410043(+)::chr11:120410031-120410043(+) ACAAGGAAGAAA >mm10_chr11:120444311-120444322(-)::chr11:120444310-120444322(-) AAGAGGAAGTTA >mm10_chr11:120458061-120458072(+)::chr11:120458060-120458072(+) CGCAGGAAGCGG >mm10_chr11:120458067-120458078(+)::chr11:120458066-120458078(+) AAGCGGAAGTGA >mm10_chr11:120465787-120465798(-)::chr11:120465786-120465798(-) TGGAGGAAATGA >mm10_chr11:120467649-120467660(+)::chr11:120467648-120467660(+) AGGCGGAAGCGG >mm10_chr11:120467655-120467666(+)::chr11:120467654-120467666(+) AAGCGGAAGTTG >mm10_chr11:120485809-120485820(-)::chr11:120485808-120485820(-) ACAGGGAAATAC >mm10_chr11:120492887-120492898(-)::chr11:120492886-120492898(-) AACAGGAAGACA >mm10_chr11:120493370-120493381(+)::chr11:120493369-120493381(+) AACAGGAAGAGG >mm10_chr11:120498511-120498522(-)::chr11:120498510-120498522(-) AGCAGGAAACGA >mm10_chr11:120510427-120510438(-)::chr11:120510426-120510438(-) TTAAGGAAGTGG >mm10_chr11:120521231-120521242(+)::chr11:120521230-120521242(+) ACGAGGAAGAGC >mm10_chr11:120526130-120526141(+)::chr11:120526129-120526141(+) gagaggaagagg >mm10_chr11:120526136-120526147(+)::chr11:120526135-120526147(+) aagaggaagcta >mm10_chr11:120526178-120526189(+)::chr11:120526177-120526189(+) aagaggaaatag >mm10_chr11:120573423-120573434(+)::chr11:120573422-120573434(+) TCAAGGAAGCCA >mm10_chr11:120598393-120598404(-)::chr11:120598392-120598404(-) CGCCGGAAGTGA >mm10_chr11:120624986-120624997(-)::chr11:120624985-120624997(-) AGCCGGAAGCGC >mm10_chr11:120624999-120625010(-)::chr11:120624998-120625010(-) AGGCGGAAGCGG >mm10_chr11:120673501-120673512(-)::chr11:120673500-120673512(-) GGAAGGAACGCC >mm10_chr11:120673505-120673516(-)::chr11:120673504-120673516(-) AGCAGGAAGGAA >mm10_chr11:120673555-120673566(+)::chr11:120673554-120673566(+) TCCCGGAAGTGA >mm10_chr11:120682937-120682948(+)::chr11:120682936-120682948(+) accaggaagtat >mm10_chr11:120683522-120683533(-)::chr11:120683521-120683533(-) GGAAGGAAGTCT >mm10_chr11:120683526-120683537(-)::chr11:120683525-120683537(-) CCAAGGAAGGAA >mm10_chr11:120709670-120709681(+)::chr11:120709669-120709681(+) AGGAGGAAGTCT >mm10_chr11:120731691-120731702(-)::chr11:120731690-120731702(-) gcaaggaagtgg >mm10_chr11:120731714-120731725(-)::chr11:120731713-120731725(-) gcaaggaagtga >mm10_chr11:120731737-120731748(-)::chr11:120731736-120731748(-) gctaggaagtga >mm10_chr11:120732232-120732243(+)::chr11:120732231-120732243(+) GGAAGGAAATTG >mm10_chr11:120733702-120733713(-)::chr11:120733701-120733713(-) ggagggaagttt >mm10_chr11:120744558-120744569(+)::chr11:120744557-120744569(+) ACAAGGAAATAC >mm10_chr11:120748165-120748176(-)::chr11:120748164-120748176(-) acaaggaattgg >mm10_chr11:120748331-120748342(-)::chr11:120748330-120748342(-) acaaggaaatgg >mm10_chr11:120761989-120762000(-)::chr11:120761988-120762000(-) AGGAGGAAGTGG >mm10_chr11:120763163-120763174(+)::chr11:120763162-120763174(+) AGGAGGAAGAGG >mm10_chr11:120768340-120768351(+)::chr11:120768339-120768351(+) ACAAGGAAGTGG >mm10_chr11:120787423-120787434(-)::chr11:120787422-120787434(-) AGAAGGAAGCAC >mm10_chr11:120787434-120787445(-)::chr11:120787433-120787445(-) CAAAGGAAGCCA >mm10_chr11:120827716-120827727(-)::chr11:120827715-120827727(-) GGGAGGAAGGAC >mm10_chr11:120850820-120850831(-)::chr11:120850819-120850831(-) GGCAGGAAGTGG >mm10_chr11:120859407-120859418(-)::chr11:120859406-120859418(-) aggaggaagagt >mm10_chr11:120859424-120859435(-)::chr11:120859423-120859435(-) aggaggaagaag >mm10_chr11:120859431-120859442(-)::chr11:120859430-120859442(-) ggaaggaaggag >mm10_chr11:120859435-120859446(-)::chr11:120859434-120859446(-) ggaaggaaggaa >mm10_chr11:120859439-120859450(-)::chr11:120859438-120859450(-) ggaaggaaggaa >mm10_chr11:120859443-120859454(-)::chr11:120859442-120859454(-) aggaggaaggaa >mm10_chr11:120859455-120859466(-)::chr11:120859454-120859466(-) aggaggaagagg >mm10_chr11:120865949-120865960(-)::chr11:120865948-120865960(-) aaagggaagtca >mm10_chr11:120871568-120871579(+)::chr11:120871567-120871579(+) AGAAGGAAATCA >mm10_chr11:120874767-120874778(-)::chr11:120874766-120874778(-) ACCAGGAAGGCA >mm10_chr11:120883784-120883795(+)::chr11:120883783-120883795(+) ATAAGGAAGTGG >mm10_chr11:120886775-120886786(+)::chr11:120886774-120886786(+) GTCAGGAAGGAG >mm10_chr11:120886782-120886793(+)::chr11:120886781-120886793(+) AGGAGGAAGAGT >mm10_chr11:120887322-120887333(+)::chr11:120887321-120887333(+) AACAGGAACTAC >mm10_chr11:120897858-120897869(-)::chr11:120897857-120897869(-) TGCAGGAAGAAG >mm10_chr11:120916685-120916696(+)::chr11:120916684-120916696(+) AGGCGGAAGTGT >mm10_chr11:120916710-120916721(-)::chr11:120916709-120916721(-) GAAAGGAAGTGA >mm10_chr11:120930169-120930180(+)::chr11:120930168-120930180(+) agcaggaagtca >mm10_chr11:120930210-120930221(+)::chr11:120930209-120930221(+) agcaggatgtcg >mm10_chr11:120938949-120938960(-)::chr11:120938948-120938960(-) ACAAGGAAGCAA >mm10_chr11:121002177-121002188(+)::chr11:121002176-121002188(+) TGCAGGAAGGAG >mm10_chr11:121034340-121034351(-)::chr11:121034339-121034351(-) TAAAGGAAATAG >mm10_chr11:121034349-121034360(+)::chr11:121034348-121034360(+) TTAGGGAAGTGG >mm10_chr11:121057136-121057147(-)::chr11:121057135-121057147(-) agaaggaagttt >mm10_chr11:121059793-121059804(-)::chr11:121059792-121059804(-) AAAGGGAAGTAC >mm10_chr11:121088106-121088117(+)::chr11:121088105-121088117(+) AAAAGGATGTAA >mm10_chr11:121146055-121146066(-)::chr11:121146054-121146066(-) AGCAGGAAGCGA >mm10_chr11:121146984-121146995(+)::chr11:121146983-121146995(+) aggaggaagagg >mm10_chr11:121146990-121147001(+)::chr11:121146989-121147001(+) aagaggaagagg >mm10_chr11:121146996-121147007(+)::chr11:121146995-121147007(+) aagaggaagagg >mm10_chr11:121147002-121147013(+)::chr11:121147001-121147013(+) aagaggaagaTG >mm10_chr11:121281249-121281260(-)::chr11:121281248-121281260(-) AGCAGGAAGAGG >mm10_chr11:121322552-121322563(-)::chr11:121322551-121322563(-) aggaggaagatc >mm10_chr11:121322579-121322590(-)::chr11:121322578-121322590(-) agcaggaagact >mm10_chr11:121342970-121342981(+)::chr11:121342969-121342981(+) ACCAGGAAGGAG >mm10_chr11:121354510-121354521(+)::chr11:121354509-121354521(+) GCAGGGAAGTAG >mm10_chr11:121354524-121354535(+)::chr11:121354523-121354535(+) GGCGGGAAGTAG >mm10_chr11:121387604-121387615(-)::chr11:121387603-121387615(-) AGGAGGAAGAGG >mm10_chr11:121479929-121479940(+)::chr11:121479928-121479940(+) AGGAGGAAGTTA >mm10_chr11:121510948-121510959(+)::chr11:121510947-121510959(+) CTAAGGAAGAAG >mm10_chr11:121544122-121544133(-)::chr11:121544121-121544133(-) GCCAGGAAGGGC >mm10_chr11:121545084-121545095(+)::chr11:121545083-121545095(+) ATAAGGAAATAG >mm10_chr11:121551565-121551576(-)::chr11:121551564-121551576(-) ACCAGGAAGTTC >mm10_chr11:121581166-121581177(-)::chr11:121581165-121581177(-) aagaggaagagg >mm10_chr11:121581172-121581183(-)::chr11:121581171-121581183(-) aggaggaagagg >mm10_chr11:121581181-121581192(-)::chr11:121581180-121581192(-) agaaggaaaagg >mm10_chr11:121658489-121658500(+)::chr11:121658488-121658500(+) AGAAGGAAGAAG >mm10_chr11:121658496-121658507(+)::chr11:121658495-121658507(+) AGAAGGAAATGG >mm10_chr11:121658530-121658541(+)::chr11:121658529-121658541(+) agaaggaaggga >mm10_chr11:121658553-121658564(+)::chr11:121658552-121658564(+) agagggaAGTGC >mm10_chr11:121664539-121664550(+)::chr11:121664538-121664550(+) CCAAGGAAGAGA >mm10_chr11:121673502-121673513(+)::chr11:121673501-121673513(+) TGACGGAAATAA >mm10_chr11:121710358-121710369(+)::chr11:121710357-121710369(+) AGAAGGAAGAGC >mm10_chr11:121741018-121741029(+)::chr11:121741017-121741029(+) AAGAGGAAGAAC >mm10_chr11:121802938-121802949(-)::chr11:121802937-121802949(-) CCCAGGAAGTTG >mm10_chr12:3235351-3235362(+)::chr12:3235350-3235362(+) AGCAGGAAATAA >mm10_chr12:3235398-3235409(+)::chr12:3235397-3235409(+) GACAGGAAGTTC >mm10_chr12:3235419-3235430(+)::chr12:3235418-3235430(+) AACAGGAAGTGA >mm10_chr12:3264667-3264678(+)::chr12:3264666-3264678(+) TACAGGAAGGAA >mm10_chr12:3264671-3264682(+)::chr12:3264670-3264682(+) GGAAGGAAGAAT >mm10_chr12:3264707-3264718(-)::chr12:3264706-3264718(-) ACAAGGAAAGAA >mm10_chr12:3271816-3271827(+)::chr12:3271815-3271827(+) ataaggaaataa >mm10_chr12:3271824-3271835(+)::chr12:3271823-3271835(+) ataaggAAATAA >mm10_chr12:3271847-3271858(-)::chr12:3271846-3271858(-) ACAAGGAAACTA >mm10_chr12:3290801-3290812(+)::chr12:3290800-3290812(+) AGGAGGAAGGAA >mm10_chr12:3290805-3290816(+)::chr12:3290804-3290816(+) GGAAGGAAGGAA >mm10_chr12:3309962-3309973(+)::chr12:3309961-3309973(+) GCAAGGACGTAC >mm10_chr12:3329231-3329242(+)::chr12:3329230-3329242(+) GGAAGGAAGGCA >mm10_chr12:3329278-3329289(+)::chr12:3329277-3329289(+) CAAAGGAAGTCA >mm10_chr12:3349552-3349563(-)::chr12:3349551-3349563(-) AGGAGGAAGTGT >mm10_chr12:3361790-3361801(+)::chr12:3361789-3361801(+) AGATGGAAGTGC >mm10_chr12:3361845-3361856(+)::chr12:3361844-3361856(+) GCAAGGAAGTAG >mm10_chr12:3418894-3418905(+)::chr12:3418893-3418905(+) aaaAGGAAGTTT >mm10_chr12:3424384-3424395(-)::chr12:3424383-3424395(-) AACAGGAAGGAT >mm10_chr12:3441619-3441630(+)::chr12:3441618-3441630(+) agcaggaagtcc >mm10_chr12:3520911-3520922(-)::chr12:3520910-3520922(-) gaaaggaacgta >mm10_chr12:3536777-3536788(-)::chr12:3536776-3536788(-) aggaggaagagg >mm10_chr12:3536790-3536801(-)::chr12:3536789-3536801(-) ggaaggaagggg >mm10_chr12:3536799-3536810(-)::chr12:3536798-3536810(-) aagaggaaggga >mm10_chr12:3536813-3536824(-)::chr12:3536812-3536824(-) agaaggaagagg >mm10_chr12:3536822-3536833(-)::chr12:3536821-3536833(-) aagaggaagaga >mm10_chr12:3692240-3692251(-)::chr12:3692239-3692251(-) GGAAGGAAGTAA >mm10_chr12:3692244-3692255(-)::chr12:3692243-3692255(-) ATAAGGAAGGAA >mm10_chr12:3719712-3719723(+)::chr12:3719711-3719723(+) AGCAGGAAGTGG >mm10_chr12:3745548-3745559(-)::chr12:3745547-3745559(-) CGTAGGAAGGAC >mm10_chr12:3759664-3759675(-)::chr12:3759663-3759675(-) ACAAGGAACTGC >mm10_chr12:3762247-3762258(-)::chr12:3762246-3762258(-) TACAGGAAATGT >mm10_chr12:3781595-3781606(-)::chr12:3781594-3781606(-) AAGAGGAAGGGG >mm10_chr12:3781666-3781677(+)::chr12:3781665-3781677(+) AGGAGGAAGGCG >mm10_chr12:3799708-3799719(-)::chr12:3799707-3799719(-) AGAAGGAAGGAC >mm10_chr12:3799759-3799770(+)::chr12:3799758-3799770(+) AACAGGAAGGAA >mm10_chr12:3799763-3799774(+)::chr12:3799762-3799774(+) GGAAGGAAGGTC >mm10_chr12:3815433-3815444(+)::chr12:3815432-3815444(+) GTAAGGAACGGG >mm10_chr12:3815450-3815461(-)::chr12:3815449-3815461(-) AAAAGGAAGTTT >mm10_chr12:3843596-3843607(+)::chr12:3843595-3843607(+) AGGAGGAAGTAA >mm10_chr12:3844470-3844481(-)::chr12:3844469-3844481(-) AGGAGGAAGCAG >mm10_chr12:3855829-3855840(+)::chr12:3855828-3855840(+) AACAGGAAGCCA >mm10_chr12:3868780-3868791(-)::chr12:3868779-3868791(-) GGAAGGATGTGG >mm10_chr12:3868784-3868795(-)::chr12:3868783-3868795(-) AGGAGGAAGGAT >mm10_chr12:4039437-4039448(+)::chr12:4039436-4039448(+) TGCAGGAAGTCC >mm10_chr12:4122752-4122763(+)::chr12:4122751-4122763(+) aaaaggatgtgc >mm10_chr12:4184751-4184762(-)::chr12:4184750-4184762(-) TGCAGGAAGATA >mm10_chr12:4197152-4197163(+)::chr12:4197151-4197163(+) taagggaagtca >mm10_chr12:4197165-4197176(+)::chr12:4197164-4197176(+) agaaggaagtga >mm10_chr12:4197207-4197218(-)::chr12:4197206-4197218(-) aggaggaagaga >mm10_chr12:4232909-4232920(-)::chr12:4232908-4232920(-) AAAAGCAAGTGA >mm10_chr12:4234060-4234071(+)::chr12:4234059-4234071(+) ATCAGGAAGATG >mm10_chr12:4234330-4234341(+)::chr12:4234329-4234341(+) GGCCGGAAGTAG >mm10_chr12:4244467-4244478(-)::chr12:4244466-4244478(-) aagaggaagaag >mm10_chr12:4244473-4244484(-)::chr12:4244472-4244484(-) aagaggaagagg >mm10_chr12:4246080-4246091(-)::chr12:4246079-4246091(-) ACAAGGAAGGGT >mm10_chr12:4306488-4306499(-)::chr12:4306487-4306499(-) GTAAGGAAGTGC >mm10_chr12:4402123-4402134(-)::chr12:4402122-4402134(-) CTCAGGAAGTGG >mm10_chr12:4420050-4420061(-)::chr12:4420049-4420061(-) agcaggaagttg >mm10_chr12:4430601-4430612(+)::chr12:4430600-4430612(+) accaggaagtgt >mm10_chr12:4461306-4461317(-)::chr12:4461305-4461317(-) ATCAGGAAGGTT >mm10_chr12:4469470-4469481(-)::chr12:4469469-4469481(-) tcagggaagtta >mm10_chr12:4469485-4469496(-)::chr12:4469484-4469496(-) acgaggaaataa >mm10_chr12:4477442-4477453(+)::chr12:4477441-4477453(+) TTAAGGAAGTGT >mm10_chr12:4488569-4488580(-)::chr12:4488568-4488580(-) ATAGGGAAGTAT >mm10_chr12:4505864-4505875(-)::chr12:4505863-4505875(-) agaaggaagaag >mm10_chr12:4505904-4505915(-)::chr12:4505903-4505915(-) aagaggaagagg >mm10_chr12:4505910-4505921(-)::chr12:4505909-4505921(-) aagaggaagagg >mm10_chr12:4505923-4505934(-)::chr12:4505922-4505934(-) aggaggaagagg >mm10_chr12:4521379-4521390(+)::chr12:4521378-4521390(+) agaagaaagtga >mm10_chr12:4544606-4544617(+)::chr12:4544605-4544617(+) aaaaggaaggag >mm10_chr12:4544636-4544647(+)::chr12:4544635-4544647(+) agatggaaGTAA >mm10_chr12:4544668-4544679(+)::chr12:4544667-4544679(+) accaggaagtga >mm10_chr12:4572000-4572011(+)::chr12:4571999-4572011(+) AAAAGGAAATGC >mm10_chr12:4614954-4614965(-)::chr12:4614953-4614965(-) AAAAGGAAGTGA >mm10_chr12:4614960-4614971(-)::chr12:4614959-4614971(-) ATAAGGAAAAGG >mm10_chr12:4651954-4651965(+)::chr12:4651953-4651965(+) TAGAGGAAGTGT >mm10_chr12:4660089-4660100(+)::chr12:4660088-4660100(+) AGAAGGAAGAAG >mm10_chr12:4660096-4660107(+)::chr12:4660095-4660107(+) AGAAGGAAGACT >mm10_chr12:4665162-4665173(+)::chr12:4665161-4665173(+) AGTAGGAAGCAG >mm10_chr12:4665169-4665180(+)::chr12:4665168-4665180(+) AGCAGGAAGGCA >mm10_chr12:4665194-4665205(-)::chr12:4665193-4665205(-) GGCAGGAAGTAA >mm10_chr12:4694213-4694224(+)::chr12:4694212-4694224(+) GGACGGAAGAAC >mm10_chr12:4745399-4745410(+)::chr12:4745398-4745410(+) TACAGGAACTAG >mm10_chr12:4817616-4817627(+)::chr12:4817615-4817627(+) TCTAGGAAGTAA >mm10_chr12:4885260-4885271(+)::chr12:4885259-4885271(+) aagaggaagtgg >mm10_chr12:4911862-4911873(+)::chr12:4911861-4911873(+) tgaaggaagtca >mm10_chr12:4917305-4917316(+)::chr12:4917304-4917316(+) AGAAGGAAGGGC >mm10_chr12:4917318-4917329(-)::chr12:4917317-4917329(-) GCAAGGACGCGC >mm10_chr12:4952589-4952600(-)::chr12:4952588-4952600(-) AAAAGGAAATAG >mm10_chr12:4979682-4979693(+)::chr12:4979681-4979693(+) ATCAGGAAGTTC >mm10_chr12:5050290-5050301(+)::chr12:5050289-5050301(+) TCCAGGAAGGGA >mm10_chr12:5050325-5050336(+)::chr12:5050324-5050336(+) GGAAGGGAGTAT >mm10_chr12:5088399-5088410(-)::chr12:5088398-5088410(-) AGCAGGAAGCTG >mm10_chr12:5208586-5208597(-)::chr12:5208585-5208597(-) GCAAGGAAGTAA >mm10_chr12:5213926-5213937(-)::chr12:5213925-5213937(-) ACGAGGAAGTAT >mm10_chr12:5245230-5245241(+)::chr12:5245229-5245241(+) GGAAGGAACTGT >mm10_chr12:5250290-5250301(-)::chr12:5250289-5250301(-) AGGAGGAAGACA >mm10_chr12:5256866-5256877(-)::chr12:5256865-5256877(-) AACAGGAAATAC >mm10_chr12:5256897-5256908(-)::chr12:5256896-5256908(-) ACCGGGAAGTGG >mm10_chr12:5256940-5256951(-)::chr12:5256939-5256951(-) CGTAGGAAGGGT >mm10_chr12:5259209-5259220(-)::chr12:5259208-5259220(-) ATAGGGAAGAAG >mm10_chr12:5259271-5259282(-)::chr12:5259270-5259282(-) ACAAGGAAAGGA >mm10_chr12:5259294-5259305(-)::chr12:5259293-5259305(-) ATGAGGAAATAA >mm10_chr12:5277209-5277220(+)::chr12:5277208-5277220(+) ggaaggaacttg >mm10_chr12:5301173-5301184(+)::chr12:5301172-5301184(+) CCGAGGAAATGA >mm10_chr12:5308944-5308955(-)::chr12:5308943-5308955(-) ATAAGGAAATAT >mm10_chr12:5308989-5309000(-)::chr12:5308988-5309000(-) agaaggaagaag >mm10_chr12:5308996-5309007(-)::chr12:5308995-5309007(-) aggaggaagaag >mm10_chr12:5309005-5309016(-)::chr12:5309004-5309016(-) aggaggaagagg >mm10_chr12:5329439-5329450(+)::chr12:5329438-5329450(+) cggaggaagtcg >mm10_chr12:5330057-5330068(-)::chr12:5330056-5330068(-) GGGAGGAAGTAT >mm10_chr12:5330970-5330981(-)::chr12:5330969-5330981(-) GGCAGGAAGAAA >mm10_chr12:5330993-5331004(+)::chr12:5330992-5331004(+) AGCAGGAAGCTG >mm10_chr12:5337872-5337883(+)::chr12:5337871-5337883(+) GCCAGGAAGTGC >mm10_chr12:5337923-5337934(-)::chr12:5337922-5337934(-) CGTAGGAAGTGA >mm10_chr12:5350223-5350234(-)::chr12:5350222-5350234(-) AGAAGGAAGAAG >mm10_chr12:5350231-5350242(-)::chr12:5350230-5350242(-) AGAAGGAAAGAA >mm10_chr12:5350268-5350279(+)::chr12:5350267-5350279(+) TAAAGGAACTGG >mm10_chr12:5351071-5351082(+)::chr12:5351070-5351082(+) AGCAGGAAGGGA >mm10_chr12:5351116-5351127(+)::chr12:5351115-5351127(+) AGAGGGAAATCG >mm10_chr12:5390004-5390015(-)::chr12:5390003-5390015(-) ACCAGGAAGGAT >mm10_chr12:5390929-5390940(-)::chr12:5390928-5390940(-) TACAGGAAGGGG >mm10_chr12:5401236-5401247(+)::chr12:5401235-5401247(+) TGCAGGAAGTTG >mm10_chr12:5706827-5706838(-)::chr12:5706826-5706838(-) aaatggaagtag >mm10_chr12:5812958-5812969(+)::chr12:5812957-5812969(+) CAAAGGAAGAGC >mm10_chr12:5952508-5952519(+)::chr12:5952507-5952519(+) AGAGGGAAGTTT >mm10_chr12:5952518-5952529(-)::chr12:5952517-5952529(-) GAAGGGAAGTAA >mm10_chr12:5952571-5952582(+)::chr12:5952570-5952582(+) ATAAGGAAGGTG >mm10_chr12:5961495-5961506(+)::chr12:5961494-5961506(+) AAGAGGAAGAGG >mm10_chr12:5967396-5967407(-)::chr12:5967395-5967407(-) AGAAGGAAATAT >mm10_chr12:6623535-6623546(+)::chr12:6623534-6623546(+) GAAAGGAAGGAA >mm10_chr12:6623539-6623550(+)::chr12:6623538-6623550(+) GGAAGGAAGAAG >mm10_chr12:6737110-6737121(+)::chr12:6737109-6737121(+) GGTAGGAAGAAA >mm10_chr12:7323651-7323662(+)::chr12:7323650-7323662(+) TGGAGGAAGGTA >mm10_chr12:7543837-7543848(-)::chr12:7543836-7543848(-) AGAAGGAACTGA >mm10_chr12:7605641-7605652(-)::chr12:7605640-7605652(-) ACATGGAAGTCA >mm10_chr12:7660340-7660351(+)::chr12:7660339-7660351(+) ttcaggaagtag >mm10_chr12:8063781-8063792(+)::chr12:8063780-8063792(+) AGCAGGAAATGA >mm10_chr12:8063786-8063797(+)::chr12:8063785-8063797(+) GAAATGAAGTGA >mm10_chr12:8071045-8071056(+)::chr12:8071044-8071056(+) ccaaggaaatgg >mm10_chr12:8089677-8089688(+)::chr12:8089676-8089688(+) AAAAGGAAGCAC >mm10_chr12:8110552-8110563(+)::chr12:8110551-8110563(+) AGAGGGAAATAA >mm10_chr12:8111525-8111536(-)::chr12:8111524-8111536(-) cacaggaagtcc >mm10_chr12:8111539-8111550(+)::chr12:8111538-8111550(+) tggaggaagtag >mm10_chr12:8147150-8147161(-)::chr12:8147149-8147161(-) AGAAGGAAATTA >mm10_chr12:8151916-8151927(-)::chr12:8151915-8151927(-) ACAAGGAAGGTA >mm10_chr12:8155736-8155747(+)::chr12:8155735-8155747(+) AAGAGGAAGTGG >mm10_chr12:8208088-8208099(-)::chr12:8208087-8208099(-) TCCCGGAAGTCG >mm10_chr12:8208136-8208147(-)::chr12:8208135-8208147(-) ACCCGGAAGTTC >mm10_chr12:8259938-8259949(+)::chr12:8259937-8259949(+) ATAAGGAAATGG >mm10_chr12:8337484-8337495(-)::chr12:8337483-8337495(-) AGAAGGAAGGCA >mm10_chr12:8357652-8357663(+)::chr12:8357651-8357663(+) GGCAGGAAGTGT >mm10_chr12:8396565-8396576(-)::chr12:8396564-8396576(-) AAGAGGAAGAGG >mm10_chr12:8402339-8402350(+)::chr12:8402338-8402350(+) CAGAGGAAGTAT >mm10_chr12:8414138-8414149(+)::chr12:8414137-8414149(+) AGGAGGAAGCTA >mm10_chr12:8434164-8434175(-)::chr12:8434163-8434175(-) TCCAGGAAGAGA >mm10_chr12:8434211-8434222(-)::chr12:8434210-8434222(-) AAAGGGAAGTGC >mm10_chr12:8496143-8496154(+)::chr12:8496142-8496154(+) ACGCGGAAGGAC >mm10_chr12:8521619-8521630(+)::chr12:8521618-8521630(+) AACAGGAAATCC >mm10_chr12:8521641-8521652(-)::chr12:8521640-8521652(-) AACAGGAAGTCG >mm10_chr12:8540145-8540156(-)::chr12:8540144-8540156(-) GGAAGGAAGTGG >mm10_chr12:8540149-8540160(-)::chr12:8540148-8540160(-) AGAAGGAAGGAA >mm10_chr12:8641029-8641040(+)::chr12:8641028-8641040(+) GGCGGGAAGTCC >mm10_chr12:8662405-8662416(-)::chr12:8662404-8662416(-) agcaggaagctg >mm10_chr12:8674033-8674044(+)::chr12:8674032-8674044(+) GCCGGGAAGTGC >mm10_chr12:8689961-8689972(+)::chr12:8689960-8689972(+) gacaggaagtaa >mm10_chr12:8773678-8773689(-)::chr12:8773677-8773689(-) CACAGGAAGTTC >mm10_chr12:8773727-8773738(+)::chr12:8773726-8773738(+) TCTAGGAAGTAG >mm10_chr12:8798857-8798868(+)::chr12:8798856-8798868(+) AAGAGGAAATAA >mm10_chr12:8807740-8807751(-)::chr12:8807739-8807751(-) AACAGGAAGTTC >mm10_chr12:8807765-8807776(-)::chr12:8807764-8807776(-) AGAAGGAGGTCA >mm10_chr12:8807957-8807968(+)::chr12:8807956-8807968(+) AAGAGGAAGCAG >mm10_chr12:8854072-8854083(+)::chr12:8854071-8854083(+) accaggaaatgg >mm10_chr12:8857639-8857650(+)::chr12:8857638-8857650(+) AAAAGGAAGGGA >mm10_chr12:8857643-8857654(+)::chr12:8857642-8857654(+) GGAAGGGAGTAG >mm10_chr12:8857651-8857662(-)::chr12:8857650-8857662(-) CCAAGGAACTAC >mm10_chr12:8864030-8864041(-)::chr12:8864029-8864041(-) gggaggaagagg >mm10_chr12:8864054-8864065(-)::chr12:8864053-8864065(-) aagaggaagatg >mm10_chr12:8864060-8864071(-)::chr12:8864059-8864071(-) aggaggaagagg >mm10_chr12:8864095-8864106(-)::chr12:8864094-8864106(-) aagaggaagagg >mm10_chr12:8864110-8864121(-)::chr12:8864109-8864121(-) aagaggaagagg >mm10_chr12:8941340-8941351(+)::chr12:8941339-8941351(+) accaggaaatgc >mm10_chr12:8993486-8993497(+)::chr12:8993485-8993497(+) ACAAGGAAGTCA >mm10_chr12:9194348-9194359(+)::chr12:9194347-9194359(+) agaaggaagaag >mm10_chr12:9194358-9194369(+)::chr12:9194357-9194369(+) agaaagaagTGA >mm10_chr12:9236998-9237009(+)::chr12:9236997-9237009(+) ACATGGAAGTGT >mm10_chr12:9460399-9460410(-)::chr12:9460398-9460410(-) AGGCGGAAGTGG >mm10_chr12:9502885-9502896(+)::chr12:9502884-9502896(+) aggaggaagagg >mm10_chr12:9502894-9502905(+)::chr12:9502893-9502905(+) aggaggaagagg >mm10_chr12:9502900-9502911(+)::chr12:9502899-9502911(+) aagaggaagggg >mm10_chr12:9584382-9584393(+)::chr12:9584381-9584393(+) AGGAGGAAGAAG >mm10_chr12:9585242-9585253(+)::chr12:9585241-9585253(+) CTAAGGAAGTGC >mm10_chr12:9851954-9851965(+)::chr12:9851953-9851965(+) TTAAGGAAGTGT >mm10_chr12:9925054-9925065(+)::chr12:9925053-9925065(+) ATGAGGAAATAA >mm10_chr12:9936234-9936245(+)::chr12:9936233-9936245(+) AGAAGGAAGGCA >mm10_chr12:10068009-10068020(-)::chr12:10068008-10068020(-) GGAAGGAAGAAG >mm10_chr12:10068026-10068037(+)::chr12:10068025-10068037(+) AAGAGGAAGGGT >mm10_chr12:10391359-10391370(+)::chr12:10391358-10391370(+) AGAAGGAATTCC >mm10_chr12:10640658-10640669(-)::chr12:10640657-10640669(-) TCAAGGAAGAGG >mm10_chr12:10640934-10640945(+)::chr12:10640933-10640945(+) TCCAGGAAGTTT >mm10_chr12:10842861-10842872(+)::chr12:10842860-10842872(+) agcaggaagcag >mm10_chr12:10860831-10860842(+)::chr12:10860830-10860842(+) CAGAGGAAGTCT >mm10_chr12:10861757-10861768(+)::chr12:10861756-10861768(+) GGCAGGAAGTAT >mm10_chr12:10872153-10872164(-)::chr12:10872152-10872164(-) ACCAGGAAGTCC >mm10_chr12:10987108-10987119(-)::chr12:10987107-10987119(-) TCCAGGAAGTGT >mm10_chr12:11062496-11062507(+)::chr12:11062495-11062507(+) CCAAGGAAGTCT >mm10_chr12:11062503-11062514(-)::chr12:11062502-11062514(-) ATGAGGAAGACT >mm10_chr12:11227188-11227199(+)::chr12:11227187-11227199(+) tccaggaaggac >mm10_chr12:11230577-11230588(-)::chr12:11230576-11230588(-) GGAAGTAAGTTG >mm10_chr12:11230581-11230592(-)::chr12:11230580-11230592(-) AGCAGGAAGTAA >mm10_chr12:11264914-11264925(-)::chr12:11264913-11264925(-) AGCGGGAAGTTC >mm10_chr12:11265679-11265690(-)::chr12:11265678-11265690(-) TGAAGGAATTCG >mm10_chr12:11338395-11338406(-)::chr12:11338394-11338406(-) AGCAGGAAGAAC >mm10_chr12:11398896-11398907(-)::chr12:11398895-11398907(-) ATAATGAAGTGC >mm10_chr12:11829510-11829521(-)::chr12:11829509-11829521(-) TCAGGGAAGTGT >mm10_chr12:11829532-11829543(+)::chr12:11829531-11829543(+) AAGAGGAAGGGA >mm10_chr12:11829542-11829553(-)::chr12:11829541-11829553(-) TCCAGGAAGTTC >mm10_chr12:11874268-11874279(+)::chr12:11874267-11874279(+) ACAAGGAAGACA >mm10_chr12:12330160-12330171(+)::chr12:12330159-12330171(+) aagaggaagtgg >mm10_chr12:12391045-12391056(-)::chr12:12391044-12391056(-) agaaggaagctc >mm10_chr12:12682770-12682781(+)::chr12:12682769-12682781(+) ATAAGGAACTGA >mm10_chr12:12684116-12684127(-)::chr12:12684115-12684127(-) GGGAGGAAGTAA >mm10_chr12:12684139-12684150(+)::chr12:12684138-12684150(+) AGAAGGAAGCAC >mm10_chr12:12690126-12690137(+)::chr12:12690125-12690137(+) TGGAGGAAGGTA >mm10_chr12:12690165-12690176(+)::chr12:12690164-12690176(+) TCAAGGAAGACG >mm10_chr12:12690172-12690183(+)::chr12:12690171-12690183(+) AGACGGAAGCAA >mm10_chr12:12696959-12696970(+)::chr12:12696958-12696970(+) ATAAGGAAGGAG >mm10_chr12:12708454-12708465(-)::chr12:12708453-12708465(-) ACCAGGAAGTGC >mm10_chr12:12788607-12788618(+)::chr12:12788606-12788618(+) GCAGGGAAGTCC >mm10_chr12:12792221-12792232(+)::chr12:12792220-12792232(+) AGGAGGAAGGAA >mm10_chr12:12792225-12792236(+)::chr12:12792224-12792236(+) GGAAGGAAGCTA >mm10_chr12:12886047-12886058(-)::chr12:12886046-12886058(-) GGAAGGAAATGC >mm10_chr12:12886051-12886062(-)::chr12:12886050-12886062(-) AGCAGGAAGGAA >mm10_chr12:12906361-12906372(+)::chr12:12906360-12906372(+) ACAAGGAAACGC >mm10_chr12:12906382-12906393(+)::chr12:12906381-12906393(+) TAAAGGAAGCCT >mm10_chr12:12938520-12938531(+)::chr12:12938519-12938531(+) GGAAGGAACTGC >mm10_chr12:12952942-12952953(-)::chr12:12952941-12952953(-) AGCAGGAAGGTT >mm10_chr12:12987035-12987046(+)::chr12:12987034-12987046(+) AGCAGGAAGCAC >mm10_chr12:12993163-12993174(+)::chr12:12993162-12993174(+) TGGAGGAAATAG >mm10_chr12:13077180-13077191(-)::chr12:13077179-13077191(-) GACAGGAAGAAG >mm10_chr12:13077215-13077226(-)::chr12:13077214-13077226(-) ACCAGGAAGTAA >mm10_chr12:13083876-13083887(+)::chr12:13083875-13083887(+) tgaaggaagaaa >mm10_chr12:13130005-13130016(+)::chr12:13130004-13130016(+) TACAGGAAGTAA >mm10_chr12:13145533-13145544(+)::chr12:13145532-13145544(+) ATAAGGAAATCC >mm10_chr12:13145601-13145612(-)::chr12:13145600-13145612(-) AGGAGGAAATGA >mm10_chr12:13164916-13164927(-)::chr12:13164915-13164927(-) GAAAGGAAGAAA >mm10_chr12:13177723-13177734(+)::chr12:13177722-13177734(+) GGGAGGAAGTTT >mm10_chr12:13177773-13177784(-)::chr12:13177772-13177784(-) GAAAGGAAGAGT >mm10_chr12:13183514-13183525(-)::chr12:13183513-13183525(-) TACAGGAAGCAT >mm10_chr12:13183567-13183578(+)::chr12:13183566-13183578(+) ACCAGGAAGCCA >mm10_chr12:13183598-13183609(-)::chr12:13183597-13183609(-) ACGAGGAAGTAT >mm10_chr12:13193135-13193146(+)::chr12:13193134-13193146(+) acaaggaaataa >mm10_chr12:13199761-13199772(-)::chr12:13199760-13199772(-) acaaggaaatga >mm10_chr12:13249190-13249201(-)::chr12:13249189-13249201(-) AGCCGGAAgcgc >mm10_chr12:13253397-13253408(+)::chr12:13253396-13253408(+) AGAAGGAAGCAG >mm10_chr12:13257130-13257141(-)::chr12:13257129-13257141(-) aagaggaaatag >mm10_chr12:13257180-13257191(-)::chr12:13257179-13257191(-) agaagggagtta >mm10_chr12:13359011-13359022(-)::chr12:13359010-13359022(-) AACAGGAAGTGT >mm10_chr12:13403556-13403567(-)::chr12:13403555-13403567(-) agaaggaagaag >mm10_chr12:13403566-13403577(-)::chr12:13403565-13403577(-) gggaggaagtag >mm10_chr12:13522923-13522934(+)::chr12:13522922-13522934(+) ACAGGGAAGTCA >mm10_chr12:13647952-13647963(+)::chr12:13647951-13647963(+) GTAAGGAAGGGA >mm10_chr12:13832575-13832586(-)::chr12:13832574-13832586(-) AGATGGAAGTAT >mm10_chr12:14038260-14038271(-)::chr12:14038259-14038271(-) TTAAGGAAATGC >mm10_chr12:14098381-14098392(+)::chr12:14098380-14098392(+) AAGAGGAAATAG >mm10_chr12:14098407-14098418(-)::chr12:14098406-14098418(-) AAAAGGAACTGT >mm10_chr12:14100386-14100397(-)::chr12:14100385-14100397(-) acaAGGAAGTAG >mm10_chr12:14100403-14100414(-)::chr12:14100402-14100414(-) tggaggaagagc >mm10_chr12:14100689-14100700(+)::chr12:14100688-14100700(+) gagaggaagttg >mm10_chr12:14158653-14158664(-)::chr12:14158652-14158664(-) gaaaagaagtag >mm10_chr12:14158706-14158717(-)::chr12:14158705-14158717(-) aaagggaagttg >mm10_chr12:14286346-14286357(-)::chr12:14286345-14286357(-) AGCAGGAAGCAA >mm10_chr12:14346975-14346986(-)::chr12:14346974-14346986(-) AAAAGGAATTGG >mm10_chr12:14346985-14346996(-)::chr12:14346984-14346996(-) TGGAGGAAGAAA >mm10_chr12:14347002-14347013(-)::chr12:14347001-14347013(-) ACTAGGAAATAA >mm10_chr12:14347027-14347038(-)::chr12:14347026-14347038(-) TGCAGGAAATGG >mm10_chr12:14738514-14738525(+)::chr12:14738513-14738525(+) acatggaagtgc >mm10_chr12:15472597-15472608(+)::chr12:15472596-15472608(+) agaaggaagctg >mm10_chr12:15472636-15472647(+)::chr12:15472635-15472647(+) agcaggaagagc >mm10_chr12:15472649-15472660(+)::chr12:15472648-15472660(+) agcaggaagtag >mm10_chr12:15566246-15566257(+)::chr12:15566245-15566257(+) GGAAGGAAGCAG >mm10_chr12:15673303-15673314(+)::chr12:15673302-15673314(+) AAGAGGAAGTCC >mm10_chr12:15703166-15703177(-)::chr12:15703165-15703177(-) agcaggaagttg >mm10_chr12:15703173-15703184(-)::chr12:15703172-15703184(-) caaaggaagcag >mm10_chr12:15704655-15704666(+)::chr12:15704654-15704666(+) AGCAGGAAGCCC >mm10_chr12:15729844-15729855(-)::chr12:15729843-15729855(-) TGAAGGAAGCAC >mm10_chr12:15731571-15731582(-)::chr12:15731570-15731582(-) AAGAGGAAATGG >mm10_chr12:15743181-15743192(+)::chr12:15743180-15743192(+) GGCAGGAAGAAG >mm10_chr12:15798433-15798444(+)::chr12:15798432-15798444(+) TCAGGGAAGTGA >mm10_chr12:15828143-15828154(-)::chr12:15828142-15828154(-) aagaggaaatta >mm10_chr12:15828155-15828166(-)::chr12:15828154-15828166(-) aagaggaagaag >mm10_chr12:15828176-15828187(-)::chr12:15828175-15828187(-) ggaaggaaggaG >mm10_chr12:15828180-15828191(-)::chr12:15828179-15828191(-) ggaaggaaggaa >mm10_chr12:15828184-15828195(-)::chr12:15828183-15828195(-) agaaggaaggaa >mm10_chr12:15853002-15853013(+)::chr12:15853001-15853013(+) CGCAGGAAGAAA >mm10_chr12:15876305-15876316(+)::chr12:15876304-15876316(+) TTCAGGAAGGTA >mm10_chr12:15912964-15912975(+)::chr12:15912963-15912975(+) ACAAGGAAGTCT >mm10_chr12:15912985-15912996(+)::chr12:15912984-15912996(+) ATCAGGAAGGAG >mm10_chr12:15912998-15913009(+)::chr12:15912997-15913009(+) AGGAGGAAGAAT >mm10_chr12:15913010-15913021(+)::chr12:15913009-15913021(+) AAAAGGAAGAGG >mm10_chr12:15923868-15923879(+)::chr12:15923867-15923879(+) gaaaggaaggaa >mm10_chr12:15923880-15923891(+)::chr12:15923879-15923891(+) tgaaggaaggGG >mm10_chr12:15924619-15924630(+)::chr12:15924618-15924630(+) GGAAGGAAGTAG >mm10_chr12:15924637-15924648(+)::chr12:15924636-15924648(+) CAAGGGAAGTGT >mm10_chr12:16062794-16062805(-)::chr12:16062793-16062805(-) AGAAGGAAGATG >mm10_chr12:16062824-16062835(-)::chr12:16062823-16062835(-) atcaggaagcag >mm10_chr12:16062853-16062864(-)::chr12:16062852-16062864(-) ataaggaacaaa >mm10_chr12:16122932-16122943(+)::chr12:16122931-16122943(+) TGAAGGAAGCAA >mm10_chr12:16151629-16151640(+)::chr12:16151628-16151640(+) GCAAGGAAGGGT >mm10_chr12:16161339-16161350(+)::chr12:16161338-16161350(+) accaggaagtct >mm10_chr12:16210760-16210771(+)::chr12:16210759-16210771(+) agcaggaagtga >mm10_chr12:16434953-16434964(+)::chr12:16434952-16434964(+) TGGAGGAAGAGA >mm10_chr12:16434961-16434972(+)::chr12:16434960-16434972(+) GAGAGGAAGAAC >mm10_chr12:16544578-16544589(+)::chr12:16544577-16544589(+) ATGAGGAAGAGG >mm10_chr12:16544584-16544595(+)::chr12:16544583-16544595(+) AAGAGGAAGAAC >mm10_chr12:16569094-16569105(+)::chr12:16569093-16569105(+) ACAAGGAAAACA >mm10_chr12:16569428-16569439(+)::chr12:16569427-16569439(+) AGCAGGAAATGG >mm10_chr12:16584235-16584246(-)::chr12:16584234-16584246(-) TGGAGGAAGTGA >mm10_chr12:16584270-16584281(+)::chr12:16584269-16584281(+) TCGAGGAAGTGG >mm10_chr12:16584282-16584293(-)::chr12:16584281-16584293(-) TAAAGGAATTAC >mm10_chr12:16585076-16585087(+)::chr12:16585075-16585087(+) GGAAGGAAGCCC >mm10_chr12:16591714-16591725(+)::chr12:16591713-16591725(+) AGGAGGAAGGAG >mm10_chr12:16591779-16591790(+)::chr12:16591778-16591790(+) TCCAGGAAGTGA >mm10_chr12:16592581-16592592(-)::chr12:16592580-16592592(-) agcaggaagagg >mm10_chr12:16614841-16614852(+)::chr12:16614840-16614852(+) Agaaggaaggag >mm10_chr12:16614848-16614859(+)::chr12:16614847-16614859(+) aggaggaaggag >mm10_chr12:16621084-16621095(+)::chr12:16621083-16621095(+) ACTAGGAAGAGA >mm10_chr12:16631010-16631021(-)::chr12:16631009-16631021(-) GGAAGGAAGACA >mm10_chr12:16643659-16643670(+)::chr12:16643658-16643670(+) ACTAGGAAGAAA >mm10_chr12:16718909-16718920(-)::chr12:16718908-16718920(-) AGGAGGAAGAGA >mm10_chr12:16718931-16718942(+)::chr12:16718930-16718942(+) AACAGGAAATGC >mm10_chr12:16741921-16741932(-)::chr12:16741920-16741932(-) GGAAGGAAGAAA >mm10_chr12:16741925-16741936(-)::chr12:16741924-16741936(-) AGCAGGAAGGAA >mm10_chr12:16741932-16741943(-)::chr12:16741931-16741943(-) AGAAGGAAGCAG >mm10_chr12:16821133-16821144(+)::chr12:16821132-16821144(+) TAAAGGAAATGT >mm10_chr12:16821181-16821192(-)::chr12:16821180-16821192(-) AACAGGAAGAGC >mm10_chr12:16828633-16828644(+)::chr12:16828632-16828644(+) atcaggaagtac >mm10_chr12:16828670-16828681(+)::chr12:16828669-16828681(+) aGAAGGAAATGC >mm10_chr12:16864768-16864779(+)::chr12:16864767-16864779(+) tagaggaagttt >mm10_chr12:16870559-16870570(-)::chr12:16870558-16870570(-) AGCAGGAAGGCT >mm10_chr12:16870594-16870605(+)::chr12:16870593-16870605(+) GGGAGGAAGGAC >mm10_chr12:16877650-16877661(+)::chr12:16877649-16877661(+) AGGAGGAAGTCA >mm10_chr12:16886888-16886899(-)::chr12:16886887-16886899(-) AGCAGGAAGAGA >mm10_chr12:16894012-16894023(-)::chr12:16894011-16894023(-) gtaaggaaatga >mm10_chr12:16894028-16894039(-)::chr12:16894027-16894039(-) ttaaggaagtcc >mm10_chr12:16894217-16894228(-)::chr12:16894216-16894228(-) ATAAGGAAGCGC >mm10_chr12:17011826-17011837(+)::chr12:17011825-17011837(+) GGCAGGAAGAGA >mm10_chr12:17036682-17036693(-)::chr12:17036681-17036693(-) CTAAGGAAGCCA >mm10_chr12:17063358-17063369(+)::chr12:17063357-17063369(+) GGAGGGAAGTGG >mm10_chr12:17143058-17143069(-)::chr12:17143057-17143069(-) ttcaggaagtat >mm10_chr12:17183201-17183212(-)::chr12:17183200-17183212(-) AGAAGGAAGACA >mm10_chr12:17258398-17258409(-)::chr12:17258397-17258409(-) ACAAGGATGTTA >mm10_chr12:17314295-17314306(+)::chr12:17314294-17314306(+) GGTAGGAAGTGT >mm10_chr12:17319502-17319513(+)::chr12:17319501-17319513(+) TAAAGGAAGTTG >mm10_chr12:17336878-17336889(-)::chr12:17336877-17336889(-) GCAAGGAAGAAT >mm10_chr12:17450760-17450771(-)::chr12:17450759-17450771(-) aagaggaagtaa >mm10_chr12:17467677-17467688(-)::chr12:17467676-17467688(-) agcaggaactag >mm10_chr12:17471601-17471612(-)::chr12:17471600-17471612(-) agcaggaaggag >mm10_chr12:17496061-17496072(-)::chr12:17496060-17496072(-) aggaggaagaga >mm10_chr12:17505659-17505670(+)::chr12:17505658-17505670(+) GAAAGGAAATGT >mm10_chr12:17535426-17535437(-)::chr12:17535425-17535437(-) GCCAGGAAGCAC >mm10_chr12:17535498-17535509(+)::chr12:17535497-17535509(+) ataaggaagggc >mm10_chr12:17538194-17538205(-)::chr12:17538193-17538205(-) AGCAGGAAGAGC >mm10_chr12:17540185-17540196(-)::chr12:17540184-17540196(-) ttcaggaaggta >mm10_chr12:17572233-17572244(+)::chr12:17572232-17572244(+) gggaggaagcat >mm10_chr12:17572288-17572299(-)::chr12:17572287-17572299(-) GGAAGGAAGTCT >mm10_chr12:17589109-17589120(+)::chr12:17589108-17589120(+) TGCAGGAAGACA >mm10_chr12:17667850-17667861(+)::chr12:17667849-17667861(+) aaaaggaagggg >mm10_chr12:17667866-17667877(+)::chr12:17667865-17667877(+) aggaggaagagg >mm10_chr12:17667872-17667883(+)::chr12:17667871-17667883(+) aagaggaaggtg >mm10_chr12:17667913-17667924(+)::chr12:17667912-17667924(+) aggaggaagagg >mm10_chr12:17676630-17676641(+)::chr12:17676629-17676641(+) ATGAGGAAGCAG >mm10_chr12:17690808-17690819(-)::chr12:17690807-17690819(-) GGAAGGAAGGGA >mm10_chr12:17691869-17691880(-)::chr12:17691868-17691880(-) AGGAGGAAATGA >mm10_chr12:17691897-17691908(-)::chr12:17691896-17691908(-) TCAAGGAAGGAG >mm10_chr12:17702008-17702019(-)::chr12:17702007-17702019(-) ATCAGGAAGAAC >mm10_chr12:17741737-17741748(-)::chr12:17741736-17741748(-) GAAAGGAACTTG >mm10_chr12:17770704-17770715(-)::chr12:17770703-17770715(-) GGAAGGAAGTTA >mm10_chr12:17770708-17770719(-)::chr12:17770707-17770719(-) GGGAGGAAGGAA >mm10_chr12:21123110-21123121(+)::chr12:21123109-21123121(+) acaaggaactgc >mm10_chr12:21132878-21132889(-)::chr12:21132877-21132889(-) GAAAGGAAGTGG >mm10_chr12:21132947-21132958(+)::chr12:21132946-21132958(+) GAAAGGAAGGGA >mm10_chr12:21136652-21136663(+)::chr12:21136651-21136663(+) AAGAGGAAGAAA >mm10_chr12:21155232-21155243(-)::chr12:21155231-21155243(-) GCAGGGAAGTTT >mm10_chr12:21155289-21155300(-)::chr12:21155288-21155300(-) GACAGGAAGTGC >mm10_chr12:21160762-21160773(-)::chr12:21160761-21160773(-) TACAGGAAGTAA >mm10_chr12:21205220-21205231(-)::chr12:21205219-21205231(-) AACAGGAAGCCA >mm10_chr12:21218207-21218218(+)::chr12:21218206-21218218(+) AAGAGGAAGTGT >mm10_chr12:21264414-21264425(+)::chr12:21264413-21264425(+) CAGAGGAAGTGT >mm10_chr12:21264427-21264438(+)::chr12:21264426-21264438(+) TGAAGGAAGTGA >mm10_chr12:21277738-21277749(+)::chr12:21277737-21277749(+) TGAAGGAAATAC >mm10_chr12:21286331-21286342(+)::chr12:21286330-21286342(+) AACCGGAAGTTG >mm10_chr12:21286375-21286386(+)::chr12:21286374-21286386(+) CGCCGGAAGTTG >mm10_chr12:21286953-21286964(+)::chr12:21286952-21286964(+) AGGAGGAAATTG >mm10_chr12:21287421-21287432(-)::chr12:21287420-21287432(-) AACAGGAAGACT >mm10_chr12:21287435-21287446(+)::chr12:21287434-21287446(+) ATACGGAAGTAG >mm10_chr12:21287458-21287469(-)::chr12:21287457-21287469(-) ATGAGGAAGTGG >mm10_chr12:21289169-21289180(+)::chr12:21289168-21289180(+) gccaggaagtgc >mm10_chr12:21289197-21289208(+)::chr12:21289196-21289208(+) gcaaggaaggta >mm10_chr12:21330206-21330217(-)::chr12:21330205-21330217(-) TCGAGGAAGCTG >mm10_chr12:21393983-21393994(-)::chr12:21393982-21393994(-) agagggaagtca >mm10_chr12:21409719-21409730(-)::chr12:21409718-21409730(-) CAAAGGAAGTAG >mm10_chr12:21409781-21409792(+)::chr12:21409780-21409792(+) ACCAGGAAATCA >mm10_chr12:24471722-24471733(-)::chr12:24471721-24471733(-) GGGAGGATGTAA >mm10_chr12:24521310-24521321(-)::chr12:24521309-24521321(-) AAAAGGAACTGG >mm10_chr12:24537022-24537033(+)::chr12:24537021-24537033(+) CAAAGGAAGCAT >mm10_chr12:24562632-24562643(-)::chr12:24562631-24562643(-) AGCAGGATGTAC >mm10_chr12:24585106-24585117(-)::chr12:24585105-24585117(-) TGGAGGAAGTAA >mm10_chr12:24592511-24592522(-)::chr12:24592510-24592522(-) TGGAGGAAGGGT >mm10_chr12:24593411-24593422(-)::chr12:24593410-24593422(-) GGCAGGAAGGGG >mm10_chr12:24597453-24597464(-)::chr12:24597452-24597464(-) TAAAGGAATTTA >mm10_chr12:24597482-24597493(-)::chr12:24597481-24597493(-) TTAAGGAAGTGG >mm10_chr12:24626988-24626999(+)::chr12:24626987-24626999(+) TAGAGGAAGCAT >mm10_chr12:24650836-24650847(+)::chr12:24650835-24650847(+) AGTAGGAAGAGC >mm10_chr12:24654378-24654389(+)::chr12:24654377-24654389(+) TGGAGGAAGTCC >mm10_chr12:24709565-24709576(-)::chr12:24709564-24709576(-) AGCAGGAAGTGT >mm10_chr12:24723658-24723669(+)::chr12:24723657-24723669(+) GAAAGGAAATGT >mm10_chr12:24735294-24735305(+)::chr12:24735293-24735305(+) AACAGGAAGGGA >mm10_chr12:24735299-24735310(+)::chr12:24735298-24735310(+) GAAGGGAAGTCA >mm10_chr12:24747060-24747071(+)::chr12:24747059-24747071(+) GGGAGGAAATGA >mm10_chr12:24754860-24754871(-)::chr12:24754859-24754871(-) GAAAGGAAGTCT >mm10_chr12:24758484-24758495(-)::chr12:24758483-24758495(-) GCCAGGAAGTGA >mm10_chr12:24783952-24783963(+)::chr12:24783951-24783963(+) ggcaggaagttg >mm10_chr12:24833996-24834007(+)::chr12:24833995-24834007(+) AGGAGGAAGAAG >mm10_chr12:24838332-24838343(-)::chr12:24838331-24838343(-) ACAAGGAAGTGA >mm10_chr12:24876910-24876921(-)::chr12:24876909-24876921(-) TACAGGAAATAG >mm10_chr12:24877357-24877368(+)::chr12:24877356-24877368(+) TGCAGGAAGAGG >mm10_chr12:25023418-25023429(-)::chr12:25023417-25023429(-) AACAGGAAGGAG >mm10_chr12:25036265-25036276(-)::chr12:25036264-25036276(-) GTGAGGAAGTCA >mm10_chr12:25036307-25036318(-)::chr12:25036306-25036318(-) AGAGGGAAATAA >mm10_chr12:25054749-25054760(+)::chr12:25054748-25054760(+) AAGAGGAAGAAG >mm10_chr12:25054795-25054806(+)::chr12:25054794-25054806(+) GTGAGGAAGTAA >mm10_chr12:25054809-25054820(+)::chr12:25054808-25054820(+) GCAAGGAAGCTG >mm10_chr12:25055085-25055096(-)::chr12:25055084-25055096(-) AGGAGGAAGCAC >mm10_chr12:25099083-25099094(+)::chr12:25099082-25099094(+) agaaggaagacc >mm10_chr12:25108951-25108962(-)::chr12:25108950-25108962(-) AGGAGGAAGGGG >mm10_chr12:25109190-25109201(-)::chr12:25109189-25109201(-) GGAAGGATGTAC >mm10_chr12:25109194-25109205(-)::chr12:25109193-25109205(-) TCCAGGAAGGAT >mm10_chr12:25113107-25113118(-)::chr12:25113106-25113118(-) AGCAGGAAGCTG >mm10_chr12:25113722-25113733(-)::chr12:25113721-25113733(-) aagaggaagagg >mm10_chr12:25113728-25113739(-)::chr12:25113727-25113739(-) aagaggaagagg >mm10_chr12:25113734-25113745(-)::chr12:25113733-25113745(-) aagaggaagagg >mm10_chr12:25113740-25113751(-)::chr12:25113739-25113751(-) aagaggaagagg >mm10_chr12:25113746-25113757(-)::chr12:25113745-25113757(-) aagaggaagagg >mm10_chr12:25113752-25113763(-)::chr12:25113751-25113763(-) aagaggaagagg >mm10_chr12:25113758-25113769(-)::chr12:25113757-25113769(-) aagaggaagagg >mm10_chr12:25113764-25113775(-)::chr12:25113763-25113775(-) aagaggaagagg >mm10_chr12:25114805-25114816(-)::chr12:25114804-25114816(-) ATAAGGAAGCAG >mm10_chr12:25135692-25135703(+)::chr12:25135691-25135703(+) GGGAGGAAGGAG >mm10_chr12:25143361-25143372(-)::chr12:25143360-25143372(-) AGGAGGAAATAC >mm10_chr12:25143395-25143406(+)::chr12:25143394-25143406(+) AGGAGGAAGGAT >mm10_chr12:25145055-25145066(+)::chr12:25145054-25145066(+) CTAAGGAAGAGA >mm10_chr12:25148858-25148869(-)::chr12:25148857-25148869(-) aagaggaAGGAG >mm10_chr12:25148864-25148875(-)::chr12:25148863-25148875(-) acgaggaagagg >mm10_chr12:25148882-25148893(-)::chr12:25148881-25148893(-) aagaggaagaga >mm10_chr12:25150814-25150825(+)::chr12:25150813-25150825(+) TAGAGGAAGGAG >mm10_chr12:25157220-25157231(+)::chr12:25157219-25157231(+) TGTAGGAAGTTC >mm10_chr12:25158704-25158715(+)::chr12:25158703-25158715(+) GCCCGGAAGTTA >mm10_chr12:25162290-25162301(-)::chr12:25162289-25162301(-) TACAGGAAGAGG >mm10_chr12:25162748-25162759(+)::chr12:25162747-25162759(+) GGGAGGAAGTAT >mm10_chr12:25176852-25176863(+)::chr12:25176851-25176863(+) tctaggaagtgg >mm10_chr12:25177150-25177161(+)::chr12:25177149-25177161(+) AGAGGGAAATAG >mm10_chr12:25178032-25178043(-)::chr12:25178031-25178043(-) CTAAGGAAGGGG >mm10_chr12:25209100-25209111(+)::chr12:25209099-25209111(+) AGCAGGAAGTGG >mm10_chr12:25216854-25216865(-)::chr12:25216853-25216865(-) ACCAGGAAGTCC >mm10_chr12:25216869-25216880(+)::chr12:25216868-25216880(+) AAGAGGAAGGCA >mm10_chr12:25233111-25233122(-)::chr12:25233110-25233122(-) GGCAGGAAATAG >mm10_chr12:25233165-25233176(-)::chr12:25233164-25233176(-) GCCAGGAAGGGT >mm10_chr12:25241705-25241716(+)::chr12:25241704-25241716(+) accaggaagtgg >mm10_chr12:25241732-25241743(+)::chr12:25241731-25241743(+) gacaggaagttt >mm10_chr12:25247195-25247206(+)::chr12:25247194-25247206(+) TGAAGGAAGCAG >mm10_chr12:25249126-25249137(+)::chr12:25249125-25249137(+) GGCAGGAAGTGA >mm10_chr12:25249617-25249628(+)::chr12:25249616-25249628(+) TCCGGGAAGTAT >mm10_chr12:25255011-25255022(+)::chr12:25255010-25255022(+) ACAAGGAAGTGG >mm10_chr12:25269339-25269350(+)::chr12:25269338-25269350(+) AGCAGGAAATGT >mm10_chr12:25283749-25283760(-)::chr12:25283748-25283760(-) CCACGGAAGTAC >mm10_chr12:25283783-25283794(-)::chr12:25283782-25283794(-) AAGAGGAAGAAA >mm10_chr12:25303707-25303718(+)::chr12:25303706-25303718(+) TCTAGGAAGTCC >mm10_chr12:25304199-25304210(+)::chr12:25304198-25304210(+) ctaaggaagttc >mm10_chr12:25310374-25310385(+)::chr12:25310373-25310385(+) TGAAGGAAGTTG >mm10_chr12:25310796-25310807(-)::chr12:25310795-25310807(-) AAAGGGAAGCCG >mm10_chr12:25316132-25316143(+)::chr12:25316131-25316143(+) tggaggaagtgg >mm10_chr12:25316174-25316185(-)::chr12:25316173-25316185(-) aacaggaagtga >mm10_chr12:25331405-25331416(+)::chr12:25331404-25331416(+) gacaggaagcag >mm10_chr12:25338524-25338535(-)::chr12:25338523-25338535(-) ACAAGGAAGGAG >mm10_chr12:25354913-25354924(+)::chr12:25354912-25354924(+) AAGAGGAAGGTA >mm10_chr12:25354967-25354978(+)::chr12:25354966-25354978(+) AGGAGGAAGGGC >mm10_chr12:25379306-25379317(-)::chr12:25379305-25379317(-) TACAGGAAGAGC >mm10_chr12:25548801-25548812(-)::chr12:25548800-25548812(-) CAAAGGAAGTCC >mm10_chr12:25554002-25554013(-)::chr12:25554001-25554013(-) CCCAGGAAGTAT >mm10_chr12:25554064-25554075(+)::chr12:25554063-25554075(+) ACTAGGAAGAAA >mm10_chr12:25702548-25702559(-)::chr12:25702547-25702559(-) CAGAGGAAGTAA >mm10_chr12:25866807-25866818(+)::chr12:25866806-25866818(+) AGAAGGAAGTAA >mm10_chr12:25888425-25888436(+)::chr12:25888424-25888436(+) ATGAGGAAGTAG >mm10_chr12:25903054-25903065(+)::chr12:25903053-25903065(+) tggaggaaggaa >mm10_chr12:25903058-25903069(+)::chr12:25903057-25903069(+) ggaaggaaggtt >mm10_chr12:25905957-25905968(+)::chr12:25905956-25905968(+) AGGAGGAAGAGA >mm10_chr12:25936110-25936121(+)::chr12:25936109-25936121(+) ATGAGGAAGAGT >mm10_chr12:25977791-25977802(+)::chr12:25977790-25977802(+) aacaggaagtaa >mm10_chr12:25977841-25977852(-)::chr12:25977840-25977852(-) tgaaggaagtgg >mm10_chr12:26018461-26018472(-)::chr12:26018460-26018472(-) GTAAGGAAGAGT >mm10_chr12:26018469-26018480(-)::chr12:26018468-26018480(-) AGAAGGCAGTAA >mm10_chr12:26018494-26018505(-)::chr12:26018493-26018505(-) TGGAGGAAGTCA >mm10_chr12:26088349-26088360(+)::chr12:26088348-26088360(+) TAGAGGAAGTCA >mm10_chr12:26089179-26089190(+)::chr12:26089178-26089190(+) GTAAGGAAGTAT >mm10_chr12:26092574-26092585(+)::chr12:26092573-26092585(+) TCCAGGAAGTTA >mm10_chr12:26112921-26112932(+)::chr12:26112920-26112932(+) AGCAGGAAGGAG >mm10_chr12:26187546-26187557(-)::chr12:26187545-26187557(-) TCCAGGAAGTAA >mm10_chr12:26336923-26336934(+)::chr12:26336922-26336934(+) GGCAGGAAGCCG >mm10_chr12:26403567-26403578(-)::chr12:26403566-26403578(-) GGAAGGAAGTCA >mm10_chr12:26425283-26425294(+)::chr12:26425282-26425294(+) gaagggaagtct >mm10_chr12:26436593-26436604(+)::chr12:26436592-26436604(+) AGAAGGAAATGC >mm10_chr12:26457925-26457936(-)::chr12:26457924-26457936(-) CAAAGGAAGTAG >mm10_chr12:26471358-26471369(-)::chr12:26471357-26471369(-) GCAAGGAAGTGC >mm10_chr12:26471407-26471418(-)::chr12:26471406-26471418(-) TAAAGGATGTGC >mm10_chr12:26471752-26471763(+)::chr12:26471751-26471763(+) ACCAGGAAGGGG >mm10_chr12:26488346-26488357(-)::chr12:26488345-26488357(-) AAAAGGAAGTGG >mm10_chr12:26525040-26525051(+)::chr12:26525039-26525051(+) ATGAGGAAGTGG >mm10_chr12:26649631-26649642(-)::chr12:26649630-26649642(-) TGAAGGAAGTTC >mm10_chr12:26775950-26775961(+)::chr12:26775949-26775961(+) gggaggaagact >mm10_chr12:26964949-26964960(+)::chr12:26964948-26964960(+) ACAAGTAAGTCA >mm10_chr12:27136412-27136423(+)::chr12:27136411-27136423(+) GTAAGGAAGCTT >mm10_chr12:27148334-27148345(-)::chr12:27148333-27148345(-) AACAGGAAGAAA >mm10_chr12:27148370-27148381(+)::chr12:27148369-27148381(+) AAAAGGAaggag >mm10_chr12:27148377-27148388(+)::chr12:27148376-27148388(+) aggaggaagaag >mm10_chr12:27148389-27148400(+)::chr12:27148388-27148400(+) aggaggaaggaa >mm10_chr12:27148393-27148404(+)::chr12:27148392-27148404(+) ggaaggaagaac >mm10_chr12:27171725-27171736(+)::chr12:27171724-27171736(+) ggaaggatgtgt >mm10_chr12:27171754-27171765(+)::chr12:27171753-27171765(+) tcaaggatgtaa >mm10_chr12:27171777-27171788(+)::chr12:27171776-27171788(+) agcaggaagcag >mm10_chr12:27201325-27201336(-)::chr12:27201324-27201336(-) AGCAGGAAGCTC >mm10_chr12:27246909-27246920(-)::chr12:27246908-27246920(-) AGAAGGAAAAAA >mm10_chr12:27246928-27246939(-)::chr12:27246927-27246939(-) GATAGGAAGAAA >mm10_chr12:27268326-27268337(-)::chr12:27268325-27268337(-) AGTAGGAAGAGG >mm10_chr12:27268333-27268344(-)::chr12:27268332-27268344(-) AACAGGAAGTAG >mm10_chr12:27271771-27271782(+)::chr12:27271770-27271782(+) AACAGGAAGAAG >mm10_chr12:27271800-27271811(+)::chr12:27271799-27271811(+) TCCAGGAAGTAT >mm10_chr12:27271832-27271843(+)::chr12:27271831-27271843(+) TAGAGGAAATGA >mm10_chr12:27358269-27358280(+)::chr12:27358268-27358280(+) GGAAGGAAGCGG >mm10_chr12:27499043-27499054(-)::chr12:27499042-27499054(-) ACAAGGAAGCCC >mm10_chr12:27575841-27575852(-)::chr12:27575840-27575852(-) ATGAGGAAGGTT >mm10_chr12:27604415-27604426(+)::chr12:27604414-27604426(+) tccaggaagtaa >mm10_chr12:27604419-27604430(+)::chr12:27604418-27604430(+) ggaagtaagtga >mm10_chr12:27641622-27641633(-)::chr12:27641621-27641633(-) ATAAGGAAGTTT >mm10_chr12:27852431-27852442(+)::chr12:27852430-27852442(+) GAGAGGAAGTTG >mm10_chr12:27950614-27950625(-)::chr12:27950613-27950625(-) TAAAGGAAGTAT >mm10_chr12:27950680-27950691(+)::chr12:27950679-27950691(+) AGGAGGAAGAAA >mm10_chr12:28038555-28038566(-)::chr12:28038554-28038566(-) ATAAGGAAGCTG >mm10_chr12:28038563-28038574(-)::chr12:28038562-28038574(-) ATAAGGATATAA >mm10_chr12:28432525-28432536(+)::chr12:28432524-28432536(+) AGGAGGAAATGG >mm10_chr12:28649870-28649881(-)::chr12:28649869-28649881(-) ACACGGAAGGGC >mm10_chr12:28701432-28701443(-)::chr12:28701431-28701443(-) ACAAGGAAGTGA >mm10_chr12:28701464-28701475(-)::chr12:28701463-28701475(-) GCAAGGAAGTGC >mm10_chr12:28727244-28727255(-)::chr12:28727243-28727255(-) AGGAGGAAGTGG >mm10_chr12:28907712-28907723(+)::chr12:28907711-28907723(+) AGAAGGAAAGGG >mm10_chr12:28907718-28907729(+)::chr12:28907717-28907729(+) AAAGGGAAGGCG >mm10_chr12:28949703-28949714(-)::chr12:28949702-28949714(-) ACAAGGAAGCAG >mm10_chr12:28949735-28949746(+)::chr12:28949734-28949746(+) AGCAGGAAGCAG >mm10_chr12:28949742-28949753(+)::chr12:28949741-28949753(+) AGCAGGAAGCAG >mm10_chr12:29000307-29000318(-)::chr12:29000306-29000318(-) CAGAGGAAGTAA >mm10_chr12:29291363-29291374(-)::chr12:29291362-29291374(-) ACAAGGAAATGC >mm10_chr12:29625976-29625987(+)::chr12:29625975-29625987(+) TGCAGGAAGTAA >mm10_chr12:29655523-29655534(-)::chr12:29655522-29655534(-) GCAAGGAAGGAG >mm10_chr12:29655587-29655598(+)::chr12:29655586-29655598(+) GGGAGGAAGGGT >mm10_chr12:29687950-29687961(-)::chr12:29687949-29687961(-) ACAAGGAAGCTG >mm10_chr12:29687971-29687982(-)::chr12:29687970-29687982(-) ACAAGGAAGCTG >mm10_chr12:29714457-29714468(+)::chr12:29714456-29714468(+) tccaggaagtca >mm10_chr12:29755938-29755949(-)::chr12:29755937-29755949(-) AGAAGGAAGATA >mm10_chr12:29755972-29755983(-)::chr12:29755971-29755983(-) CTAAGGAAGGAA >mm10_chr12:29760729-29760740(-)::chr12:29760728-29760740(-) ACCAGGAAGCAG >mm10_chr12:29789476-29789487(-)::chr12:29789475-29789487(-) ATCAGGAAGCCA >mm10_chr12:29872583-29872594(-)::chr12:29872582-29872594(-) AGCAGGAAGAGG >mm10_chr12:29875079-29875090(+)::chr12:29875078-29875090(+) AGCAGGAAGAAC >mm10_chr12:29932782-29932793(-)::chr12:29932781-29932793(-) AACAGGAAGTGA >mm10_chr12:29938560-29938571(+)::chr12:29938559-29938571(+) ACCGGGAAGTAG >mm10_chr12:29942569-29942580(+)::chr12:29942568-29942580(+) AAAAGGAAGCCA >mm10_chr12:29943981-29943992(+)::chr12:29943980-29943992(+) acaaggaagtgt >mm10_chr12:29943993-29944004(+)::chr12:29943992-29944004(+) ggcagGAACTAC >mm10_chr12:29951265-29951276(-)::chr12:29951264-29951276(-) ACTAGGAAGAGG >mm10_chr12:29951284-29951295(-)::chr12:29951283-29951295(-) TAAAGGAAGGCC >mm10_chr12:30084122-30084133(+)::chr12:30084121-30084133(+) TGGAGGAAGTCA >mm10_chr12:30084165-30084176(-)::chr12:30084164-30084176(-) AGAAGGAAGCAG >mm10_chr12:30094271-30094282(+)::chr12:30094270-30094282(+) tgcaggaagtac >mm10_chr12:30094300-30094311(+)::chr12:30094299-30094311(+) tggaggaaggag >mm10_chr12:30128710-30128721(-)::chr12:30128709-30128721(-) ACCAGGAAGAAT >mm10_chr12:30210801-30210812(+)::chr12:30210800-30210812(+) ACGAGGAAGGGA >mm10_chr12:30210813-30210824(+)::chr12:30210812-30210824(+) ATAAGGAAGGTC >mm10_chr12:30257786-30257797(-)::chr12:30257785-30257797(-) AAGAGGAAGGCG >mm10_chr12:30257806-30257817(-)::chr12:30257805-30257817(-) TTGAGGAAGTGC >mm10_chr12:30258382-30258393(-)::chr12:30258381-30258393(-) GGAAGGAAGATC >mm10_chr12:30258386-30258397(-)::chr12:30258385-30258397(-) TTAAGGAAGGAA >mm10_chr12:30258412-30258423(+)::chr12:30258411-30258423(+) AGGAGGAAGTCG >mm10_chr12:30357964-30357975(-)::chr12:30357963-30357975(-) CTAGGGAAGTAC >mm10_chr12:30413147-30413158(-)::chr12:30413146-30413158(-) agaaggaagctg >mm10_chr12:30413160-30413171(-)::chr12:30413159-30413171(-) ttaaggaagtgg >mm10_chr12:30471185-30471196(-)::chr12:30471184-30471196(-) ATCAGGAAGCTG >mm10_chr12:30473262-30473273(+)::chr12:30473261-30473273(+) ACAAGGAAAGGA >mm10_chr12:30578199-30578210(+)::chr12:30578198-30578210(+) GGAAGGAAGGTG >mm10_chr12:30664190-30664201(+)::chr12:30664189-30664201(+) ACAAGGAAATAC >mm10_chr12:30739417-30739428(-)::chr12:30739416-30739428(-) GTCAGGAAGTGA >mm10_chr12:30739430-30739441(+)::chr12:30739429-30739441(+) AGGAGGAAATGC >mm10_chr12:30912173-30912184(-)::chr12:30912172-30912184(-) TCCAGGAAGAGG >mm10_chr12:31075645-31075656(-)::chr12:31075644-31075656(-) ATCAGGAAGACC >mm10_chr12:31083564-31083575(+)::chr12:31083563-31083575(+) TGAAGGAAGCAT >mm10_chr12:31134708-31134719(-)::chr12:31134707-31134719(-) ACGAGGAAATTA >mm10_chr12:31168370-31168381(-)::chr12:31168369-31168381(-) GGGAGGAAATAT >mm10_chr12:31178020-31178031(-)::chr12:31178019-31178031(-) tgcaggaagaga >mm10_chr12:31260360-31260371(+)::chr12:31260359-31260371(+) atcaggaagtag >mm10_chr12:31322425-31322436(-)::chr12:31322424-31322436(-) ATCAGGAAGTAC >mm10_chr12:31337749-31337760(+)::chr12:31337748-31337760(+) CTCAGGAAGTCA >mm10_chr12:31499111-31499122(+)::chr12:31499110-31499122(+) AGCAGGAAGTCT >mm10_chr12:31513912-31513923(-)::chr12:31513911-31513923(-) ggaaggaagtgg >mm10_chr12:31538336-31538347(-)::chr12:31538335-31538347(-) TAGAGGAAATAG >mm10_chr12:31538361-31538372(+)::chr12:31538360-31538372(+) AACAGGAAGTAT >mm10_chr12:31574568-31574579(+)::chr12:31574567-31574579(+) tggaggaagtta >mm10_chr12:31674442-31674453(-)::chr12:31674441-31674453(-) aagaggaaataa >mm10_chr12:31675646-31675657(-)::chr12:31675645-31675657(-) TCAAGGAAATCT >mm10_chr12:31707923-31707934(+)::chr12:31707922-31707934(+) ATGAGGAAGGAG >mm10_chr12:31767076-31767087(+)::chr12:31767075-31767087(+) AGAAGGAAGGTA >mm10_chr12:31771327-31771338(+)::chr12:31771326-31771338(+) agaaggaagctt >mm10_chr12:31797357-31797368(-)::chr12:31797356-31797368(-) TAGaggaagtgg >mm10_chr12:31810798-31810809(+)::chr12:31810797-31810809(+) GGAAGGAAGAAG >mm10_chr12:31810805-31810816(+)::chr12:31810804-31810816(+) AGAAGGAAGTTC >mm10_chr12:31818408-31818419(-)::chr12:31818407-31818419(-) gcagggaagtgc >mm10_chr12:31821324-31821335(-)::chr12:31821323-31821335(-) AGCAGGAAGTAG >mm10_chr12:31821331-31821342(-)::chr12:31821330-31821342(-) AGCAGGAAGCAG >mm10_chr12:31821969-31821980(-)::chr12:31821968-31821980(-) agcaggaagctg >mm10_chr12:31822273-31822284(-)::chr12:31822272-31822284(-) ACAAGGAAGTGG >mm10_chr12:31917450-31917461(-)::chr12:31917449-31917461(-) aacaggaagtag >mm10_chr12:31917611-31917622(+)::chr12:31917610-31917622(+) AGGAGGAAGGCT >mm10_chr12:31921288-31921299(-)::chr12:31921287-31921299(-) GAAAGGAAGGTG >mm10_chr12:31921293-31921304(-)::chr12:31921292-31921304(-) ACAAGGAAAGGA >mm10_chr12:31949490-31949501(-)::chr12:31949489-31949501(-) GGGAGGAAGCGA >mm10_chr12:31950703-31950714(-)::chr12:31950702-31950714(-) TAAAGGAAGAGA >mm10_chr12:31958567-31958578(-)::chr12:31958566-31958578(-) AACAGGAAATGA >mm10_chr12:31995388-31995399(-)::chr12:31995387-31995399(-) AGGAGGAAATAT >mm10_chr12:31995447-31995458(-)::chr12:31995446-31995458(-) AAAAGGAAGCAG >mm10_chr12:31996995-31997006(-)::chr12:31996994-31997006(-) tccaggaagtta >mm10_chr12:32017324-32017335(+)::chr12:32017323-32017335(+) ACAAGGATGTTA >mm10_chr12:32032395-32032406(-)::chr12:32032394-32032406(-) TTAAGGAAGCTG >mm10_chr12:32032458-32032469(-)::chr12:32032457-32032469(-) GAAAGGAAGAAC >mm10_chr12:32046053-32046064(+)::chr12:32046052-32046064(+) ACAAGGACGTTG >mm10_chr12:32046086-32046097(+)::chr12:32046085-32046097(+) ACAAGGAAGGAA >mm10_chr12:32046090-32046101(+)::chr12:32046089-32046101(+) GGAAGGAAGCAC >mm10_chr12:32051737-32051748(+)::chr12:32051736-32051748(+) AGAAGGAAGCAG >mm10_chr12:32051775-32051786(+)::chr12:32051774-32051786(+) ATCAGGAAATGG >mm10_chr12:32051787-32051798(+)::chr12:32051786-32051798(+) AGAAGGAAGCAG >mm10_chr12:32111708-32111719(-)::chr12:32111707-32111719(-) ATGAGGAAGTGA >mm10_chr12:32138484-32138495(+)::chr12:32138483-32138495(+) AGGGGGAAGTGG >mm10_chr12:32138547-32138558(+)::chr12:32138546-32138558(+) GAGAGGAAGAAA >mm10_chr12:32142503-32142514(+)::chr12:32142502-32142514(+) aggaggaagagt >mm10_chr12:32142519-32142530(+)::chr12:32142518-32142530(+) AGAAGGAAGGGG >mm10_chr12:32163837-32163848(+)::chr12:32163836-32163848(+) AAGAGGAAGTAC >mm10_chr12:32176678-32176689(+)::chr12:32176677-32176689(+) TCAAGGAAATAT >mm10_chr12:32191140-32191151(+)::chr12:32191139-32191151(+) ACGAGGAAGTAG >mm10_chr12:32208453-32208464(+)::chr12:32208452-32208464(+) AGAAGGAAGGTG >mm10_chr12:32208613-32208624(-)::chr12:32208612-32208624(-) AAGAGGAAGAGA >mm10_chr12:32208652-32208663(+)::chr12:32208651-32208663(+) CAGAGGAAGTGT >mm10_chr12:32208671-32208682(+)::chr12:32208670-32208682(+) ACCAGGAAGGAA >mm10_chr12:32208675-32208686(+)::chr12:32208674-32208686(+) GGAAGGAAGTTT >mm10_chr12:32230813-32230824(+)::chr12:32230812-32230824(+) ATGGGGAAGTAC >mm10_chr12:32230881-32230892(+)::chr12:32230880-32230892(+) GAAGGGAAGTGA >mm10_chr12:32232254-32232265(+)::chr12:32232253-32232265(+) gccaggaagaag >mm10_chr12:32232291-32232302(-)::chr12:32232290-32232302(-) agcaggaagcac >mm10_chr12:32238320-32238331(+)::chr12:32238319-32238331(+) ACCAGGAAGTGT >mm10_chr12:32280297-32280308(+)::chr12:32280296-32280308(+) aggaggaagtgg >mm10_chr12:32280318-32280329(+)::chr12:32280317-32280329(+) agaaggaAGTTG >mm10_chr12:32280359-32280370(+)::chr12:32280358-32280370(+) AGAGGGAAGGGA >mm10_chr12:32323233-32323244(+)::chr12:32323232-32323244(+) AGAAGGAAAGAA >mm10_chr12:32379616-32379627(-)::chr12:32379615-32379627(-) ACCGGGAAGCGG >mm10_chr12:32427965-32427976(-)::chr12:32427964-32427976(-) TCCAGGAAGAAA >mm10_chr12:32429279-32429290(-)::chr12:32429278-32429290(-) AGAAGGAAGAAG >mm10_chr12:32429323-32429334(-)::chr12:32429322-32429334(-) ATCAGGAAGCTA >mm10_chr12:32435362-32435373(-)::chr12:32435361-32435373(-) GCAAGGAAGTCG >mm10_chr12:32435416-32435427(+)::chr12:32435415-32435427(+) AGCAGGAAGTCT >mm10_chr12:32459051-32459062(-)::chr12:32459050-32459062(-) AAGAGGAAATTA >mm10_chr12:32459057-32459068(-)::chr12:32459056-32459068(-) AGTAGGAAGAGG >mm10_chr12:32465512-32465523(-)::chr12:32465511-32465523(-) cgcaggaagtta >mm10_chr12:32486423-32486434(-)::chr12:32486422-32486434(-) AGGAGGAAATTA >mm10_chr12:32486838-32486849(+)::chr12:32486837-32486849(+) Tggaggaagggg >mm10_chr12:32638354-32638365(-)::chr12:32638353-32638365(-) ATGAGGAAGAGC >mm10_chr12:32642674-32642685(-)::chr12:32642673-32642685(-) AAGAGGAAGTAA >mm10_chr12:32642740-32642751(-)::chr12:32642739-32642751(-) AAGAGGAAGCAG >mm10_chr12:32642746-32642757(-)::chr12:32642745-32642757(-) AGCAGGAAGAGG >mm10_chr12:32661598-32661609(-)::chr12:32661597-32661609(-) agccggaagttg >mm10_chr12:32662599-32662610(-)::chr12:32662598-32662610(-) TTAAGGAAGACG >mm10_chr12:32682644-32682655(-)::chr12:32682643-32682655(-) ACAAGGAAGTCT >mm10_chr12:32698944-32698955(+)::chr12:32698943-32698955(+) GCAAGGAAGGAA >mm10_chr12:32699330-32699341(-)::chr12:32699329-32699341(-) gaaaggaagaac >mm10_chr12:32699338-32699349(-)::chr12:32699337-32699349(-) ttaaggaagaaa >mm10_chr12:32699526-32699537(-)::chr12:32699525-32699537(-) agaaggaagaaa >mm10_chr12:32717828-32717839(-)::chr12:32717827-32717839(-) GGGAGGAAGGGA >mm10_chr12:32726363-32726374(+)::chr12:32726362-32726374(+) TGGAGGAAGTTG >mm10_chr12:32743195-32743206(+)::chr12:32743194-32743206(+) cacaggaagtca >mm10_chr12:32743217-32743228(-)::chr12:32743216-32743228(-) agaaggaagcta >mm10_chr12:32743224-32743235(-)::chr12:32743223-32743235(-) atgaggaagaag >mm10_chr12:32757479-32757490(+)::chr12:32757478-32757490(+) AAGAGGAAGTCC >mm10_chr12:32823466-32823477(-)::chr12:32823465-32823477(-) ACAAGGAAGTTA >mm10_chr12:32823522-32823533(+)::chr12:32823521-32823533(+) CACAGGAAGTTG >mm10_chr12:32879643-32879654(-)::chr12:32879642-32879654(-) TGCAGGAAGAAG >mm10_chr12:32879655-32879666(+)::chr12:32879654-32879666(+) Ggcaggaagcag >mm10_chr12:32879676-32879687(+)::chr12:32879675-32879687(+) aacaggaagcag >mm10_chr12:32879683-32879694(+)::chr12:32879682-32879694(+) agcaggaagcag >mm10_chr12:32879690-32879701(+)::chr12:32879689-32879701(+) agcaggaagcag >mm10_chr12:32901172-32901183(+)::chr12:32901171-32901183(+) aacaggaagtgg >mm10_chr12:32901191-32901202(+)::chr12:32901190-32901202(+) aacaggaagtgg >mm10_chr12:32917988-32917999(-)::chr12:32917987-32917999(-) aagaggaagatt >mm10_chr12:32917994-32918005(-)::chr12:32917993-32918005(-) aagaggaagagg >mm10_chr12:32918000-32918011(-)::chr12:32917999-32918011(-) gggaggaagagg >mm10_chr12:32918267-32918278(-)::chr12:32918266-32918278(-) AAGAGGAAGGGA >mm10_chr12:32918273-32918284(-)::chr12:32918272-32918284(-) AAGAGGAAGAGG >mm10_chr12:32921111-32921122(+)::chr12:32921110-32921122(+) AGGAGGAAATGC >mm10_chr12:32921545-32921556(+)::chr12:32921544-32921556(+) ataaggaaagga >mm10_chr12:32921562-32921573(+)::chr12:32921561-32921573(+) aagaggaagagg >mm10_chr12:32921578-32921589(+)::chr12:32921577-32921589(+) aaagggaagTAA >mm10_chr12:32953083-32953094(+)::chr12:32953082-32953094(+) ACACGGAAATAA >mm10_chr12:32953098-32953109(+)::chr12:32953097-32953109(+) TGAAGGAAGGAG >mm10_chr12:32953105-32953116(+)::chr12:32953104-32953116(+) AGGAGGAAGTGT >mm10_chr12:32954586-32954597(+)::chr12:32954585-32954597(+) CAAAGGAAGACA >mm10_chr12:32954598-32954609(-)::chr12:32954597-32954609(-) AGCAGGAAATCC >mm10_chr12:32971838-32971849(-)::chr12:32971837-32971849(-) aacaggaagttt >mm10_chr12:32972123-32972134(-)::chr12:32972122-32972134(-) aggaggaaatca >mm10_chr12:32972130-32972141(-)::chr12:32972129-32972141(-) tcaaggaaggag >mm10_chr12:32977426-32977437(-)::chr12:32977425-32977437(-) aggaggaaggag >mm10_chr12:32977435-32977446(-)::chr12:32977434-32977446(-) aaaaggaagagg >mm10_chr12:33002000-33002011(+)::chr12:33001999-33002011(+) AGAAGGAAAAGG >mm10_chr12:33006103-33006114(+)::chr12:33006102-33006114(+) AGCAGGAAGGAG >mm10_chr12:33006150-33006161(+)::chr12:33006149-33006161(+) ATCAGGAAGCAA >mm10_chr12:33016015-33016026(-)::chr12:33016014-33016026(-) TACAGGAAGAGG >mm10_chr12:33033760-33033771(+)::chr12:33033759-33033771(+) AGCAGGAAGTAG >mm10_chr12:33033767-33033778(+)::chr12:33033766-33033778(+) AGTAGGAAGAAG >mm10_chr12:33045843-33045854(+)::chr12:33045842-33045854(+) ATCAGGAAATGG >mm10_chr12:33045872-33045883(-)::chr12:33045871-33045883(-) CTGAGGAAGTAA >mm10_chr12:33050550-33050561(+)::chr12:33050549-33050561(+) ggcaggaagtaa >mm10_chr12:33050585-33050596(+)::chr12:33050584-33050596(+) aggaggaagtgc >mm10_chr12:33078882-33078893(+)::chr12:33078881-33078893(+) agcaggaaggta >mm10_chr12:33078910-33078921(+)::chr12:33078909-33078921(+) ttcaggaagtcc >mm10_chr12:33110912-33110923(+)::chr12:33110911-33110923(+) AGGAGGAAGTTT >mm10_chr12:33110931-33110942(+)::chr12:33110930-33110942(+) AGAAGGAAGCTG >mm10_chr12:33111540-33111551(-)::chr12:33111539-33111551(-) AGCAGGAAATAC >mm10_chr12:33132139-33132150(-)::chr12:33132138-33132150(-) AAGAGGAAGAGA >mm10_chr12:33132145-33132156(-)::chr12:33132144-33132156(-) AGAAGGAAGAGG >mm10_chr12:33132152-33132163(-)::chr12:33132151-33132163(-) TGAAGGAAGAAG >mm10_chr12:33153743-33153754(-)::chr12:33153742-33153754(-) GGAAGGAAGGGA >mm10_chr12:33158474-33158485(+)::chr12:33158473-33158485(+) AGGAGGAAGGAA >mm10_chr12:33158478-33158489(+)::chr12:33158477-33158489(+) GGAAGGAAGTGA >mm10_chr12:33160923-33160934(+)::chr12:33160922-33160934(+) ggtaggaaggaa >mm10_chr12:33160935-33160946(+)::chr12:33160934-33160946(+) agaaggaaggaa >mm10_chr12:33160939-33160950(+)::chr12:33160938-33160950(+) ggaaggaaggga >mm10_chr12:33160951-33160962(+)::chr12:33160950-33160962(+) gggaggaaggga >mm10_chr12:33163068-33163079(-)::chr12:33163067-33163079(-) ACAAGGAAAAAT >mm10_chr12:33163088-33163099(-)::chr12:33163087-33163099(-) CAAAGGAAGGAG >mm10_chr12:33220493-33220504(-)::chr12:33220492-33220504(-) AGGAGGAAGAAG >mm10_chr12:33247022-33247033(-)::chr12:33247021-33247033(-) gacaggaaggaa >mm10_chr12:33285094-33285105(+)::chr12:33285093-33285105(+) aagaggaagaga >mm10_chr12:33285108-33285119(+)::chr12:33285107-33285119(+) aagaggaagaga >mm10_chr12:33285120-33285131(+)::chr12:33285119-33285131(+) aggaggaagagg >mm10_chr12:33285140-33285151(+)::chr12:33285139-33285151(+) gagaggaagaga >mm10_chr12:33285151-33285162(+)::chr12:33285150-33285162(+) aagaggaagaga >mm10_chr12:33303121-33303132(+)::chr12:33303120-33303132(+) GAAGGGAAGTTC >mm10_chr12:33314382-33314393(+)::chr12:33314381-33314393(+) TCCAGGAAGTGT >mm10_chr12:33315389-33315400(-)::chr12:33315388-33315400(-) AACAGGAAGTTG >mm10_chr12:33332252-33332263(+)::chr12:33332251-33332263(+) aagaggaagagg >mm10_chr12:33344995-33345006(-)::chr12:33344994-33345006(-) AGCAGGAAGTAT >mm10_chr12:33403475-33403486(-)::chr12:33403474-33403486(-) GTCAGGAAATAA >mm10_chr12:33434050-33434061(-)::chr12:33434049-33434061(-) AAAAGGAAGTGC >mm10_chr12:33440893-33440904(+)::chr12:33440892-33440904(+) aggaggaaatgc >mm10_chr12:33497570-33497581(-)::chr12:33497569-33497581(-) CAAAGGAAGCGT >mm10_chr12:33592833-33592844(-)::chr12:33592832-33592844(-) AGCAGGAAGAAG >mm10_chr12:33592862-33592873(-)::chr12:33592861-33592873(-) AAGAGGAAGATA >mm10_chr12:33928198-33928209(+)::chr12:33928197-33928209(+) AGAAGGAACTGC >mm10_chr12:34384951-34384962(-)::chr12:34384950-34384962(-) AGGAGGAAGAGA >mm10_chr12:34384976-34384987(+)::chr12:34384975-34384987(+) ATGAGGAAGATT >mm10_chr12:34384992-34385003(+)::chr12:34384991-34385003(+) TTAAGGAAGTGT >mm10_chr12:34510713-34510724(-)::chr12:34510712-34510724(-) AGCAGGAAGTAT >mm10_chr12:34784368-34784379(+)::chr12:34784367-34784379(+) ACTAGGAAGGAG >mm10_chr12:35041894-35041905(+)::chr12:35041893-35041905(+) TAGAGGAAGTAG >mm10_chr12:35041908-35041919(+)::chr12:35041907-35041919(+) ATAAGGAAAAAC >mm10_chr12:35047867-35047878(-)::chr12:35047866-35047878(-) TGAAGGAACGTG >mm10_chr12:35047909-35047920(-)::chr12:35047908-35047920(-) GTCAGGAAGGTG >mm10_chr12:35062257-35062268(+)::chr12:35062256-35062268(+) tagaggaagttg >mm10_chr12:35068000-35068011(+)::chr12:35067999-35068011(+) AGAGGGAAGTAG >mm10_chr12:35068007-35068018(+)::chr12:35068006-35068018(+) AGTAGGAAGAAC >mm10_chr12:35117658-35117669(+)::chr12:35117657-35117669(+) ATGAGGAAGAAC >mm10_chr12:35123733-35123744(-)::chr12:35123732-35123744(-) AACAGGAAGCTA >mm10_chr12:35123771-35123782(+)::chr12:35123770-35123782(+) AGCAGGAAGCCA >mm10_chr12:35123918-35123929(+)::chr12:35123917-35123929(+) GATAGGAAGGAA >mm10_chr12:35123922-35123933(+)::chr12:35123921-35123933(+) GGAAGGAAGAGC >mm10_chr12:35123943-35123954(-)::chr12:35123942-35123954(-) AGAATGAAGTGA >mm10_chr12:35151811-35151822(+)::chr12:35151810-35151822(+) acaaggaagcaa >mm10_chr12:35210496-35210507(+)::chr12:35210495-35210507(+) GGAAGGAATTGT >mm10_chr12:35269665-35269676(-)::chr12:35269664-35269676(-) taaaggaagttG >mm10_chr12:35269689-35269700(-)::chr12:35269688-35269700(-) aggaggaagttg >mm10_chr12:35331440-35331451(-)::chr12:35331439-35331451(-) aggaggaagcac >mm10_chr12:35331486-35331497(-)::chr12:35331485-35331497(-) AACAGGAAGTAG >mm10_chr12:35346451-35346462(-)::chr12:35346450-35346462(-) AGAAGGAAATTG >mm10_chr12:35532304-35532315(-)::chr12:35532303-35532315(-) AGAAGGAAATCA >mm10_chr12:35554569-35554580(+)::chr12:35554568-35554580(+) ATGAGGAAATAA >mm10_chr12:35663239-35663250(+)::chr12:35663238-35663250(+) ATAAGGAAACAA >mm10_chr12:35686346-35686357(+)::chr12:35686345-35686357(+) ACCAGGAAGATG >mm10_chr12:35686388-35686399(-)::chr12:35686387-35686399(-) aagaggaagCAA >mm10_chr12:35755332-35755343(-)::chr12:35755331-35755343(-) GGCAGGAAGTGA >mm10_chr12:35799410-35799421(+)::chr12:35799409-35799421(+) AAGAGGAAATAA >mm10_chr12:35827239-35827250(-)::chr12:35827238-35827250(-) ACAAGGAAGGAG >mm10_chr12:35912390-35912401(+)::chr12:35912389-35912401(+) ataaggaacagg >mm10_chr12:35983671-35983682(-)::chr12:35983670-35983682(-) AACAGGAAGTTA >mm10_chr12:36008489-36008500(+)::chr12:36008488-36008500(+) AGAAGGAAATGC >mm10_chr12:36053751-36053762(-)::chr12:36053750-36053762(-) AGGAGGAAGGGC >mm10_chr12:36125770-36125781(+)::chr12:36125769-36125781(+) TGAAGGAAATTC >mm10_chr12:36125816-36125827(+)::chr12:36125815-36125827(+) TGAAGGAAGGAA >mm10_chr12:36125820-36125831(+)::chr12:36125819-36125831(+) GGAAGGAACTTG >mm10_chr12:36131773-36131784(+)::chr12:36131772-36131784(+) CAAAGGAAGGAA >mm10_chr12:36131777-36131788(+)::chr12:36131776-36131788(+) GGAAGGAAGAGA >mm10_chr12:36131795-36131806(+)::chr12:36131794-36131806(+) AGAAGGAAATAG >mm10_chr12:36153374-36153385(+)::chr12:36153373-36153385(+) TCCAGGAAGAGA >mm10_chr12:36153999-36154010(+)::chr12:36153998-36154010(+) ACAAGGAAGTGT >mm10_chr12:36157394-36157405(+)::chr12:36157393-36157405(+) AAAAGGAACTGC >mm10_chr12:36165464-36165475(-)::chr12:36165463-36165475(-) tcaaggaagttt >mm10_chr12:36165485-36165496(-)::chr12:36165484-36165496(-) agagggaagtgt >mm10_chr12:36190553-36190564(+)::chr12:36190552-36190564(+) AACAGGAAGTGG >mm10_chr12:36292341-36292352(+)::chr12:36292340-36292352(+) atgaggaaggag >mm10_chr12:36292353-36292364(+)::chr12:36292352-36292364(+) gacaggaaatga >mm10_chr12:36381560-36381571(+)::chr12:36381559-36381571(+) AGCAGGAAGAGC >mm10_chr12:36442475-36442486(+)::chr12:36442474-36442486(+) GCCAGGAAGTCA >mm10_chr12:36442491-36442502(-)::chr12:36442490-36442502(-) AGAAGGAAGGTC >mm10_chr12:36510205-36510216(+)::chr12:36510204-36510216(+) GTAAGGAAGGCT >mm10_chr12:36510258-36510269(-)::chr12:36510257-36510269(-) AACAGGAAGTGG >mm10_chr12:36547787-36547798(+)::chr12:36547786-36547798(+) ACGAGGAAGGCT >mm10_chr12:36696722-36696733(+)::chr12:36696721-36696733(+) TCAAGGAAATGT >mm10_chr12:36949251-36949262(-)::chr12:36949250-36949262(-) AAAGGGAAGTGC >mm10_chr12:36949266-36949277(+)::chr12:36949265-36949277(+) TTAAGGAAGCAG >mm10_chr12:36949528-36949539(-)::chr12:36949527-36949539(-) ACCAGGAAGCAG >mm10_chr12:37141990-37142001(+)::chr12:37141989-37142001(+) CAAAGGAAATGG >mm10_chr12:37142005-37142016(+)::chr12:37142004-37142016(+) ACAAGGAAGCTG >mm10_chr12:37231199-37231210(+)::chr12:37231198-37231210(+) ACCAGGAAATTC >mm10_chr12:37241792-37241803(-)::chr12:37241791-37241803(-) ACAAGGAAGACG >mm10_chr12:37241808-37241819(-)::chr12:37241807-37241819(-) AAGAGGAAGTGG >mm10_chr12:37250381-37250392(+)::chr12:37250380-37250392(+) GCAAGGAAGACA >mm10_chr12:37272516-37272527(-)::chr12:37272515-37272527(-) AGAAGGAAAAGG >mm10_chr12:37272568-37272579(-)::chr12:37272567-37272579(-) GCAAGGAAGTCT >mm10_chr12:37971712-37971723(-)::chr12:37971711-37971723(-) gaagggaagttt >mm10_chr12:37985502-37985513(-)::chr12:37985501-37985513(-) ttgaggaagtta >mm10_chr12:38016069-38016080(-)::chr12:38016068-38016080(-) AGAAGGAAATGC >mm10_chr12:38419754-38419765(-)::chr12:38419753-38419765(-) AGGAGGAAGGCA >mm10_chr12:38779454-38779465(+)::chr12:38779453-38779465(+) TGGAGGAAGTGA >mm10_chr12:38836189-38836200(-)::chr12:38836188-38836200(-) TAAAGGATGTCC >mm10_chr12:38856000-38856011(+)::chr12:38855999-38856011(+) GGAAGGAAATGA >mm10_chr12:38864137-38864148(-)::chr12:38864136-38864148(-) AACAGGAAGGGC >mm10_chr12:38864162-38864173(+)::chr12:38864161-38864173(+) ACAAGGAACTGA >mm10_chr12:38906556-38906567(+)::chr12:38906555-38906567(+) TGAAGGAAGCGG >mm10_chr12:38971195-38971206(+)::chr12:38971194-38971206(+) ACAAGGAACTAT >mm10_chr12:38971231-38971242(-)::chr12:38971230-38971242(-) ACCAGGAAGACA >mm10_chr12:39048619-39048630(+)::chr12:39048618-39048630(+) GTCAGGAAGTGT >mm10_chr12:39749894-39749905(-)::chr12:39749893-39749905(-) agcaggaagcaa >mm10_chr12:39749951-39749962(-)::chr12:39749950-39749962(-) ggaaggaaggag >mm10_chr12:39749955-39749966(-)::chr12:39749954-39749966(-) gccaggaaggaa >mm10_chr12:39749969-39749980(-)::chr12:39749968-39749980(-) tggaggaagaag >mm10_chr12:39761066-39761077(-)::chr12:39761065-39761077(-) ggaaggaagtct >mm10_chr12:39761116-39761127(-)::chr12:39761115-39761127(-) ctgaggaagtga >mm10_chr12:39799722-39799733(+)::chr12:39799721-39799733(+) tggaggaagtgt >mm10_chr12:39799790-39799801(-)::chr12:39799789-39799801(-) agcaggaagaga >mm10_chr12:39799797-39799808(-)::chr12:39799796-39799808(-) ggcaggaagcag >mm10_chr12:39840168-39840179(-)::chr12:39840167-39840179(-) AGCAGGAAATGT >mm10_chr12:39840859-39840870(-)::chr12:39840858-39840870(-) ACCAGGAAGAAC >mm10_chr12:39851968-39851979(+)::chr12:39851967-39851979(+) TGCAGGAAGTGA >mm10_chr12:39851993-39852004(+)::chr12:39851992-39852004(+) AAGAGGAAATAA >mm10_chr12:39884507-39884518(-)::chr12:39884506-39884518(-) gaaaggaagttt >mm10_chr12:39913275-39913286(-)::chr12:39913274-39913286(-) TACAGGAAGTCC >mm10_chr12:39913316-39913327(-)::chr12:39913315-39913327(-) GGAAGGAAATGA >mm10_chr12:39913348-39913359(+)::chr12:39913347-39913359(+) ATAAGGAAGAGT >mm10_chr12:39931078-39931089(+)::chr12:39931077-39931089(+) GGCAGGAAATAG >mm10_chr12:39954309-39954320(-)::chr12:39954308-39954320(-) TGTAGGAAGTTA >mm10_chr12:39972093-39972104(+)::chr12:39972092-39972104(+) atgaggaagtag >mm10_chr12:40027811-40027822(+)::chr12:40027810-40027822(+) AGAAGGAAAAGA >mm10_chr12:40027817-40027828(+)::chr12:40027816-40027828(+) AAAAGAAAGTAA >mm10_chr12:40035654-40035665(-)::chr12:40035653-40035665(-) AGAAGGAAGTAT >mm10_chr12:40038430-40038441(+)::chr12:40038429-40038441(+) AGGAGGAAGAAA >mm10_chr12:40083256-40083267(+)::chr12:40083255-40083267(+) agaaggaAGTTC >mm10_chr12:40083289-40083300(-)::chr12:40083288-40083300(-) AACAGGAAGAAA >mm10_chr12:40083305-40083316(+)::chr12:40083304-40083316(+) AGAAGAAAGTCA >mm10_chr12:40104946-40104957(-)::chr12:40104945-40104957(-) AGAAGGAAGTGA >mm10_chr12:40104976-40104987(-)::chr12:40104975-40104987(-) GAAAGGAAGATC >mm10_chr12:40136624-40136635(+)::chr12:40136623-40136635(+) ATCAGGAAGTGG >mm10_chr12:40136648-40136659(+)::chr12:40136647-40136659(+) TGAAGTAAGTCA >mm10_chr12:40136683-40136694(-)::chr12:40136682-40136694(-) AGGAGGAAGAAG >mm10_chr12:40136690-40136701(-)::chr12:40136689-40136701(-) ATCAGGAAGGAG >mm10_chr12:40140800-40140811(-)::chr12:40140799-40140811(-) TAAAGGAAGTGA >mm10_chr12:40185360-40185371(-)::chr12:40185359-40185371(-) AGAAGGAAGACT >mm10_chr12:40220466-40220477(-)::chr12:40220465-40220477(-) atagggaagtca >mm10_chr12:40230673-40230684(+)::chr12:40230672-40230684(+) GAAAGGCAGTAG >mm10_chr12:40230680-40230691(+)::chr12:40230679-40230691(+) AGTAGGAAGTAG >mm10_chr12:40245651-40245662(+)::chr12:40245650-40245662(+) AAGAGGAAGAAG >mm10_chr12:40245679-40245690(+)::chr12:40245678-40245690(+) TTAGGGAAGTCA >mm10_chr12:40268435-40268446(+)::chr12:40268434-40268446(+) AGCAGGAAGAGG >mm10_chr12:40268441-40268452(+)::chr12:40268440-40268452(+) AAGAGGAAGCTA >mm10_chr12:40268452-40268463(+)::chr12:40268451-40268463(+) AAGAGGAAGAAC >mm10_chr12:40273510-40273521(+)::chr12:40273509-40273521(+) GACAGGAAATGT >mm10_chr12:40309952-40309963(+)::chr12:40309951-40309963(+) acaaggaaacgg >mm10_chr12:40309994-40310005(+)::chr12:40309993-40310005(+) acaaggaagtaa >mm10_chr12:40324184-40324195(+)::chr12:40324183-40324195(+) GGCAGGAAGTAA >mm10_chr12:40324199-40324210(+)::chr12:40324198-40324210(+) TCCAGGAAGAGA >mm10_chr12:40325401-40325412(-)::chr12:40325400-40325412(-) ATAAGGAACTGC >mm10_chr12:40459231-40459242(-)::chr12:40459230-40459242(-) AGAAGGAAGAGG >mm10_chr12:40462982-40462993(-)::chr12:40462981-40462993(-) GGAAGGAAATGG >mm10_chr12:40462986-40462997(-)::chr12:40462985-40462997(-) GCCAGGAAGGAA >mm10_chr12:40472153-40472164(-)::chr12:40472152-40472164(-) AAAGGGAAGTTG >mm10_chr12:40472175-40472186(-)::chr12:40472174-40472186(-) TCGAGGAAGACG >mm10_chr12:40477450-40477461(+)::chr12:40477449-40477461(+) TTAAGGAAGAAG >mm10_chr12:40477478-40477489(-)::chr12:40477477-40477489(-) GGCAGGAAGTCT >mm10_chr12:40485402-40485413(-)::chr12:40485401-40485413(-) GGAAGGAAGACT >mm10_chr12:40485406-40485417(-)::chr12:40485405-40485417(-) GGAAGGAAGGAA >mm10_chr12:40485410-40485421(-)::chr12:40485409-40485421(-) AACAGGAAGGAA >mm10_chr12:40508115-40508126(-)::chr12:40508114-40508126(-) AGTAGGAAGAGT >mm10_chr12:40508122-40508133(-)::chr12:40508121-40508133(-) AGTAGGAAGTAG >mm10_chr12:40525279-40525290(-)::chr12:40525278-40525290(-) acaaggaaattt >mm10_chr12:40531799-40531810(-)::chr12:40531798-40531810(-) aggaggaagagg >mm10_chr12:40531820-40531831(-)::chr12:40531819-40531831(-) aggaggaagaag >mm10_chr12:40531845-40531856(-)::chr12:40531844-40531856(-) gagaggaaggag >mm10_chr12:40539268-40539279(+)::chr12:40539267-40539279(+) aggaggaagagg >mm10_chr12:40539292-40539303(+)::chr12:40539291-40539303(+) aggaggaagagg >mm10_chr12:40539298-40539309(+)::chr12:40539297-40539309(+) aagaggaagaag >mm10_chr12:40539310-40539321(+)::chr12:40539309-40539321(+) aggaggaagagg >mm10_chr12:40552284-40552295(-)::chr12:40552283-40552295(-) ACCAGGAAGAGG >mm10_chr12:40558792-40558803(-)::chr12:40558791-40558803(-) TGAAGGAAGTAG >mm10_chr12:40558842-40558853(-)::chr12:40558841-40558853(-) AACAGGAAGAAG >mm10_chr12:40568215-40568226(-)::chr12:40568214-40568226(-) AGAAGGAAGTGA >mm10_chr12:40568239-40568250(-)::chr12:40568238-40568250(-) AGAAGGAAGGGA >mm10_chr12:40600644-40600655(-)::chr12:40600643-40600655(-) aagaggaaggag >mm10_chr12:40600650-40600661(-)::chr12:40600649-40600661(-) aggaggaagagg >mm10_chr12:40600677-40600688(-)::chr12:40600676-40600688(-) aagaggaaggag >mm10_chr12:40600689-40600700(-)::chr12:40600688-40600700(-) aggaggaagaag >mm10_chr12:40626737-40626748(-)::chr12:40626736-40626748(-) TTAAGGAAGTTC >mm10_chr12:40656051-40656062(+)::chr12:40656050-40656062(+) ATAAGGAAGTTG >mm10_chr12:40659794-40659805(-)::chr12:40659793-40659805(-) ACATGGAAGTTA >mm10_chr12:40659820-40659831(-)::chr12:40659819-40659831(-) GCGAGGAAGAGA >mm10_chr12:40680703-40680714(-)::chr12:40680702-40680714(-) accaggaagtga >mm10_chr12:40711162-40711173(-)::chr12:40711161-40711173(-) CACAGGAAGTTT >mm10_chr12:40711218-40711229(-)::chr12:40711217-40711229(-) AGTAGGAAGAAA >mm10_chr12:40711225-40711236(-)::chr12:40711224-40711236(-) GACAGGAAGTAG >mm10_chr12:40763640-40763651(+)::chr12:40763639-40763651(+) AATAGGAAGACA >mm10_chr12:40788413-40788424(-)::chr12:40788412-40788424(-) ACAGGGAAGTGA >mm10_chr12:40788996-40789007(+)::chr12:40788995-40789007(+) TGGGGGAAGTAG >mm10_chr12:40808841-40808852(+)::chr12:40808840-40808852(+) agaaggaagagg >mm10_chr12:40808853-40808864(+)::chr12:40808852-40808864(+) aggaggaagaga >mm10_chr12:40808888-40808899(+)::chr12:40808887-40808899(+) aggaggaagatg >mm10_chr12:40866227-40866238(+)::chr12:40866226-40866238(+) ataaggaaataa >mm10_chr12:40917654-40917665(-)::chr12:40917653-40917665(-) TCCAGGAAGTAC >mm10_chr12:40997952-40997963(+)::chr12:40997951-40997963(+) aggaggaagagg >mm10_chr12:41022551-41022562(+)::chr12:41022550-41022562(+) aagaggaaggaa >mm10_chr12:41022555-41022566(+)::chr12:41022554-41022566(+) ggaaggaaggag >mm10_chr12:41133092-41133103(+)::chr12:41133091-41133103(+) accaggaagact >mm10_chr12:41145059-41145070(-)::chr12:41145058-41145070(-) tgaaggaaggga >mm10_chr12:41215374-41215385(+)::chr12:41215373-41215385(+) TGGAGGAAGAAT >mm10_chr12:41215445-41215456(+)::chr12:41215444-41215456(+) ttcaggaagtca >mm10_chr12:41215456-41215467(+)::chr12:41215455-41215467(+) agcaggaagcat >mm10_chr12:41252696-41252707(-)::chr12:41252695-41252707(-) TGAAGGAAATTG >mm10_chr12:41388534-41388545(-)::chr12:41388533-41388545(-) ACAAGGATGTTT >mm10_chr12:41423984-41423995(+)::chr12:41423983-41423995(+) aagaggaagagg >mm10_chr12:41423990-41424001(+)::chr12:41423989-41424001(+) aagaggaaggag >mm10_chr12:41424003-41424014(+)::chr12:41424002-41424014(+) aggaggaagagg >mm10_chr12:41424009-41424020(+)::chr12:41424008-41424020(+) aagaggaaggag >mm10_chr12:41424013-41424024(+)::chr12:41424012-41424024(+) ggaaggaggtgg >mm10_chr12:41424022-41424033(+)::chr12:41424021-41424033(+) tggaggaagagg >mm10_chr12:41436797-41436808(+)::chr12:41436796-41436808(+) GGCAGGAAGCCA >mm10_chr12:41436806-41436817(-)::chr12:41436805-41436817(-) AGGAGGAAATGG >mm10_chr12:41565770-41565781(+)::chr12:41565769-41565781(+) TGAAGGACGGCG >mm10_chr12:41565815-41565826(+)::chr12:41565814-41565826(+) AGAAGGAAGGTG >mm10_chr12:41565847-41565858(+)::chr12:41565846-41565858(+) AGGAGGAAGATG >mm10_chr12:41632422-41632433(-)::chr12:41632421-41632433(-) tggaggaagtat >mm10_chr12:41632462-41632473(-)::chr12:41632461-41632473(-) acaaggaagtgt >mm10_chr12:41787488-41787499(+)::chr12:41787487-41787499(+) AGCAGGAAGGGA >mm10_chr12:41847697-41847708(+)::chr12:41847696-41847708(+) GAAAGGAAGTGC >mm10_chr12:41878694-41878705(+)::chr12:41878693-41878705(+) ATGAGGAAGTTG >mm10_chr12:41879685-41879696(+)::chr12:41879684-41879696(+) TACAGGAAGTTT >mm10_chr12:41986838-41986849(-)::chr12:41986837-41986849(-) GAAAGGAGGTAT >mm10_chr12:42008439-42008450(+)::chr12:42008438-42008450(+) AACAGGAAGGAA >mm10_chr12:42008443-42008454(+)::chr12:42008442-42008454(+) GGAAGGAAGCAA >mm10_chr12:42075940-42075951(-)::chr12:42075939-42075951(-) ATAGGGAAGAAG >mm10_chr12:42076007-42076018(-)::chr12:42076006-42076018(-) AAGAGGAAGAGA >mm10_chr12:42076019-42076030(-)::chr12:42076018-42076030(-) AGGAGGAAGGTG >mm10_chr12:42181870-42181881(-)::chr12:42181869-42181881(-) aagaggaagttg >mm10_chr12:42272090-42272101(-)::chr12:42272089-42272101(-) atagggaagaga >mm10_chr12:42272116-42272127(-)::chr12:42272115-42272127(-) tcaaggaagtca >mm10_chr12:42273549-42273560(+)::chr12:42273548-42273560(+) TCAAGGAAGTAG >mm10_chr12:42293441-42293452(-)::chr12:42293440-42293452(-) aggaggaagatg >mm10_chr12:43172130-43172141(-)::chr12:43172129-43172141(-) AGAAGGAAGTAG >mm10_chr12:43267322-43267333(-)::chr12:43267321-43267333(-) tcaaggaagcac >mm10_chr12:44036228-44036239(-)::chr12:44036227-44036239(-) ggaaggaaatgt >mm10_chr12:44036262-44036273(-)::chr12:44036261-44036273(-) aggaggaagtca >mm10_chr12:44210251-44210262(+)::chr12:44210250-44210262(+) AAGCGGAAGTGA >mm10_chr12:44210268-44210279(-)::chr12:44210267-44210279(-) ATGAGGAAATCG >mm10_chr12:44270543-44270554(-)::chr12:44270542-44270554(-) ACCAGGAAGCAC >mm10_chr12:44270568-44270579(-)::chr12:44270567-44270579(-) AACAGGAAGAAA >mm10_chr12:44379774-44379785(+)::chr12:44379773-44379785(+) AGCAGGAAGGGG >mm10_chr12:44379797-44379808(+)::chr12:44379796-44379808(+) AAGAGGAAGAAC >mm10_chr12:44451083-44451094(-)::chr12:44451082-44451094(-) AGAAGGAAAAAT >mm10_chr12:44451118-44451129(-)::chr12:44451117-44451129(-) AGAAGGAAGTAA >mm10_chr12:44581061-44581072(+)::chr12:44581060-44581072(+) AGAGGGAAGTTT >mm10_chr12:44858349-44858360(-)::chr12:44858348-44858360(-) ATGAGGAAGTAT >mm10_chr12:44859679-44859690(+)::chr12:44859678-44859690(+) ATTAGGAAGAAT >mm10_chr12:44859698-44859709(-)::chr12:44859697-44859709(-) CCAAGGAAGCTG >mm10_chr12:44873880-44873891(-)::chr12:44873879-44873891(-) ggcaggaactaa >mm10_chr12:44873916-44873927(+)::chr12:44873915-44873927(+) tgaaggaaggac >mm10_chr12:44888111-44888122(+)::chr12:44888110-44888122(+) AAGAGGAAGAGG >mm10_chr12:44906522-44906533(+)::chr12:44906521-44906533(+) ACCAGGAAGTTA >mm10_chr12:44908236-44908247(-)::chr12:44908235-44908247(-) GGCAGGAAGTCC >mm10_chr12:44908272-44908283(-)::chr12:44908271-44908283(-) CAAAGGAAGTGG >mm10_chr12:44910596-44910607(+)::chr12:44910595-44910607(+) aagaggaagagg >mm10_chr12:44928673-44928684(-)::chr12:44928672-44928684(-) GCAAGGAAGAAG >mm10_chr12:44928703-44928714(+)::chr12:44928702-44928714(+) TGGAGGAAGAAC >mm10_chr12:44931872-44931883(-)::chr12:44931871-44931883(-) GGAAGGAAGAGG >mm10_chr12:44936894-44936905(-)::chr12:44936893-44936905(-) aagaggaAGTGG >mm10_chr12:44936900-44936911(-)::chr12:44936899-44936911(-) aggaggaagagg >mm10_chr12:44936916-44936927(-)::chr12:44936915-44936927(-) aggaggaagtgg >mm10_chr12:44936928-44936939(-)::chr12:44936927-44936939(-) AAgaggaagaag >mm10_chr12:44938651-44938662(+)::chr12:44938650-44938662(+) ACAGGGAAGTGA >mm10_chr12:44945276-44945287(-)::chr12:44945275-44945287(-) AACAGGAAGTCT >mm10_chr12:44945285-44945296(-)::chr12:44945284-44945296(-) AGTAGGAAGAAC >mm10_chr12:44945298-44945309(-)::chr12:44945297-44945309(-) AACAGGAAGGAA >mm10_chr12:44997592-44997603(+)::chr12:44997591-44997603(+) gggaggaagtgg >mm10_chr12:45050027-45050038(-)::chr12:45050026-45050038(-) ATAGGGAAGGAC >mm10_chr12:45050073-45050084(+)::chr12:45050072-45050084(+) CCAAGGAAGGAA >mm10_chr12:45050077-45050088(+)::chr12:45050076-45050088(+) GGAAGGAACTTC >mm10_chr12:45053468-45053479(+)::chr12:45053467-45053479(+) TAGAGGAAATGG >mm10_chr12:45053479-45053490(+)::chr12:45053478-45053490(+) GAAAGGAAGTAC >mm10_chr12:45054657-45054668(+)::chr12:45054656-45054668(+) ATAAGGAAGTCT >mm10_chr12:45074860-45074871(+)::chr12:45074859-45074871(+) aggaggaagcca >mm10_chr12:45087826-45087837(-)::chr12:45087825-45087837(-) atgaggaagtat >mm10_chr12:45138086-45138097(+)::chr12:45138085-45138097(+) AGCAGGAAGTCA >mm10_chr12:45978250-45978261(+)::chr12:45978249-45978261(+) ATGAGGAAGGAG >mm10_chr12:45978257-45978268(+)::chr12:45978256-45978268(+) AGGAGGAAGGAG >mm10_chr12:46249493-46249504(-)::chr12:46249492-46249504(-) AAAAGCAAGTGG >mm10_chr12:46314066-46314077(-)::chr12:46314065-46314077(-) AAAAGGAAGTAT >mm10_chr12:48680485-48680496(-)::chr12:48680484-48680496(-) agaaggaaaaag >mm10_chr12:49019899-49019910(-)::chr12:49019898-49019910(-) tcaaggaaatgt >mm10_chr12:49976616-49976627(-)::chr12:49976615-49976627(-) AGAAGAAAGTAT >mm10_chr12:50482250-50482261(-)::chr12:50482249-50482261(-) GACAGGAAGAGT >mm10_chr12:50545032-50545043(+)::chr12:50545031-50545043(+) AACAGGAAATGT >mm10_chr12:50545664-50545675(+)::chr12:50545663-50545675(+) AGGAGGAAGCGC >mm10_chr12:50545729-50545740(-)::chr12:50545728-50545740(-) TGCAGGAAGAGT >mm10_chr12:50555630-50555641(-)::chr12:50555629-50555641(-) CACAGGAAGTAC >mm10_chr12:50697054-50697065(-)::chr12:50697053-50697065(-) AGAAGGAAGCTG >mm10_chr12:50697089-50697100(-)::chr12:50697088-50697100(-) AGAAGGAAGTTT >mm10_chr12:50959058-50959069(-)::chr12:50959057-50959069(-) CAAAGGAAGCTA >mm10_chr12:51020790-51020801(+)::chr12:51020789-51020801(+) AGAAGGAAGGAA >mm10_chr12:51029333-51029344(-)::chr12:51029332-51029344(-) GGAAGGCAGTGT >mm10_chr12:51029337-51029348(-)::chr12:51029336-51029348(-) TTAAGGAAGGCA >mm10_chr12:51029352-51029363(-)::chr12:51029351-51029363(-) AGAAGGAAGAAC >mm10_chr12:51058895-51058906(+)::chr12:51058894-51058906(+) agaaggaaggaa >mm10_chr12:51058912-51058923(+)::chr12:51058911-51058923(+) aggaggaagggc >mm10_chr12:51058930-51058941(-)::chr12:51058929-51058941(-) agaaggaagagg >mm10_chr12:51079964-51079975(+)::chr12:51079963-51079975(+) tgaaggaaggag >mm10_chr12:51345717-51345728(+)::chr12:51345716-51345728(+) agaaggaagctt >mm10_chr12:51347961-51347972(+)::chr12:51347960-51347972(+) ATAGGGAAGTGT >mm10_chr12:51348271-51348282(+)::chr12:51348270-51348282(+) AAACGGAAGTGG >mm10_chr12:51572050-51572061(+)::chr12:51572049-51572061(+) accaggaaatgt >mm10_chr12:51590159-51590170(+)::chr12:51590158-51590170(+) agtaggaagaca >mm10_chr12:51662731-51662742(+)::chr12:51662730-51662742(+) aggaggaagagg >mm10_chr12:51662740-51662751(+)::chr12:51662739-51662751(+) aggaggaaggag >mm10_chr12:51662747-51662758(+)::chr12:51662746-51662758(+) aggaggaaggag >mm10_chr12:51662754-51662765(+)::chr12:51662753-51662765(+) aggaggaaggag >mm10_chr12:51662761-51662772(+)::chr12:51662760-51662772(+) aggaggaaggag >mm10_chr12:51662768-51662779(+)::chr12:51662767-51662779(+) aggaggaaggag >mm10_chr12:51662775-51662786(+)::chr12:51662774-51662786(+) aggaggaaggag >mm10_chr12:51662782-51662793(+)::chr12:51662781-51662793(+) aggaggaaggag >mm10_chr12:51704135-51704146(-)::chr12:51704134-51704146(-) GGGAGGAAGAAT >mm10_chr12:51704164-51704175(-)::chr12:51704163-51704175(-) AAGAGGAAGAGG >mm10_chr12:51705858-51705869(-)::chr12:51705857-51705869(-) GAAAGGAAGGTG >mm10_chr12:51705904-51705915(+)::chr12:51705903-51705915(+) CACAGGAAGTTC >mm10_chr12:51706965-51706976(-)::chr12:51706964-51706976(-) ATCAGGAAGTgg >mm10_chr12:51711685-51711696(-)::chr12:51711684-51711696(-) aggaggaagaCG >mm10_chr12:51711700-51711711(-)::chr12:51711699-51711711(-) aggaggaagagg >mm10_chr12:51711963-51711974(-)::chr12:51711962-51711974(-) ACAAGGAAGCAT >mm10_chr12:51759340-51759351(-)::chr12:51759339-51759351(-) CGCGGGAAGTTG >mm10_chr12:51829353-51829364(-)::chr12:51829352-51829364(-) CGGAGGAAGGGG >mm10_chr12:51829378-51829389(+)::chr12:51829377-51829389(+) ACAAGGAAGCGA >mm10_chr12:51830866-51830877(-)::chr12:51830865-51830877(-) GGAAGGAAGCCC >mm10_chr12:51844809-51844820(-)::chr12:51844808-51844820(-) AAAAGGAAGAGC >mm10_chr12:51844875-51844886(-)::chr12:51844874-51844886(-) ACAAGGAAGGGG >mm10_chr12:51848088-51848099(-)::chr12:51848087-51848099(-) GTAAGGAAATAA >mm10_chr12:51863308-51863319(-)::chr12:51863307-51863319(-) GACAGGAAGTTA >mm10_chr12:51865940-51865951(+)::chr12:51865939-51865951(+) GCAAGGAAGTAT >mm10_chr12:51865951-51865962(-)::chr12:51865950-51865962(-) ACAGGGAAATAA >mm10_chr12:51888057-51888068(-)::chr12:51888056-51888068(-) GGAGGGAAGTAG >mm10_chr12:51959887-51959898(+)::chr12:51959886-51959898(+) aagaggacgtag >mm10_chr12:52064019-52064030(+)::chr12:52064018-52064030(+) accaggaagcag >mm10_chr12:52121532-52121543(-)::chr12:52121531-52121543(-) AGGAGGAAGTGA >mm10_chr12:52288689-52288700(+)::chr12:52288688-52288700(+) ACAAGGAAATGC >mm10_chr12:52288702-52288713(+)::chr12:52288701-52288713(+) ACAAGGAAGTGA >mm10_chr12:52392782-52392793(-)::chr12:52392781-52392793(-) ATGAGGAAGAGG >mm10_chr12:52424748-52424759(-)::chr12:52424747-52424759(-) TACAGGAAGCAC >mm10_chr12:52424801-52424812(-)::chr12:52424800-52424812(-) CCCAGGAAGTAA >mm10_chr12:52434287-52434298(-)::chr12:52434286-52434298(-) AGAAGGAAGTTC >mm10_chr12:52446019-52446030(-)::chr12:52446018-52446030(-) GGCAGGAAGTAG >mm10_chr12:52503223-52503234(-)::chr12:52503222-52503234(-) TTAGGGAAGTTG >mm10_chr12:52503504-52503515(+)::chr12:52503503-52503515(+) CGAAGGAAGGCA >mm10_chr12:52503661-52503672(+)::chr12:52503660-52503672(+) agaaggaaggga >mm10_chr12:52503665-52503676(+)::chr12:52503664-52503676(+) ggaagggagtag >mm10_chr12:52529854-52529865(+)::chr12:52529853-52529865(+) TTAAGGAAGTTC >mm10_chr12:52603750-52603761(-)::chr12:52603749-52603761(-) ATAAGGACGAAG >mm10_chr12:52687545-52687556(-)::chr12:52687544-52687556(-) ACAGGGAAGTTC >mm10_chr12:52689045-52689056(-)::chr12:52689044-52689056(-) ACCAGGAAGGGA >mm10_chr12:52689121-52689132(-)::chr12:52689120-52689132(-) TGAGGGAAGTGC >mm10_chr12:52697982-52697993(-)::chr12:52697981-52697993(-) ACAAGGAAGGGA >mm10_chr12:52697989-52698000(-)::chr12:52697988-52698000(-) ATAAGGAACAAG >mm10_chr12:52739533-52739544(+)::chr12:52739532-52739544(+) AGTAGGAAGGAG >mm10_chr12:52739537-52739548(+)::chr12:52739536-52739548(+) GGAAGGAGGTAA >mm10_chr12:52746974-52746985(-)::chr12:52746973-52746985(-) AATAGGAAGCCG >mm10_chr12:52746981-52746992(-)::chr12:52746980-52746992(-) ATAAGGAAATAG >mm10_chr12:52753363-52753374(-)::chr12:52753362-52753374(-) AGCAGGAAGTTC >mm10_chr12:52764959-52764970(-)::chr12:52764958-52764970(-) ACCAGGAAGTGT >mm10_chr12:52767752-52767763(+)::chr12:52767751-52767763(+) GGTAGGAAATAA >mm10_chr12:52774119-52774130(+)::chr12:52774118-52774130(+) GGAAGGAAGGGG >mm10_chr12:52971957-52971968(+)::chr12:52971956-52971968(+) ACTAGGAAATTA >mm10_chr12:52971987-52971998(-)::chr12:52971986-52971998(-) ACCAGGAAGGAT >mm10_chr12:53033938-53033949(+)::chr12:53033937-53033949(+) TACAGGAAATCA >mm10_chr12:53046159-53046170(+)::chr12:53046158-53046170(+) ACCAGGAAATCA >mm10_chr12:53086039-53086050(+)::chr12:53086038-53086050(+) CAAAGGAAATGA >mm10_chr12:53086048-53086059(+)::chr12:53086047-53086059(+) TGAAGGAAAGCG >mm10_chr12:53102696-53102707(-)::chr12:53102695-53102707(-) ATAAGGAAATGG >mm10_chr12:53124393-53124404(+)::chr12:53124392-53124404(+) AGGAGGAAGTTT >mm10_chr12:53265640-53265651(-)::chr12:53265639-53265651(-) TAAAGGAAGACC >mm10_chr12:53265656-53265667(+)::chr12:53265655-53265667(+) TGTAGGAAGTCT >mm10_chr12:53283826-53283837(-)::chr12:53283825-53283837(-) AGAAGGAAGTTC >mm10_chr12:53292819-53292830(-)::chr12:53292818-53292830(-) ACAGGGAAGTTA >mm10_chr12:53298444-53298455(+)::chr12:53298443-53298455(+) CTGAGGAAGTGA >mm10_chr12:53298921-53298932(-)::chr12:53298920-53298932(-) AAAAGGAACTGG >mm10_chr12:53313589-53313600(+)::chr12:53313588-53313600(+) ACCAGGAAGTAG >mm10_chr12:53313619-53313630(-)::chr12:53313618-53313630(-) TCCAGGAAGGTA >mm10_chr12:53320663-53320674(+)::chr12:53320662-53320674(+) GGAAGGAAGTGG >mm10_chr12:53330115-53330126(+)::chr12:53330114-53330126(+) AGAAGGAAGTGG >mm10_chr12:53468145-53468156(-)::chr12:53468144-53468156(-) AAGAGGAAGAAA >mm10_chr12:53468151-53468162(-)::chr12:53468150-53468162(-) AGGAGGAAGAGG >mm10_chr12:53856041-53856052(-)::chr12:53856040-53856052(-) GGAAGGAAGGAA >mm10_chr12:53856045-53856056(-)::chr12:53856044-53856056(-) TGAAGGAAGGAA >mm10_chr12:53982051-53982062(-)::chr12:53982050-53982062(-) GGAAGCAAGTGT >mm10_chr12:53982055-53982066(-)::chr12:53982054-53982066(-) ACCAGGAAGCAA >mm10_chr12:53984214-53984225(-)::chr12:53984213-53984225(-) ATAAGGAAGGCA >mm10_chr12:54035652-54035663(-)::chr12:54035651-54035663(-) AGCAGGAAGCAG >mm10_chr12:54051520-54051531(-)::chr12:54051519-54051531(-) ACAAGGAAGATG >mm10_chr12:54058589-54058600(-)::chr12:54058588-54058600(-) GAGAGGAAATAC >mm10_chr12:54058604-54058615(-)::chr12:54058603-54058615(-) AGAAGGAAGTAC >mm10_chr12:54162992-54163003(+)::chr12:54162991-54163003(+) AGGAGGAAATGA >mm10_chr12:54164851-54164862(+)::chr12:54164850-54164862(+) aagaggaagagc >mm10_chr12:54187377-54187388(-)::chr12:54187376-54187388(-) ggcaggaagtcg >mm10_chr12:54187425-54187436(-)::chr12:54187424-54187436(-) ggaaggaaggat >mm10_chr12:54187429-54187440(-)::chr12:54187428-54187440(-) tgaaggaaggaa >mm10_chr12:54191362-54191373(+)::chr12:54191361-54191373(+) AGAAGGAACTTC >mm10_chr12:54196311-54196322(-)::chr12:54196310-54196322(-) TTAAGGAAGAAG >mm10_chr12:54213868-54213879(-)::chr12:54213867-54213879(-) TACAGGAAGGCC >mm10_chr12:54286790-54286801(+)::chr12:54286789-54286801(+) GAGAGGAAGGGA >mm10_chr12:54286807-54286818(-)::chr12:54286806-54286818(-) ACCAGGAAGCAG >mm10_chr12:54305428-54305439(+)::chr12:54305427-54305439(+) ACGAGGAAGCTG >mm10_chr12:54317376-54317387(+)::chr12:54317375-54317387(+) TACAGGAAGCTA >mm10_chr12:54354608-54354619(-)::chr12:54354607-54354619(-) TTAAGGAAGTTG >mm10_chr12:54354616-54354627(+)::chr12:54354615-54354627(+) TTAAGGAAATTA >mm10_chr12:54398271-54398282(+)::chr12:54398270-54398282(+) GACAGGAAGTTC >mm10_chr12:54414408-54414419(-)::chr12:54414407-54414419(-) AGAAGGAAGCCT >mm10_chr12:54414417-54414428(-)::chr12:54414416-54414428(-) AAGAGGAAGAGA >mm10_chr12:54463933-54463944(-)::chr12:54463932-54463944(-) GGCAGGAAGGTA >mm10_chr12:54463962-54463973(+)::chr12:54463961-54463973(+) CTAAGGAAGCCA >mm10_chr12:54490149-54490160(+)::chr12:54490148-54490160(+) ATAGGGAAGGAG >mm10_chr12:54490167-54490178(+)::chr12:54490166-54490178(+) GGGAGGAAGTTC >mm10_chr12:54492420-54492431(+)::chr12:54492419-54492431(+) AAAAGGAAATTA >mm10_chr12:54493200-54493211(+)::chr12:54493199-54493211(+) ATGAGGAAGGCC >mm10_chr12:54493228-54493239(+)::chr12:54493227-54493239(+) AACAGGAAGGCA >mm10_chr12:54493574-54493585(-)::chr12:54493573-54493585(-) ATGAGGAAGAGG >mm10_chr12:54510092-54510103(+)::chr12:54510091-54510103(+) GACAGGAAGTGT >mm10_chr12:54510478-54510489(+)::chr12:54510477-54510489(+) ACCAGGAAGCTG >mm10_chr12:54519608-54519619(-)::chr12:54519607-54519619(-) GAGAGGAAGTGG >mm10_chr12:54568776-54568787(+)::chr12:54568775-54568787(+) AGGGGGAAGTGG >mm10_chr12:54574070-54574081(+)::chr12:54574069-54574081(+) GAAAGGAAACGG >mm10_chr12:54574106-54574117(+)::chr12:54574105-54574117(+) ACAAGGAAGGAT >mm10_chr12:54577386-54577397(-)::chr12:54577385-54577397(-) agtaggaaggtc >mm10_chr12:54577468-54577479(-)::chr12:54577467-54577479(-) aagaggaaggag >mm10_chr12:54585877-54585888(+)::chr12:54585876-54585888(+) ACAAGGAAGCAA >mm10_chr12:54586178-54586189(+)::chr12:54586177-54586189(+) AGAGGGAAGACG >mm10_chr12:54607729-54607740(-)::chr12:54607728-54607740(-) TAGAGGAAGCAC >mm10_chr12:54607796-54607807(-)::chr12:54607795-54607807(-) AGAAGGAAGTTT >mm10_chr12:54613122-54613133(+)::chr12:54613121-54613133(+) CTGAGGAAGTCC >mm10_chr12:54613159-54613170(-)::chr12:54613158-54613170(-) CGGAGGAAGTGT >mm10_chr12:54637218-54637229(-)::chr12:54637217-54637229(-) TTAAGGAAATAA >mm10_chr12:54640536-54640547(+)::chr12:54640535-54640547(+) ACCAGGAAGAGG >mm10_chr12:54656172-54656183(+)::chr12:54656171-54656183(+) ACAAGGAAGCAC >mm10_chr12:54662483-54662494(+)::chr12:54662482-54662494(+) AGAAGGAAACGC >mm10_chr12:54672887-54672898(-)::chr12:54672886-54672898(-) AACAGGAAATGA >mm10_chr12:54683386-54683397(+)::chr12:54683385-54683397(+) ATCAGGAAGAGG >mm10_chr12:54695861-54695872(-)::chr12:54695860-54695872(-) GGGCGGAAGTGG >mm10_chr12:54696733-54696744(+)::chr12:54696732-54696744(+) TAAAGTAAGTAT >mm10_chr12:54806046-54806057(+)::chr12:54806045-54806057(+) Aggaggaagagg >mm10_chr12:54806064-54806075(+)::chr12:54806063-54806075(+) agaaggaaggga >mm10_chr12:54806088-54806099(+)::chr12:54806087-54806099(+) gggaggaagtgg >mm10_chr12:54806098-54806109(+)::chr12:54806097-54806109(+) gggaggaagggg >mm10_chr12:54806380-54806391(-)::chr12:54806379-54806391(-) CTGAGGAAGTGA >mm10_chr12:54809944-54809955(+)::chr12:54809943-54809955(+) tagaggaagaag >mm10_chr12:54826666-54826677(+)::chr12:54826665-54826677(+) atgaggaaatgg >mm10_chr12:54826679-54826690(+)::chr12:54826678-54826690(+) ggaaggaagagg >mm10_chr12:54948559-54948570(-)::chr12:54948558-54948570(-) AGAGGGAAGTCA >mm10_chr12:54986356-54986367(+)::chr12:54986355-54986367(+) AGGAGGAAGAGC >mm10_chr12:54999251-54999262(-)::chr12:54999250-54999262(-) ttagggaagtgt >mm10_chr12:54999520-54999531(+)::chr12:54999519-54999531(+) aacaggaagtaa >mm10_chr12:55069026-55069037(+)::chr12:55069025-55069037(+) ACAAGGAAGGTG >mm10_chr12:55069037-55069048(-)::chr12:55069036-55069048(-) GCAAGGAAGAAC >mm10_chr12:55078158-55078169(+)::chr12:55078157-55078169(+) agcaggaaggaa >mm10_chr12:55315406-55315417(-)::chr12:55315405-55315417(-) AACAGGAAgtgg >mm10_chr12:55354478-55354489(-)::chr12:55354477-55354489(-) AGGAGGAAGAGC >mm10_chr12:55354526-55354537(-)::chr12:55354525-55354537(-) TGGGGGAAGTAT >mm10_chr12:55358475-55358486(-)::chr12:55358474-55358486(-) ATGAGGAACTAT >mm10_chr12:55377020-55377031(-)::chr12:55377019-55377031(-) AGCAGGAAGAGA >mm10_chr12:55377047-55377058(+)::chr12:55377046-55377058(+) CGAAGGAACTGG >mm10_chr12:55386030-55386041(-)::chr12:55386029-55386041(-) TCAAGGATGTGA >mm10_chr12:55389880-55389891(+)::chr12:55389879-55389891(+) AAGAGGAAGTGT >mm10_chr12:55389921-55389932(-)::chr12:55389920-55389932(-) GAGAGGAAGTAA >mm10_chr12:55398624-55398635(-)::chr12:55398623-55398635(-) AAGAGGAAGTTT >mm10_chr12:55432584-55432595(+)::chr12:55432583-55432595(+) ACCAGGAAGTAC >mm10_chr12:55437333-55437344(-)::chr12:55437332-55437344(-) GGAAGGAAGCTG >mm10_chr12:55437337-55437348(-)::chr12:55437336-55437348(-) GGCAGGAAGGAA >mm10_chr12:55447182-55447193(+)::chr12:55447181-55447193(+) AGCAGGAAGTTG >mm10_chr12:55459936-55459947(+)::chr12:55459935-55459947(+) GATAGGAAGGAC >mm10_chr12:55459950-55459961(+)::chr12:55459949-55459961(+) ATAAGGAAGTTC >mm10_chr12:55462371-55462382(+)::chr12:55462370-55462382(+) aggaggaagagg >mm10_chr12:55462377-55462388(+)::chr12:55462376-55462388(+) aagaggaagagg >mm10_chr12:55462389-55462400(+)::chr12:55462388-55462400(+) aggaggaagaga >mm10_chr12:55462401-55462412(+)::chr12:55462400-55462412(+) aagaggaagaag >mm10_chr12:55462413-55462424(+)::chr12:55462412-55462424(+) aggaggaagaga >mm10_chr12:55464586-55464597(-)::chr12:55464585-55464597(-) TGAAGGAAGAAG >mm10_chr12:55473935-55473946(+)::chr12:55473934-55473946(+) AAGAGGAAGGGC >mm10_chr12:55486643-55486654(-)::chr12:55486642-55486654(-) CAAAGGAAGTGC >mm10_chr12:55486671-55486682(-)::chr12:55486670-55486682(-) TGAAGGAAGTTT >mm10_chr12:55486679-55486690(+)::chr12:55486678-55486690(+) TTCAGGAAGTTC >mm10_chr12:55487376-55487387(+)::chr12:55487375-55487387(+) GCCAGGACGTAG >mm10_chr12:55487427-55487438(-)::chr12:55487426-55487438(-) ATCAGGAAGAGT >mm10_chr12:55496631-55496642(-)::chr12:55496630-55496642(-) CAAAGGAAGGAA >mm10_chr12:55496697-55496708(+)::chr12:55496696-55496708(+) TAAAGGAAGAAG >mm10_chr12:55496710-55496721(+)::chr12:55496709-55496721(+) AGAAGGAAAAAA >mm10_chr12:55560257-55560268(-)::chr12:55560256-55560268(-) ACAAGGAAGTTC >mm10_chr12:55569925-55569936(-)::chr12:55569924-55569936(-) AAGAGGAAGACA >mm10_chr12:55569960-55569971(+)::chr12:55569959-55569971(+) AGTGGGAAGTAA >mm10_chr12:55622767-55622778(-)::chr12:55622766-55622778(-) GGAAGGAAGCCC >mm10_chr12:55664328-55664339(-)::chr12:55664327-55664339(-) aggaggaagagg >mm10_chr12:55664346-55664357(-)::chr12:55664345-55664357(-) aggaggaagagg >mm10_chr12:55664376-55664387(-)::chr12:55664375-55664387(-) agaaggaagatg >mm10_chr12:55664383-55664394(-)::chr12:55664382-55664394(-) gaaaggaagaag >mm10_chr12:55676546-55676557(-)::chr12:55676545-55676557(-) ACACGGAAGAAA >mm10_chr12:55847024-55847035(-)::chr12:55847023-55847035(-) ccaaggaagtac >mm10_chr12:55928022-55928033(-)::chr12:55928021-55928033(-) GGAAGGAAGACA >mm10_chr12:55928026-55928037(-)::chr12:55928025-55928037(-) GGGAGGAAGGAA >mm10_chr12:56437160-56437171(-)::chr12:56437159-56437171(-) AAAAGGATGACG >mm10_chr12:56509952-56509963(-)::chr12:56509951-56509963(-) ACAAGGAAGAGT >mm10_chr12:56695696-56695707(-)::chr12:56695695-56695707(-) AAAAGGAAGTCT >mm10_chr12:56709639-56709650(-)::chr12:56709638-56709650(-) GAGAGGAAGAGA >mm10_chr12:56780056-56780067(-)::chr12:56780055-56780067(-) AGAAGGAAGTAA >mm10_chr12:56874482-56874493(+)::chr12:56874481-56874493(+) agaaggaaggag >mm10_chr12:56876430-56876441(-)::chr12:56876429-56876441(-) GGACGGAAGGAA >mm10_chr12:56876658-56876669(-)::chr12:56876657-56876669(-) AGGAGGAAGTCA >mm10_chr12:56952516-56952527(+)::chr12:56952515-56952527(+) AAGAGGAAATAA >mm10_chr12:57028038-57028049(+)::chr12:57028037-57028049(+) TAAAGCAAGTGA >mm10_chr12:57060853-57060864(+)::chr12:57060852-57060864(+) AGGAGGAAGTAC >mm10_chr12:57109527-57109538(-)::chr12:57109526-57109538(-) AGAAGGAAATTG >mm10_chr12:57218629-57218640(-)::chr12:57218628-57218640(-) taaagaaagtta >mm10_chr12:57226629-57226640(-)::chr12:57226628-57226640(-) accaggaagtcc >mm10_chr12:57226672-57226683(-)::chr12:57226671-57226683(-) aaaaggaagctc >mm10_chr12:57235814-57235825(+)::chr12:57235813-57235825(+) aaaaggaagtcc >mm10_chr12:57423836-57423847(-)::chr12:57423835-57423847(-) tacaggaaggta >mm10_chr12:57484014-57484025(-)::chr12:57484013-57484025(-) AACAGGAAGAAT >mm10_chr12:57484074-57484085(-)::chr12:57484073-57484085(-) GGGAGGAAGGAG >mm10_chr12:57494049-57494060(-)::chr12:57494048-57494060(-) AACAGGAAGGCG >mm10_chr12:57497721-57497732(-)::chr12:57497720-57497732(-) AACAGGAAATGA >mm10_chr12:57527081-57527092(+)::chr12:57527080-57527092(+) AGCAGGAACTAC >mm10_chr12:57546889-57546900(+)::chr12:57546888-57546900(+) AAGAGGAAGAAA >mm10_chr12:57548144-57548155(-)::chr12:57548143-57548155(-) ACAAGGAAGCTT >mm10_chr12:57569118-57569129(+)::chr12:57569117-57569129(+) atgaggaagcaa >mm10_chr12:57590490-57590501(+)::chr12:57590489-57590501(+) ACCAGGAACTAG >mm10_chr12:57590516-57590527(-)::chr12:57590515-57590527(-) atcaggatgtaa >mm10_chr12:57640111-57640122(+)::chr12:57640110-57640122(+) TTAGGGAAGTGA >mm10_chr12:57650281-57650292(-)::chr12:57650280-57650292(-) TCCAGGAAGTGA >mm10_chr12:57650803-57650814(+)::chr12:57650802-57650814(+) AGCAGGAAGAAA >mm10_chr12:57677454-57677465(-)::chr12:57677453-57677465(-) AGAAGGAAACTA >mm10_chr12:57712276-57712287(+)::chr12:57712275-57712287(+) accaggaagtca >mm10_chr12:57713798-57713809(+)::chr12:57713797-57713809(+) AAAAGGAAGTAC >mm10_chr12:57776249-57776260(-)::chr12:57776248-57776260(-) ACCAGGAAGAAC >mm10_chr12:57811804-57811815(+)::chr12:57811803-57811815(+) atcaggaagcag >mm10_chr12:58538521-58538532(+)::chr12:58538520-58538532(+) TTAAGGAAGAGA >mm10_chr12:58538577-58538588(+)::chr12:58538576-58538588(+) CAAAGGAAGTTT >mm10_chr12:58625190-58625201(-)::chr12:58625189-58625201(-) GGGAGGAAGTGG >mm10_chr12:58625362-58625373(+)::chr12:58625361-58625373(+) AGTAGGAAGGGC >mm10_chr12:58856243-58856254(+)::chr12:58856242-58856254(+) agaaggaaaaga >mm10_chr12:58856254-58856265(+)::chr12:58856253-58856265(+) aagaggaagaag >mm10_chr12:58856269-58856280(+)::chr12:58856268-58856280(+) aggaggaagaag >mm10_chr12:58856276-58856287(+)::chr12:58856275-58856287(+) agaaggaaaaga >mm10_chr12:58997561-58997572(+)::chr12:58997560-58997572(+) agaaggaagcag >mm10_chr12:58997573-58997584(+)::chr12:58997572-58997584(+) aggaggaaggag >mm10_chr12:59012203-59012214(+)::chr12:59012202-59012214(+) AGCAGGAAGCGA >mm10_chr12:59012232-59012243(+)::chr12:59012231-59012243(+) TGGAGGAAGGGA >mm10_chr12:59040227-59040238(+)::chr12:59040226-59040238(+) AACAGGAAGGAA >mm10_chr12:59040231-59040242(+)::chr12:59040230-59040242(+) GGAAGGAACCGC >mm10_chr12:59040249-59040260(+)::chr12:59040248-59040260(+) GACAGGAAATGA >mm10_chr12:59066923-59066934(-)::chr12:59066922-59066934(-) acgcGGAAGGAC >mm10_chr12:59071708-59071719(+)::chr12:59071707-59071719(+) agcaggaaatgg >mm10_chr12:59084445-59084456(+)::chr12:59084444-59084456(+) AAAAGGAAGGAG >mm10_chr12:59136661-59136672(-)::chr12:59136660-59136672(-) agaaggaagaAG >mm10_chr12:59136671-59136682(-)::chr12:59136670-59136682(-) aagaggaaggag >mm10_chr12:59136677-59136688(-)::chr12:59136676-59136688(-) aggaggaagagg >mm10_chr12:59137155-59137166(-)::chr12:59137154-59137166(-) AAAAGGAAGACT >mm10_chr12:59219485-59219496(+)::chr12:59219484-59219496(+) ACAAGGAAGAAG >mm10_chr12:59220103-59220114(-)::chr12:59220102-59220114(-) AAGAGGAAGGTC >mm10_chr12:59484254-59484265(+)::chr12:59484253-59484265(+) AGCAGGAACTGA >mm10_chr12:62117757-62117768(-)::chr12:62117756-62117768(-) ggcaggaagcag >mm10_chr12:62171821-62171832(-)::chr12:62171820-62171832(-) gaaaggaggtaa >mm10_chr12:63015002-63015013(-)::chr12:63015001-63015013(-) atagggaaggat >mm10_chr12:64664671-64664682(+)::chr12:64664670-64664682(+) ggcaggaaatgt >mm10_chr12:64664690-64664701(+)::chr12:64664689-64664701(+) aggaggaagaag >mm10_chr12:64965599-64965610(-)::chr12:64965598-64965610(-) AAGAGGAAGTCT >mm10_chr12:64975700-64975711(-)::chr12:64975699-64975711(-) agcaggaaatca >mm10_chr12:64975707-64975718(-)::chr12:64975706-64975718(-) cggaggaagcag >mm10_chr12:65172559-65172570(-)::chr12:65172558-65172570(-) ACGAGGAAGGCG >mm10_chr12:65349570-65349581(-)::chr12:65349569-65349581(-) ATAAGGAAAAGG >mm10_chr12:65556649-65556660(+)::chr12:65556648-65556660(+) GGATGGAAGTGC >mm10_chr12:65604392-65604403(-)::chr12:65604391-65604403(-) TGACGGAAGATA >mm10_chr12:65793264-65793275(-)::chr12:65793263-65793275(-) AGAAGGAATTGT >mm10_chr12:66047000-66047011(+)::chr12:66046999-66047011(+) acaaggaagtga >mm10_chr12:66092730-66092741(+)::chr12:66092729-66092741(+) ggaaggaaccga >mm10_chr12:66092744-66092755(+)::chr12:66092743-66092755(+) ggcaggaagtaa >mm10_chr12:66470166-66470177(-)::chr12:66470165-66470177(-) ACGAGGAAATGT >mm10_chr12:66734775-66734786(+)::chr12:66734774-66734786(+) AGGAGGAAGCTG >mm10_chr12:66775824-66775835(+)::chr12:66775823-66775835(+) tgaaggaagcag >mm10_chr12:66775866-66775877(+)::chr12:66775865-66775877(+) atcaggaaatta >mm10_chr12:66803371-66803382(-)::chr12:66803370-66803382(-) GGGAGGAAGTTG >mm10_chr12:66845478-66845489(+)::chr12:66845477-66845489(+) CCAAGGAAATGA >mm10_chr12:66903525-66903536(+)::chr12:66903524-66903536(+) TAGGGGAAGTAC >mm10_chr12:66903538-66903549(+)::chr12:66903537-66903549(+) TGAAGGAAGAAG >mm10_chr12:66903545-66903556(+)::chr12:66903544-66903556(+) AGAAGGAAGCCT >mm10_chr12:67001857-67001868(+)::chr12:67001856-67001868(+) agcaggaactga >mm10_chr12:67370433-67370444(+)::chr12:67370432-67370444(+) gcaagtaagtaa >mm10_chr12:67370501-67370512(-)::chr12:67370500-67370512(-) aacaggaagtca >mm10_chr12:67370514-67370525(-)::chr12:67370513-67370525(-) taaaggaagtca >mm10_chr12:68045245-68045256(-)::chr12:68045244-68045256(-) agaaggaatttg >mm10_chr12:68236548-68236559(+)::chr12:68236547-68236559(+) AGAAGGAAGTAG >mm10_chr12:68236580-68236591(+)::chr12:68236579-68236591(+) AGAAGGAAAATG >mm10_chr12:68542093-68542104(+)::chr12:68542092-68542104(+) AGAAGGAAGCCT >mm10_chr12:68659639-68659650(+)::chr12:68659638-68659650(+) TGAAGGATGTGA >mm10_chr12:68774965-68774976(-)::chr12:68774964-68774976(-) CAAAGGAAGGAC >mm10_chr12:69184046-69184057(+)::chr12:69184045-69184057(+) GAGCGGAAGTCG >mm10_chr12:69184672-69184683(+)::chr12:69184671-69184683(+) AAACGGAAGGTG >mm10_chr12:69199535-69199546(+)::chr12:69199534-69199546(+) aCAAGTAAGTAA >mm10_chr12:69210558-69210569(+)::chr12:69210557-69210569(+) ACCAGGAAGGAg >mm10_chr12:69210568-69210579(+)::chr12:69210567-69210579(+) Agcaggaagtac >mm10_chr12:69228343-69228354(-)::chr12:69228342-69228354(-) TAAAGGAAGCTT >mm10_chr12:69267437-69267448(+)::chr12:69267436-69267448(+) GTCAGGAAGTTC >mm10_chr12:69348568-69348579(+)::chr12:69348567-69348579(+) AGAAGGAAGAGC >mm10_chr12:69348608-69348619(+)::chr12:69348607-69348619(+) TCAGGGAAGTTG >mm10_chr12:69371752-69371763(+)::chr12:69371751-69371763(+) TGAAGGAAGGCT >mm10_chr12:69372825-69372836(+)::chr12:69372824-69372836(+) ACAAGGAAATGC >mm10_chr12:69374550-69374561(+)::chr12:69374549-69374561(+) GTAAGGAAGGAA >mm10_chr12:69374554-69374565(+)::chr12:69374553-69374565(+) GGAAGGAAATGT >mm10_chr12:69423046-69423057(-)::chr12:69423045-69423057(-) AGCAGGAAGTAC >mm10_chr12:69423088-69423099(-)::chr12:69423087-69423099(-) GTGAGGAAGTTT >mm10_chr12:69434371-69434382(-)::chr12:69434370-69434382(-) ataaggaagagt >mm10_chr12:69434383-69434394(-)::chr12:69434382-69434394(-) aGTaggaagaag >mm10_chr12:69462182-69462193(+)::chr12:69462181-69462193(+) GCACGGAAGTTG >mm10_chr12:69465043-69465054(-)::chr12:69465042-69465054(-) AGAAGGAAACAG >mm10_chr12:69466082-69466093(+)::chr12:69466081-69466093(+) GCCAGGAAATTA >mm10_chr12:69466100-69466111(+)::chr12:69466099-69466111(+) AGGAGGAAGTCC >mm10_chr12:69466118-69466129(+)::chr12:69466117-69466129(+) TGGAGGAAGAAA >mm10_chr12:69475567-69475578(-)::chr12:69475566-69475578(-) TACAGGAAGCCG >mm10_chr12:69475587-69475598(-)::chr12:69475586-69475598(-) AACAGGAAGTTG >mm10_chr12:69502063-69502074(+)::chr12:69502062-69502074(+) TAGAGGAAATGA >mm10_chr12:69511087-69511098(-)::chr12:69511086-69511098(-) ACAAGGAAACAA >mm10_chr12:69646626-69646637(+)::chr12:69646625-69646637(+) ggaaggaagagg >mm10_chr12:69646632-69646643(+)::chr12:69646631-69646643(+) aagaggaaggag >mm10_chr12:69646681-69646692(+)::chr12:69646680-69646692(+) AACAGGAAGGAT >mm10_chr12:69670692-69670703(-)::chr12:69670691-69670703(-) TTCAGGAAGTGT >mm10_chr12:69670731-69670742(+)::chr12:69670730-69670742(+) AGAAGGAAAGGA >mm10_chr12:69674431-69674442(+)::chr12:69674430-69674442(+) TTAAGGAAGTCC >mm10_chr12:69681223-69681234(+)::chr12:69681222-69681234(+) CGGCGGAAGTTG >mm10_chr12:69689446-69689457(-)::chr12:69689445-69689457(-) ttaaggaagttc >mm10_chr12:69733739-69733750(+)::chr12:69733738-69733750(+) AGCAGGAAGTAG >mm10_chr12:69744407-69744418(-)::chr12:69744406-69744418(-) AGCAGGAAGTAG >mm10_chr12:69860306-69860317(-)::chr12:69860305-69860317(-) ttaaggaagtta >mm10_chr12:69867136-69867147(-)::chr12:69867135-69867147(-) aagaggaaataa >mm10_chr12:69867174-69867185(+)::chr12:69867173-69867185(+) tgagggaagtca >mm10_chr12:69867195-69867206(+)::chr12:69867194-69867206(+) atgaggaaggaa >mm10_chr12:69890230-69890241(+)::chr12:69890229-69890241(+) aggaggaagcaa >mm10_chr12:69890272-69890283(+)::chr12:69890271-69890283(+) cagaggaagtag >mm10_chr12:69890674-69890685(+)::chr12:69890673-69890685(+) ACCAGGAAGCAG >mm10_chr12:70098764-70098775(+)::chr12:70098763-70098775(+) ACAAGGAAAGGA >mm10_chr12:70098769-70098780(+)::chr12:70098768-70098780(+) GAAAGGAAATGC >mm10_chr12:70102073-70102084(-)::chr12:70102072-70102084(-) GACAGGAAGTAC >mm10_chr12:70102081-70102092(-)::chr12:70102080-70102092(-) GGAAGGAAGACA >mm10_chr12:70110093-70110104(-)::chr12:70110092-70110104(-) ACAAGGAAGAGG >mm10_chr12:70131460-70131471(-)::chr12:70131459-70131471(-) GGAAGGAACTCA >mm10_chr12:70131464-70131475(-)::chr12:70131463-70131475(-) ATAAGGAAGGAA >mm10_chr12:70136583-70136594(-)::chr12:70136582-70136594(-) AAAAGGAAAGCG >mm10_chr12:70148741-70148752(-)::chr12:70148740-70148752(-) AGCAGGAAGTCA >mm10_chr12:70202091-70202102(+)::chr12:70202090-70202102(+) ATGAGGAAGTAT >mm10_chr12:70225980-70225991(+)::chr12:70225979-70225991(+) TATAGGAAGGAA >mm10_chr12:70225984-70225995(+)::chr12:70225983-70225995(+) GGAAGGAAGAGT >mm10_chr12:70226018-70226029(+)::chr12:70226017-70226029(+) ACCAGGAAGATT >mm10_chr12:70251360-70251371(+)::chr12:70251359-70251371(+) TGCAGGAAGGAA >mm10_chr12:70251364-70251375(+)::chr12:70251363-70251375(+) GGAAGGAAGTAT >mm10_chr12:70268194-70268205(-)::chr12:70268193-70268205(-) GGCAGGAAGTGT >mm10_chr12:70268254-70268265(+)::chr12:70268253-70268265(+) GGAAGGAAGTGA >mm10_chr12:70300847-70300858(+)::chr12:70300846-70300858(+) GAGAGGAAGTCA >mm10_chr12:70323081-70323092(+)::chr12:70323080-70323092(+) acaaggaagaag >mm10_chr12:70323088-70323099(+)::chr12:70323087-70323099(+) agaaggaaatga >mm10_chr12:70358537-70358548(-)::chr12:70358536-70358548(-) ACCAGGAAGTCA >mm10_chr12:70358570-70358581(-)::chr12:70358569-70358581(-) GGAAGGAAGGAG >mm10_chr12:70358595-70358606(+)::chr12:70358594-70358606(+) ATCAGGAAGGCA >mm10_chr12:70476924-70476935(-)::chr12:70476923-70476935(-) AACAGGAACTAT >mm10_chr12:70486570-70486581(-)::chr12:70486569-70486581(-) aagaggaagagg >mm10_chr12:70486576-70486587(-)::chr12:70486575-70486587(-) aggaggaagagg >mm10_chr12:70524895-70524906(+)::chr12:70524894-70524906(+) TTGAGGAAGTTG >mm10_chr12:70524976-70524987(+)::chr12:70524975-70524987(+) ACCAGGAAGAAC >mm10_chr12:70533156-70533167(+)::chr12:70533155-70533167(+) Tggaggaagaga >mm10_chr12:70533171-70533182(+)::chr12:70533170-70533182(+) gagaggaagagg >mm10_chr12:70589682-70589693(-)::chr12:70589681-70589693(-) ATAAGGAAATGT >mm10_chr12:70609273-70609284(+)::chr12:70609272-70609284(+) gcaaggaagttg >mm10_chr12:70614983-70614994(+)::chr12:70614982-70614994(+) aaaaggaagagc >mm10_chr12:70627815-70627826(-)::chr12:70627814-70627826(-) TCCAGGAAGCGT >mm10_chr12:70648335-70648346(-)::chr12:70648334-70648346(-) AGCAGGAAGAAC >mm10_chr12:70654609-70654620(+)::chr12:70654608-70654620(+) ACCAGGAAGAGA >mm10_chr12:70686258-70686269(+)::chr12:70686257-70686269(+) GAGAGGAAGTGG >mm10_chr12:70708111-70708122(+)::chr12:70708110-70708122(+) aaaaggaagctc >mm10_chr12:70720607-70720618(+)::chr12:70720606-70720618(+) TCCAGGAAGTGG >mm10_chr12:70738768-70738779(-)::chr12:70738767-70738779(-) atcaggaagcag >mm10_chr12:70738821-70738832(-)::chr12:70738820-70738832(-) gcagggaagtgt >mm10_chr12:70740042-70740053(+)::chr12:70740041-70740053(+) CCAAGGAAGATG >mm10_chr12:70740290-70740301(+)::chr12:70740289-70740301(+) TCTAGGAAGTCA >mm10_chr12:70740316-70740327(-)::chr12:70740315-70740327(-) AGAAGGAAGGAC >mm10_chr12:70853171-70853182(+)::chr12:70853170-70853182(+) AGCAGGAAGGAT >mm10_chr12:70853416-70853427(+)::chr12:70853415-70853427(+) AGCAGGAAGTCC >mm10_chr12:70873696-70873707(-)::chr12:70873695-70873707(-) AGAAGGAAGAAC >mm10_chr12:70876464-70876475(-)::chr12:70876463-70876475(-) ATAAGGAAACAA >mm10_chr12:70880790-70880801(-)::chr12:70880789-70880801(-) GCCGGGAAGTAA >mm10_chr12:70882181-70882192(-)::chr12:70882180-70882192(-) AAACGGAAGGAT >mm10_chr12:70915339-70915350(-)::chr12:70915338-70915350(-) gtcaggaagtcc >mm10_chr12:70953387-70953398(-)::chr12:70953386-70953398(-) aggaggaagaag >mm10_chr12:70953408-70953419(-)::chr12:70953407-70953419(-) aagaggaagagg >mm10_chr12:70953414-70953425(-)::chr12:70953413-70953425(-) gagaggaagagg >mm10_chr12:70953426-70953437(-)::chr12:70953425-70953437(-) aggaggaagagg >mm10_chr12:70953459-70953470(-)::chr12:70953458-70953470(-) AGTAGGAAGAAA >mm10_chr12:70968683-70968694(+)::chr12:70968682-70968694(+) AGGCGGAAGTGA >mm10_chr12:70968713-70968724(+)::chr12:70968712-70968724(+) ATAAGGAAGTTA >mm10_chr12:70999517-70999528(-)::chr12:70999516-70999528(-) TAAAGGAAGGAA >mm10_chr12:71009957-71009968(-)::chr12:71009956-71009968(-) TGAAGGAAGCAG >mm10_chr12:71010002-71010013(-)::chr12:71010001-71010013(-) GGCAGGAAGTAA >mm10_chr12:71017107-71017118(+)::chr12:71017106-71017118(+) TCGAGGAAGCTG >mm10_chr12:71117126-71117137(-)::chr12:71117125-71117137(-) aggaggaagaAA >mm10_chr12:71117141-71117152(-)::chr12:71117140-71117152(-) aagaggaagagg >mm10_chr12:71117150-71117161(-)::chr12:71117149-71117161(-) agaaggaagaag >mm10_chr12:71117160-71117171(-)::chr12:71117159-71117171(-) ggaaggaaggag >mm10_chr12:71117164-71117175(-)::chr12:71117163-71117175(-) ggaaggaaggaa >mm10_chr12:71117168-71117179(-)::chr12:71117167-71117179(-) aggaggaaggaa >mm10_chr12:71553360-71553371(-)::chr12:71553359-71553371(-) ACTAGGAAGGAA >mm10_chr12:71719084-71719095(-)::chr12:71719083-71719095(-) acaaggaagcag >mm10_chr12:71768256-71768267(+)::chr12:71768255-71768267(+) aagaggaagcag >mm10_chr12:71813851-71813862(+)::chr12:71813850-71813862(+) TCAAGGAAGAGC >mm10_chr12:71813890-71813901(+)::chr12:71813889-71813901(+) AGAAGGAAATGC >mm10_chr12:71817874-71817885(+)::chr12:71817873-71817885(+) aggaggaagagg >mm10_chr12:71817880-71817891(+)::chr12:71817879-71817891(+) aagaggaagagg >mm10_chr12:71817886-71817897(+)::chr12:71817885-71817897(+) aagaggaagcag >mm10_chr12:71821229-71821240(-)::chr12:71821228-71821240(-) ttaaggaaggaa >mm10_chr12:71821250-71821261(+)::chr12:71821249-71821261(+) acaaggaactgt >mm10_chr12:71836094-71836105(+)::chr12:71836093-71836105(+) CTAGGGAAGTGA >mm10_chr12:71836130-71836141(-)::chr12:71836129-71836141(-) GTCAGGAAGTCA >mm10_chr12:71842250-71842261(-)::chr12:71842249-71842261(-) GGAAGGAAACGG >mm10_chr12:71854261-71854272(+)::chr12:71854260-71854272(+) GTCAGGAAGTCA >mm10_chr12:71863896-71863907(+)::chr12:71863895-71863907(+) ACAAGGAAAAAA >mm10_chr12:71864719-71864730(-)::chr12:71864718-71864730(-) AACAGGAAGCTG >mm10_chr12:71870675-71870686(+)::chr12:71870674-71870686(+) TGGAGGAAGTAG >mm10_chr12:71885310-71885321(-)::chr12:71885309-71885321(-) GTAAGGATGTCT >mm10_chr12:71891842-71891853(+)::chr12:71891841-71891853(+) ACAAGGAAATGA >mm10_chr12:71899508-71899519(+)::chr12:71899507-71899519(+) gggaggaaggaa >mm10_chr12:71899512-71899523(+)::chr12:71899511-71899523(+) ggaaggaaggaa >mm10_chr12:71899516-71899527(+)::chr12:71899515-71899527(+) ggaaggaaggaa >mm10_chr12:71899520-71899531(+)::chr12:71899519-71899531(+) ggaaggaaggaa >mm10_chr12:71899524-71899535(+)::chr12:71899523-71899535(+) ggaaggaaggaa >mm10_chr12:71899528-71899539(+)::chr12:71899527-71899539(+) ggaaggaagaga >mm10_chr12:71899536-71899547(+)::chr12:71899535-71899547(+) gagaggaaggaa >mm10_chr12:71899540-71899551(+)::chr12:71899539-71899551(+) ggaaggaagaaa >mm10_chr12:71926205-71926216(+)::chr12:71926204-71926216(+) TCCAGGAAGTAT >mm10_chr12:71926236-71926247(+)::chr12:71926235-71926247(+) GCAAGGAAGTGG >mm10_chr12:71943956-71943967(+)::chr12:71943955-71943967(+) TTCAGGAAGTGA >mm10_chr12:71943970-71943981(-)::chr12:71943969-71943981(-) GAAAGGAAGTCG >mm10_chr12:72037282-72037293(+)::chr12:72037281-72037293(+) gacaggaagaag >mm10_chr12:72084383-72084394(+)::chr12:72084382-72084394(+) AAAAGGAAGCGC >mm10_chr12:72085865-72085876(+)::chr12:72085864-72085876(+) ACCCGGAAGCga >mm10_chr12:72086421-72086432(-)::chr12:72086420-72086432(-) TGAAGGAAGGGA >mm10_chr12:72252696-72252707(+)::chr12:72252695-72252707(+) AGAAGGAAGCAA >mm10_chr12:72274285-72274296(+)::chr12:72274284-72274296(+) AACAGGAAGTGA >mm10_chr12:72310242-72310253(-)::chr12:72310241-72310253(-) TTGAGGAAGTTT >mm10_chr12:72310297-72310308(-)::chr12:72310296-72310308(-) TTAGGGAAGTGC >mm10_chr12:72315929-72315940(-)::chr12:72315928-72315940(-) TGCAGGAAGCGC >mm10_chr12:72323672-72323683(-)::chr12:72323671-72323683(-) ATACGGAAATCC >mm10_chr12:72328537-72328548(-)::chr12:72328536-72328548(-) CCAAGGAAATGA >mm10_chr12:72328570-72328581(+)::chr12:72328569-72328581(+) TTGAGGAAGTAC >mm10_chr12:72445902-72445913(+)::chr12:72445901-72445913(+) aggaggaagaag >mm10_chr12:72445914-72445925(+)::chr12:72445913-72445925(+) aggaggaagagg >mm10_chr12:72445920-72445931(+)::chr12:72445919-72445931(+) aagaggaagaag >mm10_chr12:72445938-72445949(+)::chr12:72445937-72445949(+) agaaggaATTGT >mm10_chr12:72445970-72445981(+)::chr12:72445969-72445981(+) agaaggaaggct >mm10_chr12:72576320-72576331(-)::chr12:72576319-72576331(-) aggaggaaggcg >mm10_chr12:72651577-72651588(-)::chr12:72651576-72651588(-) ACCAGGAAGTCT >mm10_chr12:72668005-72668016(+)::chr12:72668004-72668016(+) ACAGGGAAGTTA >mm10_chr12:72682810-72682821(-)::chr12:72682809-72682821(-) CAAAGGAAGTGG >mm10_chr12:72701026-72701037(-)::chr12:72701025-72701037(-) ACAAGGAAGACA >mm10_chr12:72820141-72820152(-)::chr12:72820140-72820152(-) ATAAGGAAGTAA >mm10_chr12:72821077-72821088(-)::chr12:72821076-72821088(-) AAGAGGAAATAG >mm10_chr12:72836226-72836237(-)::chr12:72836225-72836237(-) ACCAGGAAGACT >mm10_chr12:72844255-72844266(-)::chr12:72844254-72844266(-) AACGGGAAGCCG >mm10_chr12:72844300-72844311(+)::chr12:72844299-72844311(+) GGAAGGAAGTGG >mm10_chr12:72976123-72976134(+)::chr12:72976122-72976134(+) AAAAGGCAGTGC >mm10_chr12:72976133-72976144(-)::chr12:72976132-72976144(-) AACAGGAAGAGC >mm10_chr12:72976162-72976173(+)::chr12:72976161-72976173(+) TGGCGGAAGTAA >mm10_chr12:73025570-73025581(+)::chr12:73025569-73025581(+) acaaggaagagc >mm10_chr12:73026383-73026394(+)::chr12:73026382-73026394(+) taaaggaagtta >mm10_chr12:73053932-73053943(+)::chr12:73053931-73053943(+) TGGAGGAAGGAG >mm10_chr12:73088599-73088610(+)::chr12:73088598-73088610(+) caaaggaagcag >mm10_chr12:73088670-73088681(+)::chr12:73088669-73088681(+) GGAAGGACGTAG >mm10_chr12:73127822-73127833(+)::chr12:73127821-73127833(+) aggaggaagagg >mm10_chr12:73127828-73127839(+)::chr12:73127827-73127839(+) aagaggaagagg >mm10_chr12:73127834-73127845(+)::chr12:73127833-73127845(+) aagaggaagagg >mm10_chr12:73127864-73127875(+)::chr12:73127863-73127875(+) aggaggaagagg >mm10_chr12:73127870-73127881(+)::chr12:73127869-73127881(+) aagaggaagaag >mm10_chr12:73162862-73162873(+)::chr12:73162861-73162873(+) tccaggaAGGAA >mm10_chr12:73162866-73162877(+)::chr12:73162865-73162877(+) ggaAGGAAATGC >mm10_chr12:73182958-73182969(-)::chr12:73182957-73182969(-) aagaggaagatg >mm10_chr12:73182973-73182984(-)::chr12:73182972-73182984(-) aagaggaagaag >mm10_chr12:73182979-73182990(-)::chr12:73182978-73182990(-) tggaggaagagg >mm10_chr12:73201849-73201860(+)::chr12:73201848-73201860(+) AACAGGAAGTCT >mm10_chr12:73234619-73234630(+)::chr12:73234618-73234630(+) TTTAGGAAGTTA >mm10_chr12:73255379-73255390(-)::chr12:73255378-73255390(-) agaaggaaagaa >mm10_chr12:73271388-73271399(-)::chr12:73271387-73271399(-) ATCAGGAAGCAG >mm10_chr12:73287861-73287872(+)::chr12:73287860-73287872(+) GGGAGGAAGTAA >mm10_chr12:73287887-73287898(-)::chr12:73287886-73287898(-) TCAAGGAAACGA >mm10_chr12:73328658-73328669(-)::chr12:73328657-73328669(-) TGGAGGAAGTTG >mm10_chr12:73339761-73339772(+)::chr12:73339760-73339772(+) GGGAGGAAGTAA >mm10_chr12:73340705-73340716(-)::chr12:73340704-73340716(-) GAAAGGAAGCTT >mm10_chr12:73437588-73437599(-)::chr12:73437587-73437599(-) acagggaagtga >mm10_chr12:73438868-73438879(+)::chr12:73438867-73438879(+) ACAGGGAAGTCC >mm10_chr12:73447568-73447579(-)::chr12:73447567-73447579(-) AGAAGGAAGAAA >mm10_chr12:73459528-73459539(-)::chr12:73459527-73459539(-) AGGAGGAAGTGA >mm10_chr12:73459543-73459554(-)::chr12:73459542-73459554(-) AGGAGGATGTAG >mm10_chr12:73459565-73459576(-)::chr12:73459564-73459576(-) AAGAGGAAGGAG >mm10_chr12:73459598-73459609(+)::chr12:73459597-73459609(+) AGCAGGAAGGCC >mm10_chr12:73468542-73468553(-)::chr12:73468541-73468553(-) tgaaggaagttc >mm10_chr12:73482827-73482838(+)::chr12:73482826-73482838(+) aggaggaagagg >mm10_chr12:73482854-73482865(+)::chr12:73482853-73482865(+) aacaggaagcag >mm10_chr12:73482861-73482872(+)::chr12:73482860-73482872(+) agcaggaaggag >mm10_chr12:73482868-73482879(+)::chr12:73482867-73482879(+) aggaggaaggag >mm10_chr12:73482875-73482886(+)::chr12:73482874-73482886(+) aggaggaaggag >mm10_chr12:73482882-73482893(+)::chr12:73482881-73482893(+) aggaggaaggag >mm10_chr12:73536543-73536554(-)::chr12:73536542-73536554(-) ATTAGGAAGGAG >mm10_chr12:73550597-73550608(+)::chr12:73550596-73550608(+) ggcaggaagtag >mm10_chr12:73584711-73584722(-)::chr12:73584710-73584722(-) ACCAGGAagcag >mm10_chr12:73591803-73591814(+)::chr12:73591802-73591814(+) GGAAGGAAGGAC >mm10_chr12:73603531-73603542(-)::chr12:73603530-73603542(-) AGGAGGAAGAGA >mm10_chr12:73606032-73606043(-)::chr12:73606031-73606043(-) tgagggaagtca >mm10_chr12:73613259-73613270(-)::chr12:73613258-73613270(-) ACAAGGAAGGCC >mm10_chr12:73613296-73613307(+)::chr12:73613295-73613307(+) ATGAGGAAATGA >mm10_chr12:73613312-73613323(-)::chr12:73613311-73613323(-) AGGAGGAAGAAG >mm10_chr12:73617841-73617852(+)::chr12:73617840-73617852(+) AGCAGGAAGTGC >mm10_chr12:73620307-73620318(-)::chr12:73620306-73620318(-) cacaggaagtgt >mm10_chr12:73621875-73621886(+)::chr12:73621874-73621886(+) ATCAGGAAGCCA >mm10_chr12:73621909-73621920(+)::chr12:73621908-73621920(+) ACCAGGAAGTTC >mm10_chr12:73632958-73632969(+)::chr12:73632957-73632969(+) AGAAGGAAGTTA >mm10_chr12:73671709-73671720(-)::chr12:73671708-73671720(-) ggaaggaaggtg >mm10_chr12:73686981-73686992(-)::chr12:73686980-73686992(-) AACAGGAAGGTT >mm10_chr12:73687561-73687572(-)::chr12:73687560-73687572(-) AATAGGAAGTTG >mm10_chr12:73687825-73687836(-)::chr12:73687824-73687836(-) AACAGGAAGGGG >mm10_chr12:73709010-73709021(+)::chr12:73709009-73709021(+) GACAGGAAGGAC >mm10_chr12:73709066-73709077(-)::chr12:73709065-73709077(-) CCCAGGAAGTCT >mm10_chr12:73738320-73738331(+)::chr12:73738319-73738331(+) AGCAGGAAGGAT >mm10_chr12:73739671-73739682(-)::chr12:73739670-73739682(-) AGAAGGAAGGAC >mm10_chr12:73753712-73753723(+)::chr12:73753711-73753723(+) AGAAGGAAGTGA >mm10_chr12:73753767-73753778(+)::chr12:73753766-73753778(+) AAAAGGTAGTAT >mm10_chr12:73765288-73765299(+)::chr12:73765287-73765299(+) AGGAGGAAATGA >mm10_chr12:73765334-73765345(-)::chr12:73765333-73765345(-) AGAAGGAAGATC >mm10_chr12:73780011-73780022(-)::chr12:73780010-73780022(-) GAAAGGAAGAAT >mm10_chr12:73790906-73790917(+)::chr12:73790905-73790917(+) TTCAGGAAGTGT >mm10_chr12:73800982-73800993(-)::chr12:73800981-73800993(-) CTAAGGAAGCAA >mm10_chr12:73801009-73801020(-)::chr12:73801008-73801020(-) AGCAGGAAGTGC >mm10_chr12:73801236-73801247(-)::chr12:73801235-73801247(-) GTCAGGAAGTCC >mm10_chr12:73889749-73889760(-)::chr12:73889748-73889760(-) AGGAGGAAGCAG >mm10_chr12:73950005-73950016(-)::chr12:73950004-73950016(-) ACCAGGAAATAG >mm10_chr12:73959048-73959059(+)::chr12:73959047-73959059(+) AGATGGAAGTAT >mm10_chr12:73963728-73963739(+)::chr12:73963727-73963739(+) ATGAGGAAGAAC >mm10_chr12:73963744-73963755(-)::chr12:73963743-73963755(-) GGAAGGAACTCC >mm10_chr12:74087082-74087093(-)::chr12:74087081-74087093(-) ATAAGGAAATCT >mm10_chr12:74106309-74106320(-)::chr12:74106308-74106320(-) CAAAGGAAGAGG >mm10_chr12:74208805-74208816(+)::chr12:74208804-74208816(+) ccaaggaagaaa >mm10_chr12:74317143-74317154(-)::chr12:74317142-74317154(-) GGAAGGAAGCTG >mm10_chr12:74317147-74317158(-)::chr12:74317146-74317158(-) GGGAGGAAGGAA >mm10_chr12:74361379-74361390(+)::chr12:74361378-74361390(+) ATGAGGAAATGA >mm10_chr12:74361399-74361410(+)::chr12:74361398-74361410(+) CCAAGGAAGGTA >mm10_chr12:74393633-74393644(+)::chr12:74393632-74393644(+) GGAAGGAACATA >mm10_chr12:74393642-74393653(-)::chr12:74393641-74393653(-) AGAAGGAACTAT >mm10_chr12:74477803-74477814(+)::chr12:74477802-74477814(+) AGAAGGAAAAAC >mm10_chr12:74477836-74477847(+)::chr12:74477835-74477847(+) AATAGGAAGAAA >mm10_chr12:74496378-74496389(-)::chr12:74496377-74496389(-) TAAAGGAAAGTA >mm10_chr12:74529969-74529980(+)::chr12:74529968-74529980(+) agcaggaagctg >mm10_chr12:74598595-74598606(+)::chr12:74598594-74598606(+) AGAAGGAAACCG >mm10_chr12:74598631-74598642(-)::chr12:74598630-74598642(-) TACAGGAACTAG >mm10_chr12:74598659-74598670(-)::chr12:74598658-74598670(-) TCAAGGAAGAAG >mm10_chr12:74625588-74625599(+)::chr12:74625587-74625599(+) gacaggaagaag >mm10_chr12:74728365-74728376(-)::chr12:74728364-74728376(-) agtaggaagaga >mm10_chr12:74728374-74728385(-)::chr12:74728373-74728385(-) agtaggaagagt >mm10_chr12:74728383-74728394(-)::chr12:74728382-74728394(-) acaaggaagagt >mm10_chr12:74729128-74729139(+)::chr12:74729127-74729139(+) agatggaagtta >mm10_chr12:74760142-74760153(-)::chr12:74760141-74760153(-) aagaggaagggt >mm10_chr12:74760148-74760159(-)::chr12:74760147-74760159(-) aggaggaagagg >mm10_chr12:74760198-74760209(-)::chr12:74760197-74760209(-) acaaggtagtgg >mm10_chr12:74849164-74849175(+)::chr12:74849163-74849175(+) ACACGGAAGCAG >mm10_chr12:74893216-74893227(-)::chr12:74893215-74893227(-) TCAAGGAAGCAG >mm10_chr12:75252472-75252483(-)::chr12:75252471-75252483(-) ACAAGTAAGTGA >mm10_chr12:75283364-75283375(-)::chr12:75283363-75283375(-) AGAAGGAAAGAC >mm10_chr12:75288195-75288206(+)::chr12:75288194-75288206(+) AACAGGAAGAAG >mm10_chr12:75288202-75288213(+)::chr12:75288201-75288213(+) AGAAGGAAGCAT >mm10_chr12:75288522-75288533(-)::chr12:75288521-75288533(-) AGCAGGAAATGT >mm10_chr12:75304614-75304625(-)::chr12:75304613-75304625(-) ACAGGGAAGTAG >mm10_chr12:75304644-75304655(+)::chr12:75304643-75304655(+) ACAAGGAAAGAA >mm10_chr12:75304662-75304673(-)::chr12:75304661-75304673(-) AGAAGGAAGAAC >mm10_chr12:75308344-75308355(-)::chr12:75308343-75308355(-) GTCAGGAAATCG >mm10_chr12:75308367-75308378(+)::chr12:75308366-75308378(+) TGGAGGAAGCGA >mm10_chr12:75314236-75314247(+)::chr12:75314235-75314247(+) TGCCGGAAGTTA >mm10_chr12:75314263-75314274(+)::chr12:75314262-75314274(+) AAGAGGAAGATC >mm10_chr12:75396187-75396198(-)::chr12:75396186-75396198(-) CCAAGGAAGTAA >mm10_chr12:75413060-75413071(-)::chr12:75413059-75413071(-) AACAGGAAGCGG >mm10_chr12:75413140-75413151(+)::chr12:75413139-75413151(+) agcaggaagtta >mm10_chr12:75415205-75415216(-)::chr12:75415204-75415216(-) GTGAGGAAGTCA >mm10_chr12:75415228-75415239(+)::chr12:75415227-75415239(+) TACAGGAAGGAG >mm10_chr12:75425031-75425042(-)::chr12:75425030-75425042(-) ttgaggaaGTGG >mm10_chr12:75508389-75508400(+)::chr12:75508388-75508400(+) AATAGGAAGTAA >mm10_chr12:75508408-75508419(+)::chr12:75508407-75508419(+) TGGAGGAAGAAG >mm10_chr12:75511714-75511725(-)::chr12:75511713-75511725(-) ATGAGGAAGTGG >mm10_chr12:75529543-75529554(-)::chr12:75529542-75529554(-) ACCAGGAAATGG >mm10_chr12:75557891-75557902(-)::chr12:75557890-75557902(-) AGAAGGAACTCC >mm10_chr12:75560191-75560202(+)::chr12:75560190-75560202(+) AGGAGGAAATTA >mm10_chr12:75563192-75563203(-)::chr12:75563191-75563203(-) AACAGGAAGTGA >mm10_chr12:75595369-75595380(-)::chr12:75595368-75595380(-) GGGAGGAAGATT >mm10_chr12:75612922-75612933(+)::chr12:75612921-75612933(+) AGCAGGAAGTAG >mm10_chr12:75617567-75617578(+)::chr12:75617566-75617578(+) TGAAGGAAATGC >mm10_chr12:75617594-75617605(-)::chr12:75617593-75617605(-) ACAGGGAAGTTC >mm10_chr12:75647217-75647228(-)::chr12:75647216-75647228(-) GTGAGGAAGGAT >mm10_chr12:75669863-75669874(-)::chr12:75669862-75669874(-) CCGAGGAAGCGG >mm10_chr12:75700777-75700788(+)::chr12:75700776-75700788(+) ggaaggaaggaa >mm10_chr12:75700781-75700792(+)::chr12:75700780-75700792(+) ggaaggaaggaa >mm10_chr12:75700785-75700796(+)::chr12:75700784-75700796(+) ggaaggaaggaa >mm10_chr12:75700789-75700800(+)::chr12:75700788-75700800(+) ggaaggaaggaa >mm10_chr12:75700793-75700804(+)::chr12:75700792-75700804(+) ggaaggaaggaa >mm10_chr12:75700797-75700808(+)::chr12:75700796-75700808(+) ggaaggaaggac >mm10_chr12:75700810-75700821(+)::chr12:75700809-75700821(+) aacaggaaggaa >mm10_chr12:75700814-75700825(+)::chr12:75700813-75700825(+) ggaaggaaggaa >mm10_chr12:75700826-75700837(+)::chr12:75700825-75700837(+) agaaggaaggaa >mm10_chr12:75700830-75700841(+)::chr12:75700829-75700841(+) ggaaggaaggga >mm10_chr12:75700846-75700857(+)::chr12:75700845-75700857(+) gggaggaaggaa >mm10_chr12:75700850-75700861(+)::chr12:75700849-75700861(+) ggaaggaaggGT >mm10_chr12:75726735-75726746(-)::chr12:75726734-75726746(-) AGCAGGAAGTTT >mm10_chr12:75741918-75741929(+)::chr12:75741917-75741929(+) agaaggaaggga >mm10_chr12:75760734-75760745(-)::chr12:75760733-75760745(-) ataaggaaaagt >mm10_chr12:75765930-75765941(+)::chr12:75765929-75765941(+) AGCAGGAAGGAG >mm10_chr12:75765955-75765966(+)::chr12:75765954-75765966(+) GGCAGGAAGGAC >mm10_chr12:75765967-75765978(+)::chr12:75765966-75765978(+) AGAAGGAAGCTC >mm10_chr12:75766619-75766630(-)::chr12:75766618-75766630(-) acaaggaagtgt >mm10_chr12:75783948-75783959(+)::chr12:75783947-75783959(+) AACAGGAAATGC >mm10_chr12:75783957-75783968(-)::chr12:75783956-75783968(-) tacaggAAGGCA >mm10_chr12:75783984-75783995(+)::chr12:75783983-75783995(+) aggaggaagatc >mm10_chr12:75783996-75784007(+)::chr12:75783995-75784007(+) aggaggaagaga >mm10_chr12:75800470-75800481(-)::chr12:75800469-75800481(-) AGAAGGAAATGG >mm10_chr12:75833976-75833987(-)::chr12:75833975-75833987(-) ATAAGGAAAAGG >mm10_chr12:75856575-75856586(+)::chr12:75856574-75856586(+) ATGAGGAAGTGT >mm10_chr12:75922825-75922836(-)::chr12:75922824-75922836(-) GGAAGGAATTGA >mm10_chr12:75922829-75922840(-)::chr12:75922828-75922840(-) AACAGGAAGGAA >mm10_chr12:75923110-75923121(-)::chr12:75923109-75923121(-) AACAGGAAGCCC >mm10_chr12:76052580-76052591(-)::chr12:76052579-76052591(-) AACGGGAAGACG >mm10_chr12:76054693-76054704(-)::chr12:76054692-76054704(-) ACCAGGAAGTTA >mm10_chr12:76067255-76067266(-)::chr12:76067254-76067266(-) AGAAGGATGTAC >mm10_chr12:76080783-76080794(+)::chr12:76080782-76080794(+) AGAAGGAACTGC >mm10_chr12:76085985-76085996(+)::chr12:76085984-76085996(+) ACAAGGAAGTCT >mm10_chr12:76179065-76179076(-)::chr12:76179064-76179076(-) AGGAGGAAGGAC >mm10_chr12:76275278-76275289(-)::chr12:76275277-76275289(-) AAAAGGAAGAAA >mm10_chr12:76275289-76275300(-)::chr12:76275288-76275300(-) GGAAGGAAGGAA >mm10_chr12:76275293-76275304(-)::chr12:76275292-76275304(-) AAGAGGAAGGAA >mm10_chr12:76284376-76284387(+)::chr12:76284375-76284387(+) CTAAGGAAGGCA >mm10_chr12:76284417-76284428(-)::chr12:76284416-76284428(-) acaaggaaatcc >mm10_chr12:76362598-76362609(+)::chr12:76362597-76362609(+) AAAAGGAAGTAG >mm10_chr12:76373288-76373299(-)::chr12:76373287-76373299(-) ATGAGGAAGCAG >mm10_chr12:76444105-76444116(+)::chr12:76444104-76444116(+) acaaggaaggac >mm10_chr12:76444121-76444132(-)::chr12:76444120-76444132(-) accaggaaatgt >mm10_chr12:76493725-76493736(+)::chr12:76493724-76493736(+) GAGAGGAAGTCA >mm10_chr12:76522160-76522171(+)::chr12:76522159-76522171(+) acagggaagtag >mm10_chr12:76549449-76549460(-)::chr12:76549448-76549460(-) agaaggaaaagg >mm10_chr12:76558224-76558235(-)::chr12:76558223-76558235(-) AAGAGGAAGAAC >mm10_chr12:76558230-76558241(-)::chr12:76558229-76558241(-) GAGAGGAAGAGG >mm10_chr12:76572513-76572524(+)::chr12:76572512-76572524(+) AGGAGGAAGAAC >mm10_chr12:76573585-76573596(-)::chr12:76573584-76573596(-) CGGAGGAAGACC >mm10_chr12:76580703-76580714(-)::chr12:76580702-76580714(-) AGGAGGAAGAGA >mm10_chr12:76580788-76580799(+)::chr12:76580787-76580799(+) GTCAGGAAGGAG >mm10_chr12:76598073-76598084(-)::chr12:76598072-76598084(-) GGAAGGAAGGGT >mm10_chr12:76598077-76598088(-)::chr12:76598076-76598088(-) TACAGGAAGGAA >mm10_chr12:76611274-76611285(+)::chr12:76611273-76611285(+) GAAAGGAAGCCC >mm10_chr12:76611324-76611335(+)::chr12:76611323-76611335(+) TGCAGGAAGTGT >mm10_chr12:76611345-76611356(-)::chr12:76611344-76611356(-) TTAAGGAAGAGT >mm10_chr12:76614977-76614988(+)::chr12:76614976-76614988(+) ttgaggaagtac >mm10_chr12:76615001-76615012(-)::chr12:76615000-76615012(-) aacgggaagtct >mm10_chr12:76644514-76644525(+)::chr12:76644513-76644525(+) ATCAGGACGTTA >mm10_chr12:76660845-76660856(+)::chr12:76660844-76660856(+) GGAAGGAAGGGG >mm10_chr12:76660898-76660909(-)::chr12:76660897-76660909(-) GGAAGGAAGTCA >mm10_chr12:76660911-76660922(-)::chr12:76660910-76660922(-) GGGAGGAAGACT >mm10_chr12:76681230-76681241(+)::chr12:76681229-76681241(+) tcaaggaaggaa >mm10_chr12:76681234-76681245(+)::chr12:76681233-76681245(+) ggaaggaaggag >mm10_chr12:76681246-76681257(+)::chr12:76681245-76681257(+) ggaaggaaggaa >mm10_chr12:76681250-76681261(+)::chr12:76681249-76681261(+) ggaaggaaggag >mm10_chr12:76685063-76685074(-)::chr12:76685062-76685074(-) GTCAGGAAGTAC >mm10_chr12:76695029-76695040(-)::chr12:76695028-76695040(-) CGGAGGAAGTCG >mm10_chr12:76695432-76695443(-)::chr12:76695431-76695443(-) GAGAGGAAGTGA >mm10_chr12:76696273-76696284(-)::chr12:76696272-76696284(-) CGAACGAAGCGG >mm10_chr12:76713238-76713249(-)::chr12:76713237-76713249(-) AGAAGGAAGTGG >mm10_chr12:76765554-76765565(-)::chr12:76765553-76765565(-) ACCCGGAAGGCG >mm10_chr12:76774171-76774182(-)::chr12:76774170-76774182(-) AGCAGGAAATAC >mm10_chr12:76774215-76774226(-)::chr12:76774214-76774226(-) AGAAGGAAGCAA >mm10_chr12:76788965-76788976(-)::chr12:76788964-76788976(-) ggaaggaaggta >mm10_chr12:76788969-76788980(-)::chr12:76788968-76788980(-) tgtaggaaggaa >mm10_chr12:76788999-76789010(-)::chr12:76788998-76789010(-) tccaggaaggat >mm10_chr12:76798603-76798614(-)::chr12:76798602-76798614(-) ACAAGGAAGCAG >mm10_chr12:76799761-76799772(+)::chr12:76799760-76799772(+) ATCAGGAAGGAA >mm10_chr12:76799773-76799784(+)::chr12:76799772-76799784(+) AAAAGGAAGGAA >mm10_chr12:76799777-76799788(+)::chr12:76799776-76799788(+) GGAAGGAACTGC >mm10_chr12:76805662-76805673(+)::chr12:76805661-76805673(+) AAGAGGAAGAGG >mm10_chr12:76805716-76805727(-)::chr12:76805715-76805727(-) GGGAGGAAGTAG >mm10_chr12:76805833-76805844(+)::chr12:76805832-76805844(+) AGAAGGAAGAGG >mm10_chr12:76813686-76813697(+)::chr12:76813685-76813697(+) AAAAGGAAGAGA >mm10_chr12:76813715-76813726(-)::chr12:76813714-76813726(-) GGAAGCAAGTGA >mm10_chr12:76813719-76813730(-)::chr12:76813718-76813730(-) AGAAGGAAGCAA >mm10_chr12:76818183-76818194(-)::chr12:76818182-76818194(-) AGAAGGAAGAAC >mm10_chr12:76837545-76837556(-)::chr12:76837544-76837556(-) GGGAGGAAGATG >mm10_chr12:76859179-76859190(+)::chr12:76859178-76859190(+) acaATGAAGTTA >mm10_chr12:76859619-76859630(+)::chr12:76859618-76859630(+) ATGAGGAAGACG >mm10_chr12:76874724-76874735(+)::chr12:76874723-76874735(+) AGAAGGAAGATG >mm10_chr12:76874735-76874746(-)::chr12:76874734-76874746(-) ACAAGGAAACAC >mm10_chr12:76886964-76886975(+)::chr12:76886963-76886975(+) aacaggaagctg >mm10_chr12:76887008-76887019(+)::chr12:76887007-76887019(+) cacaggaagtag >mm10_chr12:76923292-76923303(-)::chr12:76923291-76923303(-) CACAGGAAGATA >mm10_chr12:76957838-76957849(-)::chr12:76957837-76957849(-) TAGAGGAAGTCA >mm10_chr12:76957872-76957883(-)::chr12:76957871-76957883(-) ACACGGAAGCAG >mm10_chr12:76999224-76999235(+)::chr12:76999223-76999235(+) gacaggaaggaa >mm10_chr12:76999228-76999239(+)::chr12:76999227-76999239(+) ggaaggaaggag >mm10_chr12:76999247-76999258(+)::chr12:76999246-76999258(+) gggaggaaggag >mm10_chr12:76999267-76999278(+)::chr12:76999266-76999278(+) gggaggaaggaa >mm10_chr12:76999271-76999282(+)::chr12:76999270-76999282(+) ggaaggaagagt >mm10_chr12:77009312-77009323(+)::chr12:77009311-77009323(+) AGGAGGAAGCAG >mm10_chr12:77015113-77015124(+)::chr12:77015112-77015124(+) ataaggaagata >mm10_chr12:77020121-77020132(+)::chr12:77020120-77020132(+) AGCAGGAAGTGC >mm10_chr12:77020130-77020141(+)::chr12:77020129-77020141(+) TGCAGGAAGTGA >mm10_chr12:77020182-77020193(-)::chr12:77020181-77020193(-) TGAAGGAAGGTG >mm10_chr12:77034155-77034166(-)::chr12:77034154-77034166(-) GACAGGAAGTCC >mm10_chr12:77034509-77034520(+)::chr12:77034508-77034520(+) ataaggaaggag >mm10_chr12:77039897-77039908(+)::chr12:77039896-77039908(+) TGGAGGAAGTTT >mm10_chr12:77039932-77039943(-)::chr12:77039931-77039943(-) GCAAGGAAGAGC >mm10_chr12:77048655-77048666(+)::chr12:77048654-77048666(+) TGAAGGAAGGGG >mm10_chr12:77055630-77055641(-)::chr12:77055629-77055641(-) tcaaggaagaaa >mm10_chr12:77055651-77055662(-)::chr12:77055650-77055662(-) caaaggaagaac >mm10_chr12:77070454-77070465(-)::chr12:77070453-77070465(-) aagaggaagagg >mm10_chr12:77070466-77070477(-)::chr12:77070465-77070477(-) aagaggaagaag >mm10_chr12:77070472-77070483(-)::chr12:77070471-77070483(-) aggaggaagagg >mm10_chr12:77070490-77070501(-)::chr12:77070489-77070501(-) aggaggaagaga >mm10_chr12:77085426-77085437(+)::chr12:77085425-77085437(+) agcaggaaatgt >mm10_chr12:77092744-77092755(+)::chr12:77092743-77092755(+) TATAGGAAGTTA >mm10_chr12:77092784-77092795(+)::chr12:77092783-77092795(+) CCGAGGAAATGA >mm10_chr12:77105950-77105961(+)::chr12:77105949-77105961(+) aggaggaagaag >mm10_chr12:77105974-77105985(+)::chr12:77105973-77105985(+) gggaggaagagg >mm10_chr12:77105980-77105991(+)::chr12:77105979-77105991(+) aagaggaagagg >mm10_chr12:77106030-77106041(+)::chr12:77106029-77106041(+) aggaggaagtgg >mm10_chr12:77134206-77134217(+)::chr12:77134205-77134217(+) GGCAGGAAGCAG >mm10_chr12:77134213-77134224(+)::chr12:77134212-77134224(+) AGCAGGAAGCTG >mm10_chr12:77144105-77144116(-)::chr12:77144104-77144116(-) CTAAGGAAGTAG >mm10_chr12:77189395-77189406(-)::chr12:77189394-77189406(-) AGCAGGAAATGT >mm10_chr12:77197267-77197278(+)::chr12:77197266-77197278(+) AACAGGAAGCCC >mm10_chr12:77224075-77224086(-)::chr12:77224074-77224086(-) agaagaaagtca >mm10_chr12:77239117-77239128(-)::chr12:77239116-77239128(-) GAGAGGAAGAAA >mm10_chr12:77331944-77331955(+)::chr12:77331943-77331955(+) ACCAGGAAGATC >mm10_chr12:77374792-77374803(-)::chr12:77374791-77374803(-) agagggaagtga >mm10_chr12:77532832-77532843(-)::chr12:77532831-77532843(-) AGAAGGAAAGAT >mm10_chr12:77599485-77599496(-)::chr12:77599484-77599496(-) AGCAGGAAGTAA >mm10_chr12:77599504-77599515(-)::chr12:77599503-77599515(-) ACAAGGATGTCC >mm10_chr12:77661662-77661673(-)::chr12:77661661-77661673(-) TAGAGGAAGAGT >mm10_chr12:77661696-77661707(-)::chr12:77661695-77661707(-) aagaggaagagg >mm10_chr12:77661702-77661713(-)::chr12:77661701-77661713(-) aagaggaagagg >mm10_chr12:77661708-77661719(-)::chr12:77661707-77661719(-) atgaggaagagg >mm10_chr12:77661721-77661732(-)::chr12:77661720-77661732(-) aggaggaagaag >mm10_chr12:77734199-77734210(-)::chr12:77734198-77734210(-) aagaggaagagg >mm10_chr12:77734205-77734216(-)::chr12:77734204-77734216(-) atgaggaagagg >mm10_chr12:77734218-77734229(-)::chr12:77734217-77734229(-) atgaggaagagg >mm10_chr12:77734231-77734242(-)::chr12:77734230-77734242(-) aagaggaagagg >mm10_chr12:77734237-77734248(-)::chr12:77734236-77734248(-) atgaggaagagg >mm10_chr12:77734257-77734268(-)::chr12:77734256-77734268(-) atgaggaagagg >mm10_chr12:77739645-77739656(-)::chr12:77739644-77739656(-) ACCAGGAAGGGA >mm10_chr12:77765980-77765991(+)::chr12:77765979-77765991(+) aggaggaagagg >mm10_chr12:77765986-77765997(+)::chr12:77765985-77765997(+) aagaggaagGAA >mm10_chr12:77766051-77766062(-)::chr12:77766050-77766062(-) AAACGGAAGCAG >mm10_chr12:77970172-77970183(+)::chr12:77970171-77970183(+) ctaaggaagtct >mm10_chr12:77975945-77975956(-)::chr12:77975944-77975956(-) tgaaggcagtga >mm10_chr12:77975970-77975981(+)::chr12:77975969-77975981(+) ataaggaagagt >mm10_chr12:77976185-77976196(+)::chr12:77976184-77976196(+) GACAGGAAATGC >mm10_chr12:77976197-77976208(-)::chr12:77976196-77976208(-) CTAAGGAAGTGT >mm10_chr12:78098931-78098942(-)::chr12:78098930-78098942(-) AGAAGGAAGTGT >mm10_chr12:78137458-78137469(-)::chr12:78137457-78137469(-) TCAAGGAAACGC >mm10_chr12:78203621-78203632(+)::chr12:78203620-78203632(+) agcaggaagttg >mm10_chr12:78203633-78203644(+)::chr12:78203632-78203644(+) gaAAGGAAGAGC >mm10_chr12:78227443-78227454(-)::chr12:78227442-78227454(-) AGAAGGAAGGCA >mm10_chr12:78266224-78266235(-)::chr12:78266223-78266235(-) tcaaggaagtat >mm10_chr12:78266295-78266306(+)::chr12:78266294-78266306(+) ggtaggaagaca >mm10_chr12:78268334-78268345(+)::chr12:78268333-78268345(+) ggaaggAAATGA >mm10_chr12:78356693-78356704(-)::chr12:78356692-78356704(-) tgcaggaagtac >mm10_chr12:78376866-78376877(-)::chr12:78376865-78376877(-) aagaggaagtta >mm10_chr12:78380624-78380635(-)::chr12:78380623-78380635(-) ATAAGGAAATTC >mm10_chr12:78393772-78393783(-)::chr12:78393771-78393783(-) TAAGGGAAGTAG >mm10_chr12:78531506-78531517(-)::chr12:78531505-78531517(-) AAAAGGATGTTG >mm10_chr12:78562487-78562498(-)::chr12:78562486-78562498(-) GACAGGAAGAAA >mm10_chr12:78562495-78562506(-)::chr12:78562494-78562506(-) AGGAGGAAGACA >mm10_chr12:78639575-78639586(+)::chr12:78639574-78639586(+) GGAAGGAAGGGA >mm10_chr12:78749598-78749609(-)::chr12:78749597-78749609(-) ACAAGGAAGCAG >mm10_chr12:78752522-78752533(-)::chr12:78752521-78752533(-) ACGAGGAAGGAC >mm10_chr12:78752543-78752554(-)::chr12:78752542-78752554(-) ACAAGGAGGTGC >mm10_chr12:78752600-78752611(-)::chr12:78752599-78752611(-) ACAAGGAAGAGG >mm10_chr12:78762209-78762220(+)::chr12:78762208-78762220(+) agcaggaagtgc >mm10_chr12:78781567-78781578(-)::chr12:78781566-78781578(-) ATAGGGAAGTAG >mm10_chr12:78791223-78791234(+)::chr12:78791222-78791234(+) aaaaggaagcag >mm10_chr12:78791230-78791241(+)::chr12:78791229-78791241(+) agcaggaagata >mm10_chr12:78829680-78829691(+)::chr12:78829679-78829691(+) ATGAGGAAATGT >mm10_chr12:78861610-78861621(-)::chr12:78861609-78861621(-) AACCGGAAGTGG >mm10_chr12:78879145-78879156(-)::chr12:78879144-78879156(-) GGAAGGAAGAAA >mm10_chr12:78879178-78879189(-)::chr12:78879177-78879189(-) GGAAGGAAGAAA >mm10_chr12:78906898-78906909(+)::chr12:78906897-78906909(+) ACGAGGAAGCCT >mm10_chr12:78906905-78906916(-)::chr12:78906904-78906916(-) TCAAGGAAGGCT >mm10_chr12:78927405-78927416(-)::chr12:78927404-78927416(-) TCCAGGAAGAGA >mm10_chr12:78928090-78928101(+)::chr12:78928089-78928101(+) GAAAGGAACTTG >mm10_chr12:78980726-78980737(+)::chr12:78980725-78980737(+) TTAAGGAAGCCT >mm10_chr12:79017906-79017917(+)::chr12:79017905-79017917(+) AACAGGAAGCAG >mm10_chr12:79017968-79017979(+)::chr12:79017967-79017979(+) CGAAGGAAGAAC >mm10_chr12:79035508-79035519(-)::chr12:79035507-79035519(-) AGCAGGAAGACA >mm10_chr12:79050569-79050580(+)::chr12:79050568-79050580(+) GAGAGGAAGTAG >mm10_chr12:79072698-79072709(+)::chr12:79072697-79072709(+) AAGAGGAAGGAA >mm10_chr12:79072702-79072713(+)::chr12:79072701-79072713(+) GGAAGGAACTCC >mm10_chr12:79081400-79081411(-)::chr12:79081399-79081411(-) ATCAGGAAGGAG >mm10_chr12:79081458-79081469(-)::chr12:79081457-79081469(-) ATGAGGAAGCCA >mm10_chr12:79092886-79092897(+)::chr12:79092885-79092897(+) aggaggaagcag >mm10_chr12:79103964-79103975(-)::chr12:79103963-79103975(-) TCCAGGAAGTCC >mm10_chr12:79136072-79136083(-)::chr12:79136071-79136083(-) AGCAGGAAGTTG >mm10_chr12:79141056-79141067(-)::chr12:79141055-79141067(-) ACAAGGAAGGGG >mm10_chr12:79145716-79145727(-)::chr12:79145715-79145727(-) agaagaaagtat >mm10_chr12:79171532-79171543(-)::chr12:79171531-79171543(-) AGGAGGAAGATT >mm10_chr12:79297556-79297567(-)::chr12:79297555-79297567(-) TAGAGGAAGTCG >mm10_chr12:79297603-79297614(+)::chr12:79297602-79297614(+) ATTAGGAAGTTT >mm10_chr12:79313774-79313785(+)::chr12:79313773-79313785(+) AGAAGGAAAATA >mm10_chr12:79346616-79346627(-)::chr12:79346615-79346627(-) aaaaggaaatgt >mm10_chr12:79352277-79352288(+)::chr12:79352276-79352288(+) CCCAGGAAGATA >mm10_chr12:79363370-79363381(-)::chr12:79363369-79363381(-) gggaggaagaga >mm10_chr12:79363451-79363462(-)::chr12:79363450-79363462(-) agaaggaagagg >mm10_chr12:79534090-79534101(-)::chr12:79534089-79534101(-) ACACGGAAATGC >mm10_chr12:79542150-79542161(+)::chr12:79542149-79542161(+) ACAAGGAAGTCA >mm10_chr12:79542165-79542176(+)::chr12:79542164-79542176(+) TTAAGGAAATTT >mm10_chr12:79608130-79608141(-)::chr12:79608129-79608141(-) ACAAGGAAGCTC >mm10_chr12:79625826-79625837(+)::chr12:79625825-79625837(+) TTAAGGAAGCCT >mm10_chr12:79643688-79643699(-)::chr12:79643687-79643699(-) accaggaagtct >mm10_chr12:79675076-79675087(+)::chr12:79675075-79675087(+) TCAAGGAAGTAA >mm10_chr12:79715555-79715566(-)::chr12:79715554-79715566(-) AGACGGAAGGGA >mm10_chr12:79752230-79752241(+)::chr12:79752229-79752241(+) GGAAGGAAGCAA >mm10_chr12:79754736-79754747(+)::chr12:79754735-79754747(+) AGAAGGAAATAA >mm10_chr12:79797215-79797226(+)::chr12:79797214-79797226(+) AAGAGGAAGAAG >mm10_chr12:79797231-79797242(+)::chr12:79797230-79797242(+) AAGAGGAAGGTG >mm10_chr12:79838443-79838454(+)::chr12:79838442-79838454(+) AAAAGGAAGAGC >mm10_chr12:79850186-79850197(-)::chr12:79850185-79850197(-) GGAAGGAAGCTG >mm10_chr12:79864384-79864395(+)::chr12:79864383-79864395(+) GAGAGGAAGGAA >mm10_chr12:79864388-79864399(+)::chr12:79864387-79864399(+) GGAAGGAAGTAC >mm10_chr12:79865270-79865281(-)::chr12:79865269-79865281(-) AGAAGGAAGGAG >mm10_chr12:79898466-79898477(-)::chr12:79898465-79898477(-) AGCAGGAAATGC >mm10_chr12:79905358-79905369(+)::chr12:79905357-79905369(+) ACAGGGAAGTGA >mm10_chr12:79930146-79930157(-)::chr12:79930145-79930157(-) TCCAGGAAGAAC >mm10_chr12:79975233-79975244(+)::chr12:79975232-79975244(+) TGAAGGAAGTCC >mm10_chr12:79983453-79983464(-)::chr12:79983452-79983464(-) cgcaggaagggg >mm10_chr12:80002934-80002945(-)::chr12:80002933-80002945(-) ACCAGGAAGAGC >mm10_chr12:80002946-80002957(+)::chr12:80002945-80002957(+) AACGGGAAGTTT >mm10_chr12:80105187-80105198(+)::chr12:80105186-80105198(+) aggaggaagagg >mm10_chr12:80113194-80113205(+)::chr12:80113193-80113205(+) AAAAGGAAGAAG >mm10_chr12:80195487-80195498(+)::chr12:80195486-80195498(+) ACAAGGTAGTAG >mm10_chr12:80216679-80216690(+)::chr12:80216678-80216690(+) GTCAGGAAGCAT >mm10_chr12:80246719-80246730(+)::chr12:80246718-80246730(+) TCAAGGAAGCTG >mm10_chr12:80250988-80250999(+)::chr12:80250987-80250999(+) AGGAGGAAGCAG >mm10_chr12:80271165-80271176(-)::chr12:80271164-80271176(-) TCCAGGAAGTGA >mm10_chr12:80277732-80277743(+)::chr12:80277731-80277743(+) aggaggaagtcg >mm10_chr12:80277762-80277773(-)::chr12:80277761-80277773(-) aacaggaaggtt >mm10_chr12:80326314-80326325(+)::chr12:80326313-80326325(+) AAAAGGAAGTGG >mm10_chr12:80327103-80327114(-)::chr12:80327102-80327114(-) aggaggaagaag >mm10_chr12:80427796-80427807(+)::chr12:80427795-80427807(+) agaaggaaaaag >mm10_chr12:80433450-80433461(+)::chr12:80433449-80433461(+) AGAAGGAAGTGA >mm10_chr12:80463094-80463105(-)::chr12:80463093-80463105(-) GTACGGAAGCCG >mm10_chr12:80463165-80463176(+)::chr12:80463164-80463176(+) GGCCGGAAGTGG >mm10_chr12:80480418-80480429(+)::chr12:80480417-80480429(+) GCAAGGATGTGA >mm10_chr12:80627391-80627402(-)::chr12:80627390-80627402(-) gggaggaagagc >mm10_chr12:80644172-80644183(+)::chr12:80644171-80644183(+) ACCCGGAAGTGC >mm10_chr12:80644210-80644221(+)::chr12:80644209-80644221(+) ATCCGGAAGTGG >mm10_chr12:80668497-80668508(+)::chr12:80668496-80668508(+) GAAAGGAAGTGC >mm10_chr12:80687329-80687340(+)::chr12:80687328-80687340(+) CCAAGGAAGTGA >mm10_chr12:80687390-80687401(+)::chr12:80687389-80687401(+) AGAAGGAAGAAG >mm10_chr12:80742489-80742500(-)::chr12:80742488-80742500(-) aagaggaagagg >mm10_chr12:80742495-80742506(-)::chr12:80742494-80742506(-) gggAGgaagagg >mm10_chr12:80763156-80763167(+)::chr12:80763155-80763167(+) TCGAGGAAGTAG >mm10_chr12:80764007-80764018(-)::chr12:80764006-80764018(-) gtaaggaagcag >mm10_chr12:80768215-80768226(-)::chr12:80768214-80768226(-) ctaaggaagtgg >mm10_chr12:80793071-80793082(-)::chr12:80793070-80793082(-) AGGAGGAAATCC >mm10_chr12:80795130-80795141(+)::chr12:80795129-80795141(+) GGAAGGAAGAAT >mm10_chr12:80801117-80801128(-)::chr12:80801116-80801128(-) AGGAGGAAGGTG >mm10_chr12:80831063-80831074(-)::chr12:80831062-80831074(-) GAAAGGAACTGC >mm10_chr12:80833772-80833783(-)::chr12:80833771-80833783(-) GACAGGAAGATG >mm10_chr12:80867258-80867269(+)::chr12:80867257-80867269(+) TAGAGGAAGTGA >mm10_chr12:80867271-80867282(+)::chr12:80867270-80867282(+) AAGAGGAAATGG >mm10_chr12:80877841-80877852(-)::chr12:80877840-80877852(-) ATTAGGAAGACA >mm10_chr12:80877863-80877874(-)::chr12:80877862-80877874(-) AGCAGGAAGTGA >mm10_chr12:80879384-80879395(-)::chr12:80879383-80879395(-) TGCAGGAAGAAG >mm10_chr12:80905182-80905193(+)::chr12:80905181-80905193(+) accaggaagtag >mm10_chr12:80905230-80905241(+)::chr12:80905229-80905241(+) ttaaggatgtgg >mm10_chr12:80923011-80923022(+)::chr12:80923010-80923022(+) TGGAGGAAGGGA >mm10_chr12:80923016-80923027(+)::chr12:80923015-80923027(+) GAAGGGAAGCGG >mm10_chr12:80923023-80923034(+)::chr12:80923022-80923034(+) AGCGGGAAGCGG >mm10_chr12:80924383-80924394(-)::chr12:80924382-80924394(-) AAAAGGAAGGCT >mm10_chr12:80937919-80937930(+)::chr12:80937918-80937930(+) ggaaggaagaga >mm10_chr12:80938864-80938875(-)::chr12:80938863-80938875(-) ACCAGGAAGTGG >mm10_chr12:80945384-80945395(+)::chr12:80945383-80945395(+) GTAAGGAAGCCC >mm10_chr12:80945406-80945417(-)::chr12:80945405-80945417(-) AGCCGGAAGTTC >mm10_chr12:81012870-81012881(-)::chr12:81012869-81012881(-) gcaaggaagtag >mm10_chr12:81012913-81012924(+)::chr12:81012912-81012924(+) ACAAGGAAGATA >mm10_chr12:81019385-81019396(+)::chr12:81019384-81019396(+) atgaggaagaag >mm10_chr12:81019412-81019423(+)::chr12:81019411-81019423(+) aagaggaagaag >mm10_chr12:81019433-81019444(+)::chr12:81019432-81019444(+) aggaggaagccg >mm10_chr12:81080316-81080327(+)::chr12:81080315-81080327(+) GGCGGGAAGTAG >mm10_chr12:81080343-81080354(-)::chr12:81080342-81080354(-) AGGAGGAAGGCT >mm10_chr12:81080357-81080368(+)::chr12:81080356-81080368(+) TCCAGGAAGTAG >mm10_chr12:81107923-81107934(+)::chr12:81107922-81107934(+) ACTAGGAAGATG >mm10_chr12:81152484-81152495(-)::chr12:81152483-81152495(-) CGACGGAAGTGC >mm10_chr12:81152495-81152506(+)::chr12:81152494-81152506(+) GCAAGGAAGAGA >mm10_chr12:81152541-81152552(+)::chr12:81152540-81152552(+) AAGAGGAAGATA >mm10_chr12:81156197-81156208(+)::chr12:81156196-81156208(+) TCAAGGAAATAG >mm10_chr12:81166698-81166709(+)::chr12:81166697-81166709(+) ATCAGGAAGAGA >mm10_chr12:81454432-81454443(+)::chr12:81454431-81454443(+) gaagggaagtga >mm10_chr12:81473336-81473347(+)::chr12:81473335-81473347(+) AGCAGGAAATGA >mm10_chr12:81485130-81485141(-)::chr12:81485129-81485141(-) GGGAGGAAGCAG >mm10_chr12:81485144-81485155(+)::chr12:81485143-81485155(+) CCCCGGAAGTCG >mm10_chr12:81509442-81509453(+)::chr12:81509441-81509453(+) AGTAGGAAATAA >mm10_chr12:81509499-81509510(+)::chr12:81509498-81509510(+) AACAGGAAGCAC >mm10_chr12:81528938-81528949(+)::chr12:81528937-81528949(+) ATGAGGAAGTGA >mm10_chr12:81532901-81532912(+)::chr12:81532900-81532912(+) AAAAGGAAACCG >mm10_chr12:81546652-81546663(-)::chr12:81546651-81546663(-) TCCAGGAAGTGC >mm10_chr12:81549852-81549863(+)::chr12:81549851-81549863(+) AGAAGGAAGTGG >mm10_chr12:81568999-81569010(-)::chr12:81568998-81569010(-) ACTAGGAAGGAG >mm10_chr12:81609333-81609344(-)::chr12:81609332-81609344(-) AGAAGGAAATAG >mm10_chr12:81610002-81610013(-)::chr12:81610001-81610013(-) agaaggaaaaat >mm10_chr12:81653042-81653053(-)::chr12:81653041-81653053(-) accaggaagcat >mm10_chr12:81657784-81657795(-)::chr12:81657783-81657795(-) GAAAGGAAGTAG >mm10_chr12:81669255-81669266(+)::chr12:81669254-81669266(+) AGGAGGAAGCAA >mm10_chr12:81669259-81669270(+)::chr12:81669258-81669270(+) GGAAGCAAGTAT >mm10_chr12:81669279-81669290(+)::chr12:81669278-81669290(+) AGAAGGAAAAGT >mm10_chr12:81678746-81678757(+)::chr12:81678745-81678757(+) acaaggaaaagg >mm10_chr12:81678752-81678763(+)::chr12:81678751-81678763(+) aaaaggaagagg >mm10_chr12:81678758-81678769(+)::chr12:81678757-81678769(+) aagaggaagagg >mm10_chr12:81678783-81678794(+)::chr12:81678782-81678794(+) cggaggaagaat >mm10_chr12:81681444-81681455(+)::chr12:81681443-81681455(+) gagaggaagata >mm10_chr12:81681453-81681464(+)::chr12:81681452-81681464(+) atagggaaggag >mm10_chr12:81681460-81681471(+)::chr12:81681459-81681471(+) aggaggaagagg >mm10_chr12:81681470-81681481(+)::chr12:81681469-81681481(+) gggaggaagagg >mm10_chr12:81681476-81681487(+)::chr12:81681475-81681487(+) aagaggaagagg >mm10_chr12:81681482-81681493(+)::chr12:81681481-81681493(+) aagaggaagagg >mm10_chr12:81681488-81681499(+)::chr12:81681487-81681499(+) aagaggaagagg >mm10_chr12:81681494-81681505(+)::chr12:81681493-81681505(+) aagaggaagagg >mm10_chr12:81691823-81691834(-)::chr12:81691822-81691834(-) GTGAGGAAGAGG >mm10_chr12:81696064-81696075(-)::chr12:81696063-81696075(-) acaaggaagctg >mm10_chr12:81696104-81696115(+)::chr12:81696103-81696115(+) ataaggaaatcc >mm10_chr12:81754836-81754847(+)::chr12:81754835-81754847(+) AAGAGGAAGCAA >mm10_chr12:81806799-81806810(-)::chr12:81806798-81806810(-) TCCAGGAAGAGG >mm10_chr12:81807005-81807016(+)::chr12:81807004-81807016(+) AGCGGGAAGCCG >mm10_chr12:81819001-81819012(-)::chr12:81819000-81819012(-) AAGAGGAAGAGG >mm10_chr12:81822136-81822147(+)::chr12:81822135-81822147(+) AGAAGGAACTGC >mm10_chr12:81822182-81822193(+)::chr12:81822181-81822193(+) AGAAGGCAGTCA >mm10_chr12:81887466-81887477(-)::chr12:81887465-81887477(-) GACAGGAAGTTT >mm10_chr12:81910666-81910677(+)::chr12:81910665-81910677(+) Ggtaggaagtag >mm10_chr12:81910673-81910684(+)::chr12:81910672-81910684(+) agtaggaagtag >mm10_chr12:81910680-81910691(+)::chr12:81910679-81910691(+) agtaggaagtag >mm10_chr12:81910687-81910698(+)::chr12:81910686-81910698(+) agtaggaagtag >mm10_chr12:81929566-81929577(-)::chr12:81929565-81929577(-) AGCAGGAAGAAA >mm10_chr12:81929625-81929636(-)::chr12:81929624-81929636(-) AAAAGGAGGTTA >mm10_chr12:81971923-81971934(-)::chr12:81971922-81971934(-) ACAAGGAAGAGT >mm10_chr12:82080146-82080157(+)::chr12:82080145-82080157(+) gacaggaagggg >mm10_chr12:82080166-82080177(-)::chr12:82080165-82080177(-) agaaggaaggag >mm10_chr12:82080173-82080184(-)::chr12:82080172-82080184(-) acaaggaagaag >mm10_chr12:82080188-82080199(-)::chr12:82080187-82080199(-) ACAAGGAAGGGA >mm10_chr12:82118700-82118711(+)::chr12:82118699-82118711(+) ACAAGGAAGTCC >mm10_chr12:82140047-82140058(-)::chr12:82140046-82140058(-) acgaggaaatgg >mm10_chr12:82140234-82140245(-)::chr12:82140233-82140245(-) ttaaggaagagc >mm10_chr12:82166329-82166340(+)::chr12:82166328-82166340(+) AGCAGGAAGTTA >mm10_chr12:82195107-82195118(+)::chr12:82195106-82195118(+) GGAAGGAAGTTA >mm10_chr12:82239770-82239781(+)::chr12:82239769-82239781(+) AACAGGAAGTGC >mm10_chr12:82265874-82265885(-)::chr12:82265873-82265885(-) ACCAGGAAGTAT >mm10_chr12:82265904-82265915(-)::chr12:82265903-82265915(-) AGAGGGAAGTCT >mm10_chr12:82276031-82276042(-)::chr12:82276030-82276042(-) ATCAGGAAGAAG >mm10_chr12:82284874-82284885(-)::chr12:82284873-82284885(-) TACAGGAAGCAA >mm10_chr12:82284912-82284923(+)::chr12:82284911-82284923(+) AGAAGGAAGGAG >mm10_chr12:82369988-82369999(+)::chr12:82369987-82369999(+) GTGAGGAAGTGC >mm10_chr12:82374204-82374215(-)::chr12:82374203-82374215(-) AACAGGAAATTC >mm10_chr12:82404510-82404521(+)::chr12:82404509-82404521(+) AGAAGGAAAAGC >mm10_chr12:82454629-82454640(-)::chr12:82454628-82454640(-) TCCAGGAAGAAC >mm10_chr12:82487244-82487255(+)::chr12:82487243-82487255(+) ACACGGAAGTGG >mm10_chr12:82568664-82568675(-)::chr12:82568663-82568675(-) agcaggaagtgg >mm10_chr12:82568705-82568716(-)::chr12:82568704-82568716(-) ggcaggaagtgc >mm10_chr12:82709945-82709956(+)::chr12:82709944-82709956(+) AGCAGGAAGTGT >mm10_chr12:83134305-83134316(+)::chr12:83134304-83134316(+) ACCAGGAAGTAA >mm10_chr12:83134328-83134339(-)::chr12:83134327-83134339(-) AGGAGGAAGTGG >mm10_chr12:83142545-83142556(-)::chr12:83142544-83142556(-) AGCAGGAACTAA >mm10_chr12:83142574-83142585(-)::chr12:83142573-83142585(-) ACCAGGAAGACA >mm10_chr12:83215457-83215468(+)::chr12:83215456-83215468(+) ATGAGGAAGTTT >mm10_chr12:83248963-83248974(-)::chr12:83248962-83248974(-) GCAGGGAAGTAG >mm10_chr12:83248980-83248991(+)::chr12:83248979-83248991(+) AAGAGGAAGAAC >mm10_chr12:83268733-83268744(+)::chr12:83268732-83268744(+) CGAGGGAAGCGG >mm10_chr12:83277626-83277637(+)::chr12:83277625-83277637(+) AAGAGGAAGCAG >mm10_chr12:83277652-83277663(-)::chr12:83277651-83277663(-) GCCAGGAAGAAG >mm10_chr12:83286669-83286680(+)::chr12:83286668-83286680(+) GAAAGGAACGCG >mm10_chr12:83286676-83286687(+)::chr12:83286675-83286687(+) ACGCGGAAATGA >mm10_chr12:83317157-83317168(+)::chr12:83317156-83317168(+) ACAAGGAAGAGA >mm10_chr12:83317173-83317184(+)::chr12:83317172-83317184(+) agaaggaagagg >mm10_chr12:83317188-83317199(+)::chr12:83317187-83317199(+) aggaggaagagg >mm10_chr12:83329536-83329547(+)::chr12:83329535-83329547(+) AAGAGGAAGAAA >mm10_chr12:83342949-83342960(+)::chr12:83342948-83342960(+) GGAAGGAAGGAA >mm10_chr12:83342953-83342964(+)::chr12:83342952-83342964(+) GGAAGGAAGTAC >mm10_chr12:83343024-83343035(+)::chr12:83343023-83343035(+) AGAAGGAACTAG >mm10_chr12:83370636-83370647(-)::chr12:83370635-83370647(-) ACAAGGAAGCCA >mm10_chr12:83423386-83423397(+)::chr12:83423385-83423397(+) tacaggaagttg >mm10_chr12:83426301-83426312(-)::chr12:83426300-83426312(-) acgaggaactcc >mm10_chr12:83486666-83486677(+)::chr12:83486665-83486677(+) agaaggaaggaa >mm10_chr12:83486670-83486681(+)::chr12:83486669-83486681(+) ggaaggaaggaa >mm10_chr12:83486674-83486685(+)::chr12:83486673-83486685(+) ggaaggaaggaa >mm10_chr12:83486678-83486689(+)::chr12:83486677-83486689(+) ggaaggaaggaa >mm10_chr12:83486682-83486693(+)::chr12:83486681-83486693(+) ggaaggaaggaa >mm10_chr12:83486686-83486697(+)::chr12:83486685-83486697(+) ggaaggaaggaa >mm10_chr12:83486690-83486701(+)::chr12:83486689-83486701(+) ggaaggaaggaa >mm10_chr12:83486694-83486705(+)::chr12:83486693-83486705(+) ggaaggaaggaa >mm10_chr12:83486698-83486709(+)::chr12:83486697-83486709(+) ggaaggaaggaa >mm10_chr12:83486702-83486713(+)::chr12:83486701-83486713(+) ggaaggaaggaa >mm10_chr12:83486706-83486717(+)::chr12:83486705-83486717(+) ggaaggaagACC >mm10_chr12:83488336-83488347(-)::chr12:83488335-83488347(-) AGGAGGAAGGGA >mm10_chr12:83501597-83501608(+)::chr12:83501596-83501608(+) AGGAGGAAGAGG >mm10_chr12:83501615-83501626(+)::chr12:83501614-83501626(+) aggaggaagaag >mm10_chr12:83501657-83501668(+)::chr12:83501656-83501668(+) agcaggaagagg >mm10_chr12:83502953-83502964(+)::chr12:83502952-83502964(+) GCCAGGAAGTTA >mm10_chr12:83503729-83503740(+)::chr12:83503728-83503740(+) AGGAGGAAGAAG >mm10_chr12:83503736-83503747(+)::chr12:83503735-83503747(+) AGAAGGTAGTGA >mm10_chr12:83545562-83545573(+)::chr12:83545561-83545573(+) GGCAGGAAGCGG >mm10_chr12:83592083-83592094(-)::chr12:83592082-83592094(-) GAAAGGAACTAA >mm10_chr12:83594452-83594463(-)::chr12:83594451-83594463(-) AAGAGGAAGAAG >mm10_chr12:83597192-83597203(+)::chr12:83597191-83597203(+) AACAGGAAGGCA >mm10_chr12:83689066-83689077(+)::chr12:83689065-83689077(+) ATAAGGAAGTTA >mm10_chr12:83730341-83730352(-)::chr12:83730340-83730352(-) GGAAGGAAGAAA >mm10_chr12:83730345-83730356(-)::chr12:83730344-83730356(-) TTAAGGAAGGAA >mm10_chr12:83730358-83730369(+)::chr12:83730357-83730369(+) AAGAGGAAATAA >mm10_chr12:83736572-83736583(-)::chr12:83736571-83736583(-) AACAGGAAGGAG >mm10_chr12:83759658-83759669(+)::chr12:83759657-83759669(+) aagaggaaggag >mm10_chr12:83762687-83762698(-)::chr12:83762686-83762698(-) AGCAGGAAGCTC >mm10_chr12:83762867-83762878(+)::chr12:83762866-83762878(+) GCAAGGAAATGT >mm10_chr12:83783604-83783615(-)::chr12:83783603-83783615(-) AGAAGGAAATGG >mm10_chr12:83797212-83797223(-)::chr12:83797211-83797223(-) ACAAGGAAATTG >mm10_chr12:83882643-83882654(+)::chr12:83882642-83882654(+) agggggaagtaa >mm10_chr12:83888181-83888192(-)::chr12:83888180-83888192(-) AAGAGGAAGTAG >mm10_chr12:83919597-83919608(+)::chr12:83919596-83919608(+) AACAGGAAGGCA >mm10_chr12:83922282-83922293(-)::chr12:83922281-83922293(-) AAAAGGAAGAGT >mm10_chr12:83928008-83928019(-)::chr12:83928007-83928019(-) AAAAGGAAGCCA >mm10_chr12:83928022-83928033(+)::chr12:83928021-83928033(+) GGGAGGAAGATA >mm10_chr12:83928060-83928071(+)::chr12:83928059-83928071(+) AGGAGGAACTAT >mm10_chr12:83947717-83947728(+)::chr12:83947716-83947728(+) ACAAGGAAGGAA >mm10_chr12:83947721-83947732(+)::chr12:83947720-83947732(+) GGAAGGAAGTTC >mm10_chr12:83950286-83950297(+)::chr12:83950285-83950297(+) AACAGGAagcca >mm10_chr12:83957707-83957718(-)::chr12:83957706-83957718(-) TCAAGGAAGCGG >mm10_chr12:83958500-83958511(-)::chr12:83958499-83958511(-) ACAAGGAAGTAA >mm10_chr12:84004163-84004174(+)::chr12:84004162-84004174(+) aagaggaagagg >mm10_chr12:84004169-84004180(+)::chr12:84004168-84004180(+) aagaggaagGaa >mm10_chr12:84075443-84075454(+)::chr12:84075442-84075454(+) ACAAGGAAGAGA >mm10_chr12:84087329-84087340(+)::chr12:84087328-84087340(+) AACAGGAAGGTC >mm10_chr12:84169755-84169766(+)::chr12:84169754-84169766(+) tccaggaagtgg >mm10_chr12:84174344-84174355(-)::chr12:84174343-84174355(-) AAGAGGAAGGAC >mm10_chr12:84175905-84175916(-)::chr12:84175904-84175916(-) TGGAGGAAGTTG >mm10_chr12:84175917-84175928(-)::chr12:84175916-84175928(-) GGAAGGAAATGG >mm10_chr12:84177586-84177597(+)::chr12:84177585-84177597(+) GTGAGGAAGAAG >mm10_chr12:84177593-84177604(+)::chr12:84177592-84177604(+) AGAAGGAAGCCA >mm10_chr12:84188248-84188259(+)::chr12:84188247-84188259(+) CACAGGAAGTAG >mm10_chr12:84189138-84189149(-)::chr12:84189137-84189149(-) CGCAGGAAGGGG >mm10_chr12:84189146-84189157(-)::chr12:84189145-84189157(-) CGAAGGAACGCA >mm10_chr12:84189169-84189180(-)::chr12:84189168-84189180(-) TCCAGGAAGATG >mm10_chr12:84198204-84198215(-)::chr12:84198203-84198215(-) GGAGGGAAGTAC >mm10_chr12:84201370-84201381(+)::chr12:84201369-84201381(+) AGAAGGAACTGA >mm10_chr12:84214553-84214564(+)::chr12:84214552-84214564(+) AACAGGAAGACA >mm10_chr12:84214586-84214597(+)::chr12:84214585-84214597(+) GAGAGGAAGAGG >mm10_chr12:84218349-84218360(-)::chr12:84218348-84218360(-) GGAAGGAAGTTA >mm10_chr12:84218383-84218394(+)::chr12:84218382-84218394(+) TAGAGGAAGGGA >mm10_chr12:84219490-84219501(-)::chr12:84219489-84219501(-) ACAAGGAAGAGG >mm10_chr12:84243264-84243275(-)::chr12:84243263-84243275(-) AGGGGGAAGTGA >mm10_chr12:84243293-84243304(-)::chr12:84243292-84243304(-) AACAGGAAGTGG >mm10_chr12:84245851-84245862(-)::chr12:84245850-84245862(-) GACAGGAAGTGT >mm10_chr12:84285372-84285383(+)::chr12:84285371-84285383(+) AGGAGGAAGTGC >mm10_chr12:84317067-84317078(-)::chr12:84317066-84317078(-) GACAGGAAGTTT >mm10_chr12:84317104-84317115(+)::chr12:84317103-84317115(+) AGCCGGAAGACG >mm10_chr12:84352232-84352243(-)::chr12:84352231-84352243(-) aggaggaagGTC >mm10_chr12:84352247-84352258(-)::chr12:84352246-84352258(-) aggaggaagaag >mm10_chr12:84352265-84352276(-)::chr12:84352264-84352276(-) aagaggaagagg >mm10_chr12:84352271-84352282(-)::chr12:84352270-84352282(-) aagaggaagagg >mm10_chr12:84352277-84352288(-)::chr12:84352276-84352288(-) aggaggaagagg >mm10_chr12:84417380-84417391(-)::chr12:84417379-84417391(-) AAGAGGAAGGTG >mm10_chr12:84417407-84417418(+)::chr12:84417406-84417418(+) GAAAGGAAGTGC >mm10_chr12:84426703-84426714(+)::chr12:84426702-84426714(+) TTAAGGAAATCA >mm10_chr12:84525623-84525634(+)::chr12:84525622-84525634(+) AGCAGGAAGCAG >mm10_chr12:84615222-84615233(+)::chr12:84615221-84615233(+) AGGCGGAAGTCA >mm10_chr12:84615239-84615250(+)::chr12:84615238-84615250(+) GAAAGGAAGACA >mm10_chr12:84691541-84691552(+)::chr12:84691540-84691552(+) GACAGGAAGTAG >mm10_chr12:84752326-84752337(+)::chr12:84752325-84752337(+) TGCAGGAAGCAG >mm10_chr12:84760823-84760834(+)::chr12:84760822-84760834(+) ATAGGGAAGGGG >mm10_chr12:84761398-84761409(+)::chr12:84761397-84761409(+) agaaggaacttg >mm10_chr12:84802791-84802802(-)::chr12:84802790-84802802(-) ATGAGGAAGCAT >mm10_chr12:84820594-84820605(-)::chr12:84820593-84820605(-) TCCAGGAAGTAC >mm10_chr12:84828023-84828034(+)::chr12:84828022-84828034(+) ACAAGGAACTGA >mm10_chr12:84837212-84837223(+)::chr12:84837211-84837223(+) attaggaagttt >mm10_chr12:84855300-84855311(+)::chr12:84855299-84855311(+) AACAGGAAGCAA >mm10_chr12:84855332-84855343(+)::chr12:84855331-84855343(+) AGAAGGAAGACA >mm10_chr12:84880005-84880016(-)::chr12:84880004-84880016(-) GGGAGGAAATGA >mm10_chr12:84880052-84880063(-)::chr12:84880051-84880063(-) ACCAGGAAGAGT >mm10_chr12:84884884-84884895(-)::chr12:84884883-84884895(-) AGCAGGAAGCCA >mm10_chr12:84907606-84907617(+)::chr12:84907605-84907617(+) AACAGGAAGAAC >mm10_chr12:84907934-84907945(+)::chr12:84907933-84907945(+) GACAGGAAGAAG >mm10_chr12:84945351-84945362(-)::chr12:84945350-84945362(-) AGGAGGAAGGAG >mm10_chr12:84951041-84951052(-)::chr12:84951040-84951052(-) GTAAGTAAGTAC >mm10_chr12:84963667-84963678(+)::chr12:84963666-84963678(+) ATGAGGAAGTGA >mm10_chr12:85094147-85094158(+)::chr12:85094146-85094158(+) AAAAGGAAGTGA >mm10_chr12:85101382-85101393(-)::chr12:85101381-85101393(-) ACAGGGAAGTAG >mm10_chr12:85118962-85118973(-)::chr12:85118961-85118973(-) ACAAGGAAGAAG >mm10_chr12:85129807-85129818(+)::chr12:85129806-85129818(+) CCAAGGAAGTGG >mm10_chr12:85150829-85150840(+)::chr12:85150828-85150840(+) TAAAGGAAGAGG >mm10_chr12:85177997-85178008(-)::chr12:85177996-85178008(-) AGGAGGAAGTGG >mm10_chr12:85194221-85194232(-)::chr12:85194220-85194232(-) ACAAGGAACTTG >mm10_chr12:85209160-85209171(+)::chr12:85209159-85209171(+) AAAAGGAAGTGG >mm10_chr12:85219232-85219243(+)::chr12:85219231-85219243(+) GCCAGGAAGGAG >mm10_chr12:85257472-85257483(+)::chr12:85257471-85257483(+) AACAGGAAATTA >mm10_chr12:85257484-85257495(-)::chr12:85257483-85257495(-) GAAAGGAAGTAG >mm10_chr12:85299003-85299014(+)::chr12:85299002-85299014(+) TTGAGGAAGTTT >mm10_chr12:85305664-85305675(-)::chr12:85305663-85305675(-) GAAAGGAACTTG >mm10_chr12:85340184-85340195(+)::chr12:85340183-85340195(+) AGCAGGAAGTAC >mm10_chr12:85348543-85348554(+)::chr12:85348542-85348554(+) AGCAGGAAGCAG >mm10_chr12:85348556-85348567(+)::chr12:85348555-85348567(+) CAAAGGAAGCGA >mm10_chr12:85348569-85348580(-)::chr12:85348568-85348580(-) GAAAGGAACTAC >mm10_chr12:85360938-85360949(+)::chr12:85360937-85360949(+) GCAAGGAAGGAG >mm10_chr12:85367493-85367504(-)::chr12:85367492-85367504(-) AGGAGGAAGTGA >mm10_chr12:85372467-85372478(-)::chr12:85372466-85372478(-) GGAAGGAAGTTG >mm10_chr12:85372471-85372482(-)::chr12:85372470-85372482(-) AGCAGGAAGGAA >mm10_chr12:85382924-85382935(-)::chr12:85382923-85382935(-) gccaggaagtgg >mm10_chr12:85394385-85394396(-)::chr12:85394384-85394396(-) ACCAGGAAGGTA >mm10_chr12:85408643-85408654(+)::chr12:85408642-85408654(+) agaaggaagagc >mm10_chr12:85427855-85427866(+)::chr12:85427854-85427866(+) TCCGGGAAGTGG >mm10_chr12:85427877-85427888(+)::chr12:85427876-85427888(+) ACAGGGAAGTGG >mm10_chr12:85428444-85428455(-)::chr12:85428443-85428455(-) agaaggaagAGC >mm10_chr12:85428475-85428486(-)::chr12:85428474-85428486(-) agaaggaagaaa >mm10_chr12:85430214-85430225(+)::chr12:85430213-85430225(+) ACAAGGAAGGAG >mm10_chr12:85430249-85430260(+)::chr12:85430248-85430260(+) GGAGGGAAGTGG >mm10_chr12:85437683-85437694(+)::chr12:85437682-85437694(+) AAAGGGAAGTGG >mm10_chr12:85444823-85444834(-)::chr12:85444822-85444834(-) GCCAGGAAATGA >mm10_chr12:85449630-85449641(-)::chr12:85449629-85449641(-) TCAAGGAAGATG >mm10_chr12:85449664-85449675(+)::chr12:85449663-85449675(+) TCCAGGAAGTCA >mm10_chr12:85449984-85449995(+)::chr12:85449983-85449995(+) GAAAGGAAGTGG >mm10_chr12:85450024-85450035(-)::chr12:85450023-85450035(-) TGAAGAAAGTAG >mm10_chr12:85452518-85452529(+)::chr12:85452517-85452529(+) AGGAGGAAATGT >mm10_chr12:85452566-85452577(-)::chr12:85452565-85452577(-) ATCAGGAAGTAA >mm10_chr12:85459076-85459087(-)::chr12:85459075-85459087(-) tgcaggaagcaa >mm10_chr12:85459129-85459140(+)::chr12:85459128-85459140(+) ttcaggaagtcc >mm10_chr12:85462072-85462083(-)::chr12:85462071-85462083(-) AAGAGGAAGATT >mm10_chr12:85463934-85463945(+)::chr12:85463933-85463945(+) ACAAGGAAATGA >mm10_chr12:85463951-85463962(+)::chr12:85463950-85463962(+) TGAAGGACGTGA >mm10_chr12:85465102-85465113(-)::chr12:85465101-85465113(-) AGGAGGAAGGAG >mm10_chr12:85469072-85469083(-)::chr12:85469071-85469083(-) aggaggaagata >mm10_chr12:85469095-85469106(-)::chr12:85469094-85469106(-) ggaaggaagaga >mm10_chr12:85469099-85469110(-)::chr12:85469098-85469110(-) ggaaggaaggaa >mm10_chr12:85469103-85469114(-)::chr12:85469102-85469114(-) gaaaggaaggaa >mm10_chr12:85475385-85475396(-)::chr12:85475384-85475396(-) AGAATGAAGTAG >mm10_chr12:85487656-85487667(-)::chr12:85487655-85487667(-) ATCAGGAAGGGT >mm10_chr12:85487678-85487689(-)::chr12:85487677-85487689(-) AGAAGGAAGAAA >mm10_chr12:85489451-85489462(+)::chr12:85489450-85489462(+) AGGAGGAAGCTG >mm10_chr12:85489513-85489524(+)::chr12:85489512-85489524(+) AGGAGGAAGCAC >mm10_chr12:85497476-85497487(-)::chr12:85497475-85497487(-) AGCAGGAAGTTC >mm10_chr12:85497527-85497538(-)::chr12:85497526-85497538(-) ATAAGGAAGGGG >mm10_chr12:85510678-85510689(+)::chr12:85510677-85510689(+) gtgaggaagtga >mm10_chr12:85532697-85532708(-)::chr12:85532696-85532708(-) TTGAGGAAGTCA >mm10_chr12:85542930-85542941(+)::chr12:85542929-85542941(+) atcaggaaggaa >mm10_chr12:85542934-85542945(+)::chr12:85542933-85542945(+) ggaaggaagccc >mm10_chr12:85572148-85572159(-)::chr12:85572147-85572159(-) GGGAGGAAGAAG >mm10_chr12:85575570-85575581(+)::chr12:85575569-85575581(+) TAAAGGAAGCCT >mm10_chr12:85575601-85575612(+)::chr12:85575600-85575612(+) AGCAGGAAGCTC >mm10_chr12:85581682-85581693(-)::chr12:85581681-85581693(-) AGCAGGAAATCA >mm10_chr12:85604506-85604517(-)::chr12:85604505-85604517(-) AGACGGAAGCGA >mm10_chr12:85631269-85631280(+)::chr12:85631268-85631280(+) TAAAGGAAGTGC >mm10_chr12:85631649-85631660(+)::chr12:85631648-85631660(+) ACGAGGAAGAAG >mm10_chr12:85631663-85631674(+)::chr12:85631662-85631674(+) CGAAGGAAAAGG >mm10_chr12:85631721-85631732(+)::chr12:85631720-85631732(+) AGAAGGAACGCA >mm10_chr12:85670342-85670353(-)::chr12:85670341-85670353(-) AGAAGGAAGTTT >mm10_chr12:85690152-85690163(-)::chr12:85690151-85690163(-) AGAAGGATGCGA >mm10_chr12:85690159-85690170(-)::chr12:85690158-85690170(-) AGCAGGAAGAAG >mm10_chr12:85690168-85690179(-)::chr12:85690167-85690179(-) AGGAGGAAGAGC >mm10_chr12:85690175-85690186(-)::chr12:85690174-85690186(-) AGGAGGAAGGAG >mm10_chr12:85705403-85705414(-)::chr12:85705402-85705414(-) AGGAGGAAGTGG >mm10_chr12:85705659-85705670(-)::chr12:85705658-85705670(-) TTCGGGAAGTGA >mm10_chr12:85705905-85705916(-)::chr12:85705904-85705916(-) ACCAGGAAGGCA >mm10_chr12:85754196-85754207(+)::chr12:85754195-85754207(+) ACAAGGAAGCAG >mm10_chr12:85755053-85755064(-)::chr12:85755052-85755064(-) AGAAGGCAGTCA >mm10_chr12:85758863-85758874(+)::chr12:85758862-85758874(+) ACGAGGAAGAGt >mm10_chr12:85761737-85761748(-)::chr12:85761736-85761748(-) GGAAGGAAGTCA >mm10_chr12:85761741-85761752(-)::chr12:85761740-85761752(-) TCAAGGAAGGAA >mm10_chr12:85767963-85767974(-)::chr12:85767962-85767974(-) GGAAGGATGTAG >mm10_chr12:85771611-85771622(+)::chr12:85771610-85771622(+) GCAGGGAAGTGT >mm10_chr12:85785060-85785071(+)::chr12:85785059-85785071(+) AGAAGGAAGAGG >mm10_chr12:85825219-85825230(-)::chr12:85825218-85825230(-) GCAAGGACGCGG >mm10_chr12:85857170-85857181(-)::chr12:85857169-85857181(-) AGGAGGAAGGAG >mm10_chr12:85862703-85862714(-)::chr12:85862702-85862714(-) AGCAGGAAGGAG >mm10_chr12:85872785-85872796(+)::chr12:85872784-85872796(+) ACAAGGAAGTTA >mm10_chr12:85886372-85886383(+)::chr12:85886371-85886383(+) accaggaagagg >mm10_chr12:85886392-85886403(-)::chr12:85886391-85886403(-) cccaggaagttc >mm10_chr12:85908116-85908127(+)::chr12:85908115-85908127(+) TTAAGGAAGGCT >mm10_chr12:85913284-85913295(+)::chr12:85913283-85913295(+) gcaaggaagata >mm10_chr12:85913313-85913324(-)::chr12:85913312-85913324(-) aggaggaagaag >mm10_chr12:85913325-85913336(-)::chr12:85913324-85913336(-) ctcaggaagtaa >mm10_chr12:85928661-85928672(+)::chr12:85928660-85928672(+) atgaggaagtgg >mm10_chr12:85945485-85945496(-)::chr12:85945484-85945496(-) GACAGGAAGAAG >mm10_chr12:85985162-85985173(-)::chr12:85985161-85985173(-) AACAGGAAGTAG >mm10_chr12:85985185-85985196(+)::chr12:85985184-85985196(+) CCAAGGAAGAAC >mm10_chr12:85989083-85989094(-)::chr12:85989082-85989094(-) AAAAGGAAGTGT >mm10_chr12:85989090-85989101(-)::chr12:85989089-85989101(-) ACAAGGAAAAAG >mm10_chr12:86023551-86023562(+)::chr12:86023550-86023562(+) GACAGGAAGAAG >mm10_chr12:86023558-86023569(+)::chr12:86023557-86023569(+) AGAAGGAAGCCA >mm10_chr12:86037494-86037505(+)::chr12:86037493-86037505(+) TGAAGGAAGACT >mm10_chr12:86057082-86057093(+)::chr12:86057081-86057093(+) AGAAGGAAGGCA >mm10_chr12:86064938-86064949(+)::chr12:86064937-86064949(+) AAAAGGAAGTGA >mm10_chr12:86074946-86074957(+)::chr12:86074945-86074957(+) ACAAGGAAATGG >mm10_chr12:86076316-86076327(-)::chr12:86076315-86076327(-) TCAAGGAAGATG >mm10_chr12:86076355-86076366(-)::chr12:86076354-86076366(-) GAAAGGAACGGG >mm10_chr12:86080908-86080919(+)::chr12:86080907-86080919(+) CCAAGGAAGAAG >mm10_chr12:86102903-86102914(-)::chr12:86102902-86102914(-) AGGAGGAAGGCG >mm10_chr12:86107816-86107827(+)::chr12:86107815-86107827(+) AGCAGGAAGGAG >mm10_chr12:86199889-86199900(-)::chr12:86199888-86199900(-) agcaggaagcag >mm10_chr12:86199896-86199907(-)::chr12:86199895-86199907(-) agcaggaagcag >mm10_chr12:86199903-86199914(-)::chr12:86199902-86199914(-) agcaggaagcag >mm10_chr12:86241720-86241731(-)::chr12:86241719-86241731(-) ACTAGGAAGTGG >mm10_chr12:86241756-86241767(+)::chr12:86241755-86241767(+) GAGCGGAAGTGG >mm10_chr12:86242790-86242801(+)::chr12:86242789-86242801(+) TTGAGGAAGTTT >mm10_chr12:86295292-86295303(+)::chr12:86295291-86295303(+) AGCAGGAAGTGA >mm10_chr12:86347078-86347089(-)::chr12:86347077-86347089(-) AGAAGGAAGAGC >mm10_chr12:86347113-86347124(-)::chr12:86347112-86347124(-) AGAAGGAAGGAA >mm10_chr12:86466440-86466451(-)::chr12:86466439-86466451(-) ggaaggaagTGC >mm10_chr12:86474244-86474255(-)::chr12:86474243-86474255(-) TCAAGGAAGTTT >mm10_chr12:86482297-86482308(-)::chr12:86482296-86482308(-) AGGAGGAAGATC >mm10_chr12:86483166-86483177(-)::chr12:86483165-86483177(-) GGAAGGAAGTTT >mm10_chr12:86484770-86484781(+)::chr12:86484769-86484781(+) AAGAGGAAGTGG >mm10_chr12:86612785-86612796(+)::chr12:86612784-86612796(+) ATCAGGAAGCTA >mm10_chr12:86621647-86621658(-)::chr12:86621646-86621658(-) AGCAGGAAGAAG >mm10_chr12:86652646-86652657(-)::chr12:86652645-86652657(-) GGGAGGAAGTCC >mm10_chr12:86652670-86652681(+)::chr12:86652669-86652681(+) TGGAGGAAGGCA >mm10_chr12:86659921-86659932(-)::chr12:86659920-86659932(-) agaaggaaggtt >mm10_chr12:86683995-86684006(+)::chr12:86683994-86684006(+) AGAGGGAAGAAA >mm10_chr12:86684036-86684047(-)::chr12:86684035-86684047(-) GGAAGGAAGGGT >mm10_chr12:86684040-86684051(-)::chr12:86684039-86684051(-) TGTAGGAAGGAA >mm10_chr12:86687988-86687999(+)::chr12:86687987-86687999(+) AAGAGGAAATGA >mm10_chr12:86688025-86688036(-)::chr12:86688024-86688036(-) ATCAGGAAGTAG >mm10_chr12:86716996-86717007(+)::chr12:86716995-86717007(+) AGTAGGAAGTCT >mm10_chr12:86717033-86717044(+)::chr12:86717032-86717044(+) ACCAGGAAATGT >mm10_chr12:86726948-86726959(-)::chr12:86726947-86726959(-) ATGAGGAAGAGC >mm10_chr12:86776301-86776312(+)::chr12:86776300-86776312(+) AGAAGGAATTGA >mm10_chr12:86776580-86776591(+)::chr12:86776579-86776591(+) AGGAGGAAGGAC >mm10_chr12:86781911-86781922(-)::chr12:86781910-86781922(-) AGAAGGAAATCC >mm10_chr12:86794702-86794713(+)::chr12:86794701-86794713(+) agaaggaaggaa >mm10_chr12:86794706-86794717(+)::chr12:86794705-86794717(+) ggaaggaagtca >mm10_chr12:86801539-86801550(-)::chr12:86801538-86801550(-) AGAAGGAAAAAT >mm10_chr12:86811285-86811296(-)::chr12:86811284-86811296(-) aagaggaagggc >mm10_chr12:86825298-86825309(+)::chr12:86825297-86825309(+) ACTAGGAAGGAG >mm10_chr12:86825673-86825684(+)::chr12:86825672-86825684(+) gagaggaagtgt >mm10_chr12:86826785-86826796(-)::chr12:86826784-86826796(-) TCCAGGAAGTGC >mm10_chr12:86832395-86832406(+)::chr12:86832394-86832406(+) GGCAGGAAATGA >mm10_chr12:86832416-86832427(-)::chr12:86832415-86832427(-) GGAAGGAAGAGC >mm10_chr12:86834101-86834112(+)::chr12:86834100-86834112(+) ACAGGGAAATAA >mm10_chr12:86842639-86842650(+)::chr12:86842638-86842650(+) tggaggaagtgt >mm10_chr12:86842971-86842982(-)::chr12:86842970-86842982(-) GAACGGAAGATG >mm10_chr12:86842976-86842987(-)::chr12:86842975-86842987(-) ACGAGGAACGGA >mm10_chr12:86842983-86842994(-)::chr12:86842982-86842994(-) ACAAGGAACGAG >mm10_chr12:86848931-86848942(-)::chr12:86848930-86848942(-) agaaggaaggga >mm10_chr12:86848938-86848949(-)::chr12:86848937-86848949(-) aggaggaagaag >mm10_chr12:86850858-86850869(+)::chr12:86850857-86850869(+) TTCAGGAAGTGT >mm10_chr12:86871899-86871910(+)::chr12:86871898-86871910(+) AGAAGGAAATGG >mm10_chr12:86872523-86872534(-)::chr12:86872522-86872534(-) TGAAGGAATTAA >mm10_chr12:86879528-86879539(-)::chr12:86879527-86879539(-) AGCAGGAAGGTG >mm10_chr12:86879562-86879573(-)::chr12:86879561-86879573(-) TGGAGGAAATGA >mm10_chr12:86884882-86884893(-)::chr12:86884881-86884893(-) CAGAGGAAGTGT >mm10_chr12:86888847-86888858(-)::chr12:86888846-86888858(-) TCCAGGAAGAAA >mm10_chr12:86888912-86888923(-)::chr12:86888911-86888923(-) GGCAGGAACTAG >mm10_chr12:86889056-86889067(+)::chr12:86889055-86889067(+) TAGAGGAAGAGG >mm10_chr12:86889142-86889153(+)::chr12:86889141-86889153(+) GAGAGGAAGGAA >mm10_chr12:86927457-86927468(-)::chr12:86927456-86927468(-) tgcaggaagggt >mm10_chr12:86927507-86927518(-)::chr12:86927506-86927518(-) atgaggaagcat >mm10_chr12:86927521-86927532(+)::chr12:86927520-86927532(+) actaggaagaag >mm10_chr12:86931775-86931786(-)::chr12:86931774-86931786(-) aggaggaagagg >mm10_chr12:86931790-86931801(-)::chr12:86931789-86931801(-) aggaggaaggag >mm10_chr12:86936750-86936761(-)::chr12:86936749-86936761(-) accaggatgtac >mm10_chr12:86946650-86946661(-)::chr12:86946649-86946661(-) TAAGGGAAGTGT >mm10_chr12:87266644-87266655(+)::chr12:87266643-87266655(+) GAACGGAAGTAC >mm10_chr12:87266674-87266685(+)::chr12:87266673-87266685(+) AGCCGGAAGTTA >mm10_chr12:87283669-87283680(+)::chr12:87283668-87283680(+) AGCAGGAAGAAA >mm10_chr12:87321598-87321609(+)::chr12:87321597-87321609(+) acacggaagaac >mm10_chr12:87330444-87330455(+)::chr12:87330443-87330455(+) AGAAGGAAAAGC >mm10_chr12:87330483-87330494(+)::chr12:87330482-87330494(+) GAGAGGAAGTAG >mm10_chr12:87332065-87332076(+)::chr12:87332064-87332076(+) AGGAGGAAGGAA >mm10_chr12:87332069-87332080(+)::chr12:87332068-87332080(+) GGAAGGAAACGG >mm10_chr12:87387353-87387364(-)::chr12:87387352-87387364(-) AAAAGGAAGCTG >mm10_chr12:87387380-87387391(+)::chr12:87387379-87387391(+) TACAGGAAGCAT >mm10_chr12:87393607-87393618(+)::chr12:87393606-87393618(+) TTCAGGAAGCGG >mm10_chr12:87407613-87407624(+)::chr12:87407612-87407624(+) ATAAGGAAGAAC >mm10_chr12:87407633-87407644(+)::chr12:87407632-87407644(+) AAGAGGAAGGAA >mm10_chr12:87407637-87407648(+)::chr12:87407636-87407648(+) GGAAGGAAGGGA >mm10_chr12:87408022-87408033(+)::chr12:87408021-87408033(+) ATGAGGAAGGAA >mm10_chr12:87408026-87408037(+)::chr12:87408025-87408037(+) GGAAGGAAATCA >mm10_chr12:87419811-87419822(-)::chr12:87419810-87419822(-) TGCAGGAAGCAG >mm10_chr12:87472271-87472282(-)::chr12:87472270-87472282(-) CGCAGGAAGAAG >mm10_chr12:88367756-88367767(+)::chr12:88367755-88367767(+) ATAAGGAAGAAC >mm10_chr12:88428913-88428924(-)::chr12:88428912-88428924(-) ACAGGGAAGTGG >mm10_chr12:88603625-88603636(-)::chr12:88603624-88603636(-) taaaggaagttg >mm10_chr12:88840720-88840731(-)::chr12:88840719-88840731(-) AGAAGGAAGATC >mm10_chr12:88840746-88840757(+)::chr12:88840745-88840757(+) TGCAGGAAGTGT >mm10_chr12:88985091-88985102(+)::chr12:88985090-88985102(+) GGGAGGAAGTAT >mm10_chr12:89586217-89586228(-)::chr12:89586216-89586228(-) TAAAGGAAGAAA >mm10_chr12:89939453-89939464(-)::chr12:89939452-89939464(-) cccaggaagtct >mm10_chr12:89950203-89950214(-)::chr12:89950202-89950214(-) TCAAGGAAGAAA >mm10_chr12:90608191-90608202(+)::chr12:90608190-90608202(+) AAGAGGAAGCAG >mm10_chr12:90648452-90648463(+)::chr12:90648451-90648463(+) aagaggaagttg >mm10_chr12:90717634-90717645(-)::chr12:90717633-90717645(-) aacaggaaatga >mm10_chr12:90729749-90729760(+)::chr12:90729748-90729760(+) AACAGGAAGTCA >mm10_chr12:90774177-90774188(-)::chr12:90774176-90774188(-) aagAGGAAGTTA >mm10_chr12:90850150-90850161(-)::chr12:90850149-90850161(-) GAGAGGAAGAAA >mm10_chr12:90917442-90917453(+)::chr12:90917441-90917453(+) agaaggaaatga >mm10_chr12:90917483-90917494(-)::chr12:90917482-90917494(-) agaaggaagtct >mm10_chr12:90921420-90921431(-)::chr12:90921419-90921431(-) GCAAGGAAATGT >mm10_chr12:90955419-90955430(+)::chr12:90955418-90955430(+) GAAAGGAACACG >mm10_chr12:90955431-90955442(+)::chr12:90955430-90955442(+) TTCAGGAAGTAT >mm10_chr12:91055431-91055442(+)::chr12:91055430-91055442(+) agagggaaggga >mm10_chr12:91055460-91055471(+)::chr12:91055459-91055471(+) atgaggaagaga >mm10_chr12:91057222-91057233(+)::chr12:91057221-91057233(+) AGCAGGAAGTCA >mm10_chr12:91081290-91081301(-)::chr12:91081289-91081301(-) AGCAGGAAGGGA >mm10_chr12:91269267-91269278(-)::chr12:91269266-91269278(-) AACAGGAAGGAA >mm10_chr12:91312748-91312759(+)::chr12:91312747-91312759(+) aacaggaagtac >mm10_chr12:91384496-91384507(+)::chr12:91384495-91384507(+) AACAGGAAATGA >mm10_chr12:91396689-91396700(+)::chr12:91396688-91396700(+) tgcaggaaatat >mm10_chr12:91396806-91396817(-)::chr12:91396805-91396817(-) agaaggaactag >mm10_chr12:91423355-91423366(+)::chr12:91423354-91423366(+) ACCAGGAAGTCT >mm10_chr12:91476592-91476603(+)::chr12:91476591-91476603(+) AGCAGGAAGTGG >mm10_chr12:91543378-91543389(-)::chr12:91543377-91543389(-) AGCAGGAAGTAG >mm10_chr12:91545412-91545423(+)::chr12:91545411-91545423(+) ACCAGGAAGTTA >mm10_chr12:91565060-91565071(+)::chr12:91565059-91565071(+) cagaggaagttt >mm10_chr12:91565109-91565120(+)::chr12:91565108-91565120(+) gacaggacgtat >mm10_chr12:91590587-91590598(+)::chr12:91590586-91590598(+) AGGAGGAAGTTC >mm10_chr12:91665700-91665711(-)::chr12:91665699-91665711(-) ACAAGGAAGTTG >mm10_chr12:91666778-91666789(+)::chr12:91666777-91666789(+) GCAAGGAAGAGA >mm10_chr12:91666789-91666800(-)::chr12:91666788-91666800(-) AAAGGGAAGTGT >mm10_chr12:91666805-91666816(+)::chr12:91666804-91666816(+) AAGAGGAAGTGA >mm10_chr12:91676148-91676159(-)::chr12:91676147-91676159(-) TAGAGGAAGTAT >mm10_chr12:91676350-91676361(+)::chr12:91676349-91676361(+) ATAAGGAAGAGA >mm10_chr12:91681466-91681477(+)::chr12:91681465-91681477(+) AGAAGGAAATGG >mm10_chr12:91684062-91684073(+)::chr12:91684061-91684073(+) AACAGGAAGAGT >mm10_chr12:91684457-91684468(+)::chr12:91684456-91684468(+) ATAAGGAAGCAA >mm10_chr12:91686281-91686292(-)::chr12:91686280-91686292(-) TTCAGGAAGTAA >mm10_chr12:91702935-91702946(-)::chr12:91702934-91702946(-) aaaaggaagttt >mm10_chr12:91703745-91703756(+)::chr12:91703744-91703756(+) ATCAGGAAGGGA >mm10_chr12:91735790-91735801(-)::chr12:91735789-91735801(-) AGAAGGAAGGCT >mm10_chr12:91735833-91735844(+)::chr12:91735832-91735844(+) CAAGGGAAGTAG >mm10_chr12:91788407-91788418(-)::chr12:91788406-91788418(-) agcaggaagtcc >mm10_chr12:91788433-91788444(+)::chr12:91788432-91788444(+) tcaaggaaattc >mm10_chr12:91849122-91849133(-)::chr12:91849121-91849133(-) AGCAGGAAGAgc >mm10_chr12:92049298-92049309(-)::chr12:92049297-92049309(-) TTAAGGAAGCTA >mm10_chr12:92303428-92303439(+)::chr12:92303427-92303439(+) AGGAGGAAGCAC >mm10_chr12:92624504-92624515(+)::chr12:92624503-92624515(+) ACTAGGAAGTTT >mm10_chr12:92718329-92718340(-)::chr12:92718328-92718340(-) TACAGGAAGTTG >mm10_chr12:92738113-92738124(-)::chr12:92738112-92738124(-) AGCAGGAAGCAG >mm10_chr12:92754978-92754989(-)::chr12:92754977-92754989(-) AGGAGGAAGTGA >mm10_chr12:92754985-92754996(-)::chr12:92754984-92754996(-) TGCAGGAAGGAG >mm10_chr12:93113737-93113748(+)::chr12:93113736-93113748(+) gtaaggaagcaa >mm10_chr12:93213863-93213874(+)::chr12:93213862-93213874(+) ATAAGGAAGAGG >mm10_chr12:93273000-93273011(+)::chr12:93272999-93273011(+) acaaggaagcat >mm10_chr12:93658460-93658471(+)::chr12:93658459-93658471(+) ATAATGAAGTGT >mm10_chr12:94024493-94024504(-)::chr12:94024492-94024504(-) TTAAGGAAATAA >mm10_chr12:95315761-95315772(-)::chr12:95315760-95315772(-) tACAGGAAGAAA >mm10_chr12:95669532-95669543(+)::chr12:95669531-95669543(+) ctcaggaagtgg >mm10_chr12:95753868-95753879(-)::chr12:95753867-95753879(-) ggaaggaattac >mm10_chr12:95753872-95753883(-)::chr12:95753871-95753883(-) ttaaggaaggaa >mm10_chr12:95753885-95753896(-)::chr12:95753884-95753896(-) aaacggaagtaa >mm10_chr12:96145734-96145745(+)::chr12:96145733-96145745(+) gggaggaagcat >mm10_chr12:96813172-96813183(-)::chr12:96813171-96813183(-) cccaggaagtga >mm10_chr12:97293934-97293945(+)::chr12:97293933-97293945(+) GCAAGGTAGTTA >mm10_chr12:98050687-98050698(+)::chr12:98050686-98050698(+) AGCAGGAAGCTA >mm10_chr12:98215629-98215640(+)::chr12:98215628-98215640(+) TGAAGGAAATTG >mm10_chr12:98215688-98215699(+)::chr12:98215687-98215699(+) AAAAGGAAATCT >mm10_chr12:98224057-98224068(+)::chr12:98224056-98224068(+) ACCAGGaagtat >mm10_chr12:98224697-98224708(+)::chr12:98224696-98224708(+) TGAaggaaataa >mm10_chr12:98224735-98224746(+)::chr12:98224734-98224746(+) cgaaggaagaag >mm10_chr12:98224742-98224753(+)::chr12:98224741-98224753(+) agaaggaagaat >mm10_chr12:98242682-98242693(+)::chr12:98242681-98242693(+) GCAAGGAAGAGG >mm10_chr12:98242688-98242699(+)::chr12:98242687-98242699(+) AAGAGGAAATCG >mm10_chr12:98261330-98261341(-)::chr12:98261329-98261341(-) agaaggaagtaa >mm10_chr12:98283804-98283815(+)::chr12:98283803-98283815(+) ACCAGGAAGTAG >mm10_chr12:98342195-98342206(+)::chr12:98342194-98342206(+) CTAAGGAAGCTG >mm10_chr12:98424470-98424481(-)::chr12:98424469-98424481(-) GTAAGGATGTGG >mm10_chr12:98668681-98668692(+)::chr12:98668680-98668692(+) AGCAGGAAGGAT >mm10_chr12:98674770-98674781(+)::chr12:98674769-98674781(+) TCCAGGAAGAGG >mm10_chr12:98703773-98703784(+)::chr12:98703772-98703784(+) AAACGGAAGTTT >mm10_chr12:98714725-98714736(-)::chr12:98714724-98714736(-) ATAAGGAAACGG >mm10_chr12:98752157-98752168(-)::chr12:98752156-98752168(-) ATAAGGAAGCAA >mm10_chr12:98850752-98850763(-)::chr12:98850751-98850763(-) aggaggaagaga >mm10_chr12:98850764-98850775(-)::chr12:98850763-98850775(-) aggaggaagaag >mm10_chr12:98919432-98919443(-)::chr12:98919431-98919443(-) TGACGGAAGACA >mm10_chr12:98966133-98966144(-)::chr12:98966132-98966144(-) ttcaggaagtcc >mm10_chr12:99096905-99096916(+)::chr12:99096904-99096916(+) ATGCGGAAGTAA >mm10_chr12:99096919-99096930(+)::chr12:99096918-99096930(+) TTAAGGAAGGAC >mm10_chr12:99149306-99149317(+)::chr12:99149305-99149317(+) atcaggaagcta >mm10_chr12:99150277-99150288(+)::chr12:99150276-99150288(+) AAAAGGAAGTGC >mm10_chr12:99320044-99320055(+)::chr12:99320043-99320055(+) tgaaggaactta >mm10_chr12:99320054-99320065(+)::chr12:99320053-99320065(+) tacaggaaggaa >mm10_chr12:99320058-99320069(+)::chr12:99320057-99320069(+) ggaaggaaatac >mm10_chr12:99332120-99332131(+)::chr12:99332119-99332131(+) ACAAGGAAGCAA >mm10_chr12:99332124-99332135(+)::chr12:99332123-99332135(+) GGAAGCAAGTGT >mm10_chr12:99332143-99332154(+)::chr12:99332142-99332154(+) ACGAGGAGGTAA >mm10_chr12:99333630-99333641(-)::chr12:99333629-99333641(-) AGCAGGAAGAGA >mm10_chr12:99374554-99374565(+)::chr12:99374553-99374565(+) GGCAGGAAGGAG >mm10_chr12:99497139-99497150(-)::chr12:99497138-99497150(-) AACAGGAAGTCT >mm10_chr12:99497173-99497184(+)::chr12:99497172-99497184(+) AACAGGAAGAAT >mm10_chr12:99518852-99518863(+)::chr12:99518851-99518863(+) ACCAGGAAATGA >mm10_chr12:99536123-99536134(-)::chr12:99536122-99536134(-) agcaggaagctg >mm10_chr12:99649487-99649498(-)::chr12:99649486-99649498(-) GCGAGGAAGAGA >mm10_chr12:99685783-99685794(-)::chr12:99685782-99685794(-) acaaggaagaac >mm10_chr12:99685810-99685821(-)::chr12:99685809-99685821(-) aacaggaagcat >mm10_chr12:99721288-99721299(+)::chr12:99721287-99721299(+) ATGAGGAAATTG >mm10_chr12:99725196-99725207(+)::chr12:99725195-99725207(+) acgaggaagaag >mm10_chr12:99737098-99737109(+)::chr12:99737097-99737109(+) ATAAGGAACTGC >mm10_chr12:99737135-99737146(-)::chr12:99737134-99737146(-) GCAGGGAAGTCA >mm10_chr12:99795193-99795204(-)::chr12:99795192-99795204(-) gtaaggaactga >mm10_chr12:99796093-99796104(-)::chr12:99796092-99796104(-) AGGAGGAAGAGA >mm10_chr12:99796114-99796125(-)::chr12:99796113-99796125(-) AAAGGGAAGTGC >mm10_chr12:99956121-99956132(-)::chr12:99956120-99956132(-) agagggaagaaa >mm10_chr12:99956128-99956139(-)::chr12:99956127-99956139(-) agaaggaagagg >mm10_chr12:99956135-99956146(-)::chr12:99956134-99956146(-) gggaggaagaag >mm10_chr12:99956150-99956161(-)::chr12:99956149-99956161(-) aagaggaaggag >mm10_chr12:99956156-99956167(-)::chr12:99956155-99956167(-) agaaggaagagg >mm10_chr12:99956163-99956174(-)::chr12:99956162-99956174(-) agaaggaagaag >mm10_chr12:99956172-99956183(-)::chr12:99956171-99956183(-) aggaggaagaga >mm10_chr12:99956182-99956193(-)::chr12:99956181-99956193(-) aggaggaagaag >mm10_chr12:100084237-100084248(+)::chr12:100084236-100084248(+) AGCAGGAAGTCG >mm10_chr12:100084518-100084529(-)::chr12:100084517-100084529(-) TACAGGAAATGG >mm10_chr12:100110079-100110090(+)::chr12:100110078-100110090(+) GTGAGGAAGTTG >mm10_chr12:100110137-100110148(-)::chr12:100110136-100110148(-) GAACGGAAGTAA >mm10_chr12:100159860-100159871(+)::chr12:100159859-100159871(+) AAGAGGAAGTTG >mm10_chr12:100204071-100204082(+)::chr12:100204070-100204082(+) AGGAGGAAGAGC >mm10_chr12:100212338-100212349(+)::chr12:100212337-100212349(+) tcaaggaagaag >mm10_chr12:100270474-100270485(+)::chr12:100270473-100270485(+) aagaggaaggat >mm10_chr12:100270478-100270489(+)::chr12:100270477-100270489(+) ggaaggatgttg >mm10_chr12:100276039-100276050(+)::chr12:100276038-100276050(+) GGAAGGAAGAGA >mm10_chr12:100282443-100282454(+)::chr12:100282442-100282454(+) GGAAGGAAGAGA >mm10_chr12:100288186-100288197(+)::chr12:100288185-100288197(+) TCCAGGAAGTGT >mm10_chr12:100318139-100318150(+)::chr12:100318138-100318150(+) TGGAGGAAGTCC >mm10_chr12:100328653-100328664(-)::chr12:100328652-100328664(-) AGCAGGAAGCAA >mm10_chr12:100358245-100358256(-)::chr12:100358244-100358256(-) ACAAGGAAACAT >mm10_chr12:100392584-100392595(-)::chr12:100392583-100392595(-) ACAAGGAAGGTA >mm10_chr12:100398901-100398912(-)::chr12:100398900-100398912(-) GAAAGGAAGTGG >mm10_chr12:100439317-100439328(-)::chr12:100439316-100439328(-) agcaggaagttg >mm10_chr12:100439371-100439382(-)::chr12:100439370-100439382(-) agcaggaagctg >mm10_chr12:100439601-100439612(+)::chr12:100439600-100439612(+) AAGAGGAAGAGC >mm10_chr12:100439644-100439655(-)::chr12:100439643-100439655(-) AGAAGGAAGTGC >mm10_chr12:100474929-100474940(+)::chr12:100474928-100474940(+) TCAAGGAAGGTG >mm10_chr12:100496195-100496206(+)::chr12:100496194-100496206(+) GGCAGGAAGGTC >mm10_chr12:100505884-100505895(+)::chr12:100505883-100505895(+) Gggaggaagagg >mm10_chr12:100505890-100505901(+)::chr12:100505889-100505901(+) aagaggaagatg >mm10_chr12:100505902-100505913(+)::chr12:100505901-100505913(+) aggaggaagaag >mm10_chr12:100505915-100505926(+)::chr12:100505914-100505926(+) aggaggaaggaa >mm10_chr12:100505919-100505930(+)::chr12:100505918-100505930(+) ggaaggaagaag >mm10_chr12:100505928-100505939(+)::chr12:100505927-100505939(+) aagaggaagaga >mm10_chr12:100505950-100505961(+)::chr12:100505949-100505961(+) agaaggaagaag >mm10_chr12:100505959-100505970(+)::chr12:100505958-100505970(+) aagaggaagagg >mm10_chr12:100525009-100525020(+)::chr12:100525008-100525020(+) ACACGGAAGTGG >mm10_chr12:100531009-100531020(+)::chr12:100531008-100531020(+) agcaggaagctg >mm10_chr12:100531065-100531076(+)::chr12:100531064-100531076(+) aacaggaagtgg >mm10_chr12:100534158-100534169(+)::chr12:100534157-100534169(+) GGCAGGAAGTCA >mm10_chr12:100538635-100538646(+)::chr12:100538634-100538646(+) ACCAGGAAGGAA >mm10_chr12:100538639-100538650(+)::chr12:100538638-100538650(+) GGAAGGAACCGG >mm10_chr12:100539342-100539353(-)::chr12:100539341-100539353(-) CAAAGGAAATGT >mm10_chr12:100539378-100539389(-)::chr12:100539377-100539389(-) TGGAGGAAATAA >mm10_chr12:100568304-100568315(-)::chr12:100568303-100568315(-) AGGAGGAAGTAA >mm10_chr12:100639044-100639055(+)::chr12:100639043-100639055(+) aggaggaagagt >mm10_chr12:100655775-100655786(+)::chr12:100655774-100655786(+) aggaggaagagg >mm10_chr12:100655784-100655795(+)::chr12:100655783-100655795(+) aggaggaaggag >mm10_chr12:100680224-100680235(-)::chr12:100680223-100680235(-) aagaggaagagg >mm10_chr12:100680230-100680241(-)::chr12:100680229-100680241(-) aggaggaagagg >mm10_chr12:100680245-100680256(-)::chr12:100680244-100680256(-) aggaggaagaag >mm10_chr12:100680260-100680271(-)::chr12:100680259-100680271(-) aagaggaagagg >mm10_chr12:100729144-100729155(-)::chr12:100729143-100729155(-) AAGAGGAAATGA >mm10_chr12:100729164-100729175(+)::chr12:100729163-100729175(+) AACAGGAAGAAC >mm10_chr12:100764830-100764841(+)::chr12:100764829-100764841(+) AAAAGGAAGGGC >mm10_chr12:100764850-100764861(+)::chr12:100764849-100764861(+) AGCAGGAAGTAG >mm10_chr12:100776086-100776097(+)::chr12:100776085-100776097(+) AGAAGGAAGCTG >mm10_chr12:100776531-100776542(+)::chr12:100776530-100776542(+) AGCAGGAAGGTT >mm10_chr12:100808625-100808636(-)::chr12:100808624-100808636(-) TCCAGGAAGAAC >mm10_chr12:100839457-100839468(-)::chr12:100839456-100839468(-) AGGAGGAAGGGC >mm10_chr12:100903759-100903770(-)::chr12:100903758-100903770(-) GGGAGGAAGTAG >mm10_chr12:100903811-100903822(-)::chr12:100903810-100903822(-) GACAGGAAGCAT >mm10_chr12:100937595-100937606(-)::chr12:100937594-100937606(-) GGAAGGAAATAG >mm10_chr12:100937651-100937662(+)::chr12:100937650-100937662(+) AAGAGGAAATGT >mm10_chr12:100955254-100955265(+)::chr12:100955253-100955265(+) ACAGGGAAGTGC >mm10_chr12:100973332-100973343(+)::chr12:100973331-100973343(+) AACAGGAAGCCA >mm10_chr12:101003361-101003372(-)::chr12:101003360-101003372(-) AGAAGGAAGCTG >mm10_chr12:101009382-101009393(+)::chr12:101009381-101009393(+) aggaggaaatac >mm10_chr12:101009427-101009438(-)::chr12:101009426-101009438(-) aaatggaagtgg >mm10_chr12:101009446-101009457(-)::chr12:101009445-101009457(-) ttcaggaagtag >mm10_chr12:101016112-101016123(-)::chr12:101016111-101016123(-) AGCAGGAAGTCA >mm10_chr12:101083397-101083408(-)::chr12:101083396-101083408(-) GTAGGGAAGGCG >mm10_chr12:101083416-101083427(-)::chr12:101083415-101083427(-) AGACGGAAGAGG >mm10_chr12:101083423-101083434(-)::chr12:101083422-101083434(-) GTGAGGAAGACG >mm10_chr12:101103037-101103048(-)::chr12:101103036-101103048(-) ACCAGGAAGAGA >mm10_chr12:101680900-101680911(-)::chr12:101680899-101680911(-) ACCAGGAAGTGC >mm10_chr12:101709160-101709171(-)::chr12:101709159-101709171(-) AGAAGGAAGTAT >mm10_chr12:101744079-101744090(+)::chr12:101744078-101744090(+) AGACGGAAGAGA >mm10_chr12:101747629-101747640(+)::chr12:101747628-101747640(+) ATTAGGAAGTGT >mm10_chr12:101752044-101752055(-)::chr12:101752043-101752055(-) AGGAGGAAATTG >mm10_chr12:101752097-101752108(-)::chr12:101752096-101752108(-) ACAAGGAGGTAC >mm10_chr12:101764960-101764971(+)::chr12:101764959-101764971(+) ACCAGGAAGGTA >mm10_chr12:101764988-101764999(+)::chr12:101764987-101764999(+) CTCAGGAAGTGA >mm10_chr12:101767948-101767959(+)::chr12:101767947-101767959(+) ACAAGGAAATGG >mm10_chr12:101773183-101773194(+)::chr12:101773182-101773194(+) ACCAGGAAGTAA >mm10_chr12:101808341-101808352(+)::chr12:101808340-101808352(+) GTAAGGAAGCAT >mm10_chr12:101811381-101811392(-)::chr12:101811380-101811392(-) ATGAGGAAGTCC >mm10_chr12:101913250-101913261(-)::chr12:101913249-101913261(-) ACGCGGAAGTCA >mm10_chr12:101913289-101913300(+)::chr12:101913288-101913300(+) AAAAGGCAGTGG >mm10_chr12:101913726-101913737(+)::chr12:101913725-101913737(+) ACAAGGAATTGG >mm10_chr12:101913758-101913769(+)::chr12:101913757-101913769(+) GTAAGGAAGACA >mm10_chr12:102173911-102173922(+)::chr12:102173910-102173922(+) agcaggaagctg >mm10_chr12:102173927-102173938(+)::chr12:102173926-102173938(+) agcaggaagtag >mm10_chr12:102173974-102173985(-)::chr12:102173973-102173985(-) tgaaggaagtac >mm10_chr12:102262465-102262476(+)::chr12:102262464-102262476(+) AGCAGGAAGTAT >mm10_chr12:102283121-102283132(-)::chr12:102283120-102283132(-) CGCAGGAAGAGG >mm10_chr12:102283150-102283161(+)::chr12:102283149-102283161(+) TCCAGGAAGTGG >mm10_chr12:102320165-102320176(-)::chr12:102320164-102320176(-) taaaggaagtag >mm10_chr12:102320185-102320196(-)::chr12:102320184-102320196(-) attaggaagtgt >mm10_chr12:102326337-102326348(-)::chr12:102326336-102326348(-) ACGAGGAAGCAA >mm10_chr12:102327043-102327054(+)::chr12:102327042-102327054(+) AGAAGGAAGTCC >mm10_chr12:102430169-102430180(-)::chr12:102430168-102430180(-) aggaggaagggg >mm10_chr12:102445625-102445636(-)::chr12:102445624-102445636(-) TGCAGGAAATGA >mm10_chr12:102517792-102517803(+)::chr12:102517791-102517803(+) ACCAGGAAGAAA >mm10_chr12:102517839-102517850(-)::chr12:102517838-102517850(-) ATGAGGAAGAAA >mm10_chr12:102582781-102582792(+)::chr12:102582780-102582792(+) ACCAGGAAGAAA >mm10_chr12:102582829-102582840(+)::chr12:102582828-102582840(+) GTAAGGAAGGAC >mm10_chr12:102602382-102602393(+)::chr12:102602381-102602393(+) ATAAGGAAAATT >mm10_chr12:102602430-102602441(-)::chr12:102602429-102602441(-) GGCAGGAAGTGT >mm10_chr12:102621347-102621358(+)::chr12:102621346-102621358(+) tggaggaagtgt >mm10_chr12:102621379-102621390(+)::chr12:102621378-102621390(+) agaaggaagtgc >mm10_chr12:102624076-102624087(-)::chr12:102624075-102624087(-) ATCAGGAAGCGT >mm10_chr12:102632434-102632445(-)::chr12:102632433-102632445(-) ACCAGGAAGTAG >mm10_chr12:102641826-102641837(-)::chr12:102641825-102641837(-) CAGAGGAAGTGT >mm10_chr12:102641892-102641903(+)::chr12:102641891-102641903(+) GTAAGGAAATAC >mm10_chr12:102643499-102643510(+)::chr12:102643498-102643510(+) AGCAGGAAGTGG >mm10_chr12:102646722-102646733(+)::chr12:102646721-102646733(+) aaaaggaagggg >mm10_chr12:102646956-102646967(+)::chr12:102646955-102646967(+) AGGAGGAAGAGG >mm10_chr12:102647914-102647925(-)::chr12:102647913-102647925(-) TTTAGGAAGTGG >mm10_chr12:102673701-102673712(+)::chr12:102673700-102673712(+) AACAGGAAGAGA >mm10_chr12:102673715-102673726(-)::chr12:102673714-102673726(-) TCACGGAAGTCA >mm10_chr12:102697730-102697741(-)::chr12:102697729-102697741(-) AGGAGGAAGGGA >mm10_chr12:102697737-102697748(-)::chr12:102697736-102697748(-) AGGAGGAAGGAG >mm10_chr12:102704759-102704770(-)::chr12:102704758-102704770(-) AGAAGAAAGTCA >mm10_chr12:102704817-102704828(-)::chr12:102704816-102704828(-) AGGAGGAAGAAG >mm10_chr12:102705357-102705368(+)::chr12:102705356-102705368(+) AGGAGGAAGCGC >mm10_chr12:102723881-102723892(+)::chr12:102723880-102723892(+) aaaaggaagata >mm10_chr12:102730532-102730543(-)::chr12:102730531-102730543(-) agcaggaagtaa >mm10_chr12:102731236-102731247(-)::chr12:102731235-102731247(-) AAAAGGAAGGTG >mm10_chr12:102831700-102831711(-)::chr12:102831699-102831711(-) TAAAGCAAGTGA >mm10_chr12:102833018-102833029(-)::chr12:102833017-102833029(-) GCCAGGAAGTTT >mm10_chr12:102872344-102872355(-)::chr12:102872343-102872355(-) agaagGAAACAG >mm10_chr12:102916218-102916229(+)::chr12:102916217-102916229(+) AGGAGGAACTAT >mm10_chr12:103293638-103293649(+)::chr12:103293637-103293649(+) ggcaggaaggaa >mm10_chr12:103293642-103293653(+)::chr12:103293641-103293653(+) ggaaggaactac >mm10_chr12:103425884-103425895(+)::chr12:103425883-103425895(+) CTAAGGAAGGAA >mm10_chr12:103425888-103425899(+)::chr12:103425887-103425899(+) GGAAGGAAGTTC >mm10_chr12:103964962-103964973(-)::chr12:103964961-103964973(-) TGCAGGAAGATA >mm10_chr12:103978646-103978657(-)::chr12:103978645-103978657(-) ACAGGGAAGTTG >mm10_chr12:104003508-104003519(+)::chr12:104003507-104003519(+) AACAGGAAGCTA >mm10_chr12:104042913-104042924(-)::chr12:104042912-104042924(-) cacaggaagtga >mm10_chr12:104273427-104273438(-)::chr12:104273426-104273438(-) AGTAGGAAATGC >mm10_chr12:104440094-104440105(+)::chr12:104440093-104440105(+) GTGAGGAAGGAA >mm10_chr12:104440098-104440109(+)::chr12:104440097-104440109(+) GGAAGGAAATAG >mm10_chr12:104448297-104448308(+)::chr12:104448296-104448308(+) TCCAGGAAGAGA >mm10_chr12:104563127-104563138(+)::chr12:104563126-104563138(+) GAAAGGAAGAAG >mm10_chr12:104614823-104614834(+)::chr12:104614822-104614834(+) AGGAGGAAGGAG >mm10_chr12:104678289-104678300(+)::chr12:104678288-104678300(+) AGGAGGAAGGAG >mm10_chr12:104736973-104736984(-)::chr12:104736972-104736984(-) TCCAGGAAGAAC >mm10_chr12:104749885-104749896(-)::chr12:104749884-104749896(-) CGAGGGAAGTGT >mm10_chr12:104749905-104749916(+)::chr12:104749904-104749916(+) ACCAGGAAGGAG >mm10_chr12:104768255-104768266(+)::chr12:104768254-104768266(+) acaaggaagaag >mm10_chr12:104768805-104768816(+)::chr12:104768804-104768816(+) AGAAGGAAGAGG >mm10_chr12:104768811-104768822(+)::chr12:104768810-104768822(+) AAGAGGAAGAGA >mm10_chr12:104768829-104768840(+)::chr12:104768828-104768840(+) AGAAGGAAGAGG >mm10_chr12:104768835-104768846(+)::chr12:104768834-104768846(+) AAGAGGAAGAGA >mm10_chr12:104776716-104776727(+)::chr12:104776715-104776727(+) ACAAGGAAGCAG >mm10_chr12:104777823-104777834(+)::chr12:104777822-104777834(+) AGCAGGAACTGA >mm10_chr12:104789106-104789117(-)::chr12:104789105-104789117(-) TGGAGGAAGTTA >mm10_chr12:104793778-104793789(-)::chr12:104793777-104793789(-) GGACGGAAGATT >mm10_chr12:104808054-104808065(+)::chr12:104808053-104808065(+) GCAAGGAAGAAT >mm10_chr12:104808106-104808117(+)::chr12:104808105-104808117(+) AGTAGGAAGGGG >mm10_chr12:104812572-104812583(-)::chr12:104812571-104812583(-) GTCAGGAAATAG >mm10_chr12:104833598-104833609(-)::chr12:104833597-104833609(-) ATGAGGAAATAG >mm10_chr12:104846099-104846110(-)::chr12:104846098-104846110(-) TGGAGGAAGTAG >mm10_chr12:104856795-104856806(+)::chr12:104856794-104856806(+) AGAAGGAAGCAG >mm10_chr12:104868847-104868858(+)::chr12:104868846-104868858(+) AAGAGGAAGGAC >mm10_chr12:104868889-104868900(-)::chr12:104868888-104868900(-) AGGAGGAAGTGA >mm10_chr12:104872157-104872168(-)::chr12:104872156-104872168(-) agcaggaaggac >mm10_chr12:104896658-104896669(-)::chr12:104896657-104896669(-) ACAAGGAAGAAA >mm10_chr12:104896700-104896711(-)::chr12:104896699-104896711(-) GGGAGGAAGAAG >mm10_chr12:104899342-104899353(-)::chr12:104899341-104899353(-) AGAAGGAAGAGG >mm10_chr12:104899375-104899386(+)::chr12:104899374-104899386(+) TCCAGGAAGGAC >mm10_chr12:104899383-104899394(-)::chr12:104899382-104899394(-) CTCAGGAAGTCC >mm10_chr12:104906785-104906796(+)::chr12:104906784-104906796(+) gacaggaagaga >mm10_chr12:104936736-104936747(-)::chr12:104936735-104936747(-) TGAAGGAAGTCC >mm10_chr12:104986064-104986075(+)::chr12:104986063-104986075(+) TAGAGGAAGACA >mm10_chr12:104986848-104986859(-)::chr12:104986847-104986859(-) CCCAGGAAGTGG >mm10_chr12:104990907-104990918(+)::chr12:104990906-104990918(+) ATGAGGAAGTGA >mm10_chr12:104990937-104990948(-)::chr12:104990936-104990948(-) AAAAGGAAGCCT >mm10_chr12:104990961-104990972(-)::chr12:104990960-104990972(-) GAAGGGAAGTCC >mm10_chr12:104994157-104994168(-)::chr12:104994156-104994168(-) atgaggaagcca >mm10_chr12:104994211-104994222(-)::chr12:104994210-104994222(-) ataaggaaatgc >mm10_chr12:104997226-104997237(-)::chr12:104997225-104997237(-) GCAAGGAAGCCG >mm10_chr12:105004995-105005006(+)::chr12:105004994-105005006(+) CAGAGGAAGTCA >mm10_chr12:105005294-105005305(-)::chr12:105005293-105005305(-) GAACGGAAGAGA >mm10_chr12:105021931-105021942(-)::chr12:105021930-105021942(-) TGAGGGAAGTGT >mm10_chr12:105100591-105100602(+)::chr12:105100590-105100602(+) AAGAGGAAGAAG >mm10_chr12:105454597-105454608(+)::chr12:105454596-105454608(+) GGAAGGCAGTGT >mm10_chr12:105646089-105646100(-)::chr12:105646088-105646100(-) TTAAGGAAGCCG >mm10_chr12:105691580-105691591(-)::chr12:105691579-105691591(-) AGGAGGAAGAAG >mm10_chr12:105694300-105694311(+)::chr12:105694299-105694311(+) ccaaggaagcca >mm10_chr12:105694336-105694347(+)::chr12:105694335-105694347(+) accaggaagtac >mm10_chr12:105731033-105731044(-)::chr12:105731032-105731044(-) agcaggaagtgg >mm10_chr12:105731062-105731073(-)::chr12:105731061-105731073(-) ttaaggaagaaa >mm10_chr12:105731545-105731556(-)::chr12:105731544-105731556(-) aggaggaagaag >mm10_chr12:105731572-105731583(-)::chr12:105731571-105731583(-) aagaggaagaag >mm10_chr12:105731578-105731589(-)::chr12:105731577-105731589(-) aggaggaagagg >mm10_chr12:105731590-105731601(-)::chr12:105731589-105731601(-) aggaggaagagg >mm10_chr12:105775019-105775030(+)::chr12:105775018-105775030(+) gggaggaaatta >mm10_chr12:105785093-105785104(-)::chr12:105785092-105785104(-) GACAGGAAGGAA >mm10_chr12:105785142-105785153(-)::chr12:105785141-105785153(-) AAGCGGAAGTGG >mm10_chr12:105785148-105785159(-)::chr12:105785147-105785159(-) CGAGGGAAGCGG >mm10_chr12:105804235-105804246(+)::chr12:105804234-105804246(+) aggaggaagtca >mm10_chr12:105804265-105804276(-)::chr12:105804264-105804276(-) agaaggaagtga >mm10_chr12:105869494-105869505(-)::chr12:105869493-105869505(-) aagaggaagagt >mm10_chr12:105869500-105869511(-)::chr12:105869499-105869511(-) aagaggaagagg >mm10_chr12:105869506-105869517(-)::chr12:105869505-105869517(-) aagaggaagagg >mm10_chr12:105869541-105869552(-)::chr12:105869540-105869552(-) ccagggaagtcg >mm10_chr12:105909334-105909345(-)::chr12:105909333-105909345(-) aggaggaagaag >mm10_chr12:105909346-105909357(-)::chr12:105909345-105909357(-) aggaggaagagg >mm10_chr12:105909365-105909376(-)::chr12:105909364-105909376(-) aggaggaagaag >mm10_chr12:105909378-105909389(-)::chr12:105909377-105909389(-) aaaaggaagagg >mm10_chr12:105909391-105909402(-)::chr12:105909390-105909402(-) aggaggaagagg >mm10_chr12:106005003-106005014(+)::chr12:106005002-106005014(+) AGCAGGAAGACC >mm10_chr12:106010191-106010202(+)::chr12:106010190-106010202(+) ACAGGGAAGCGC >mm10_chr12:106010203-106010214(-)::chr12:106010202-106010214(-) AAGAGGAAGACC >mm10_chr12:106654078-106654089(-)::chr12:106654077-106654089(-) TAGAGGAAATAA >mm10_chr12:106923583-106923594(-)::chr12:106923582-106923594(-) ATGAGGAAGTCA >mm10_chr12:106923625-106923636(-)::chr12:106923624-106923636(-) ACCAGGAAGCAA >mm10_chr12:106926625-106926636(-)::chr12:106926624-106926636(-) AAAAGGAAGCTG >mm10_chr12:106949857-106949868(+)::chr12:106949856-106949868(+) gaatggaagtaa >mm10_chr12:106949886-106949897(+)::chr12:106949885-106949897(+) ATAAGGAAGAGG >mm10_chr12:106949892-106949903(+)::chr12:106949891-106949903(+) AAGAGGAAGTCT >mm10_chr12:106954797-106954808(+)::chr12:106954796-106954808(+) agcaggaagcaa >mm10_chr12:106954827-106954838(-)::chr12:106954826-106954838(-) ATAAGGaagaat >mm10_chr12:107180575-107180586(+)::chr12:107180574-107180586(+) AGTAGGAAGGAG >mm10_chr12:107387997-107388008(-)::chr12:107387996-107388008(-) ATGAGGAAGAGC >mm10_chr12:107471666-107471677(-)::chr12:107471665-107471677(-) ACAAGGAAGGAG >mm10_chr12:107482394-107482405(-)::chr12:107482393-107482405(-) GAGAGGAAGAGA >mm10_chr12:107497158-107497169(+)::chr12:107497157-107497169(+) GGGAGGAAGGAA >mm10_chr12:107497162-107497173(+)::chr12:107497161-107497173(+) GGAAGGAAGCCA >mm10_chr12:107550801-107550812(-)::chr12:107550800-107550812(-) AACAGGAAATTG >mm10_chr12:107550816-107550827(-)::chr12:107550815-107550827(-) GGAAGGAAGGTT >mm10_chr12:107550820-107550831(-)::chr12:107550819-107550831(-) AGCAGGAAGGAA >mm10_chr12:107550832-107550843(-)::chr12:107550831-107550843(-) ACAAGGAAGCCT >mm10_chr12:107585308-107585319(-)::chr12:107585307-107585319(-) GCAAggaagtgg >mm10_chr12:107613269-107613280(+)::chr12:107613268-107613280(+) AAGAGGAAGTGC >mm10_chr12:107645669-107645680(-)::chr12:107645668-107645680(-) TGCAGGAAGCAG >mm10_chr12:107645690-107645701(+)::chr12:107645689-107645701(+) TCAAGGAAGTCT >mm10_chr12:107645727-107645738(+)::chr12:107645726-107645738(+) GACAGGAAGATG >mm10_chr12:107690234-107690245(+)::chr12:107690233-107690245(+) GGTAGGAAGGAA >mm10_chr12:107690238-107690249(+)::chr12:107690237-107690249(+) GGAAGGAAGGCA >mm10_chr12:107724140-107724151(-)::chr12:107724139-107724151(-) GGCAGGAAGGGG >mm10_chr12:107847507-107847518(+)::chr12:107847506-107847518(+) aggaggaagagg >mm10_chr12:107847519-107847530(+)::chr12:107847518-107847530(+) aggaggaagagg >mm10_chr12:107847537-107847548(+)::chr12:107847536-107847548(+) aagaggaagaga >mm10_chr12:107847552-107847563(+)::chr12:107847551-107847563(+) aagaggaagaga >mm10_chr12:107847561-107847572(+)::chr12:107847560-107847572(+) agaaggaagagg >mm10_chr12:107854625-107854636(+)::chr12:107854624-107854636(+) AGAAGGAAGGGA >mm10_chr12:107928994-107929005(+)::chr12:107928993-107929005(+) ACAAGGAAAGAA >mm10_chr12:107931209-107931220(-)::chr12:107931208-107931220(-) CCAAGGAAATGC >mm10_chr12:107937253-107937264(-)::chr12:107937252-107937264(-) TAGAGGAAGTGC >mm10_chr12:107941646-107941657(-)::chr12:107941645-107941657(-) ACAAGGAGGTat >mm10_chr12:107964385-107964396(+)::chr12:107964384-107964396(+) ACCAGGAAGGGA >mm10_chr12:107964390-107964401(+)::chr12:107964389-107964401(+) GAAGGGAAGTCA >mm10_chr12:107964420-107964431(-)::chr12:107964419-107964431(-) GGAAGGAACTGA >mm10_chr12:107964461-107964472(+)::chr12:107964460-107964472(+) TCAAGGAAGGAA >mm10_chr12:107972844-107972855(-)::chr12:107972843-107972855(-) TTGAGGAAGTTA >mm10_chr12:107976307-107976318(+)::chr12:107976306-107976318(+) ATACGGAAGTGG >mm10_chr12:108012092-108012103(+)::chr12:108012091-108012103(+) ACTAGGAAGGGC >mm10_chr12:108012139-108012150(-)::chr12:108012138-108012150(-) AGGAGGAAATAT >mm10_chr12:108026449-108026460(-)::chr12:108026448-108026460(-) AAAATGAAGTAG >mm10_chr12:108070720-108070731(-)::chr12:108070719-108070731(-) TAACGGAAGGTG >mm10_chr12:108073596-108073607(-)::chr12:108073595-108073607(-) ccgaggaaggag >mm10_chr12:108073623-108073634(-)::chr12:108073622-108073634(-) gtgaggaagaac >mm10_chr12:108093429-108093440(+)::chr12:108093428-108093440(+) aagaggaagagg >mm10_chr12:108093435-108093446(+)::chr12:108093434-108093446(+) aagaggaagagg >mm10_chr12:108093441-108093452(+)::chr12:108093440-108093452(+) aagaggaagagg >mm10_chr12:108093447-108093458(+)::chr12:108093446-108093458(+) aagaggaagagg >mm10_chr12:108093453-108093464(+)::chr12:108093452-108093464(+) aagaggaagaaC >mm10_chr12:108103439-108103450(+)::chr12:108103438-108103450(+) ACCAGGAAGGAA >mm10_chr12:108103443-108103454(+)::chr12:108103442-108103454(+) GGAAGGAAGTCA >mm10_chr12:108121468-108121479(-)::chr12:108121467-108121479(-) AGGAGGAAGGCA >mm10_chr12:108171603-108171614(-)::chr12:108171602-108171614(-) AGCAGGAAGAAG >mm10_chr12:108189207-108189218(-)::chr12:108189206-108189218(-) GACAGGAAGCTA >mm10_chr12:108231120-108231131(+)::chr12:108231119-108231131(+) GCCAGGAAGGTG >mm10_chr12:108247472-108247483(-)::chr12:108247471-108247483(-) AGTAGGAAGATC >mm10_chr12:108247505-108247516(+)::chr12:108247504-108247516(+) GCCAGGAAGTCC >mm10_chr12:108250234-108250245(-)::chr12:108250233-108250245(-) TCCAGGAAGTGT >mm10_chr12:108264434-108264445(-)::chr12:108264433-108264445(-) AGGAGGAAGACC >mm10_chr12:108264468-108264479(+)::chr12:108264467-108264479(+) GGCAGGAAGTGT >mm10_chr12:108265604-108265615(+)::chr12:108265603-108265615(+) AGAAGGAAGGGT >mm10_chr12:108265634-108265645(+)::chr12:108265633-108265645(+) ACAAGGAAACAC >mm10_chr12:108265656-108265667(+)::chr12:108265655-108265667(+) GCAAGGAAGGAT >mm10_chr12:108268034-108268045(+)::chr12:108268033-108268045(+) ATCAGGAAGTAG >mm10_chr12:108269819-108269830(+)::chr12:108269818-108269830(+) ATGAGGAAGCAG >mm10_chr12:108269867-108269878(+)::chr12:108269866-108269878(+) TCAAGGAAGAAG >mm10_chr12:108309487-108309498(-)::chr12:108309486-108309498(-) TTCGGGAAGTGA >mm10_chr12:108309652-108309663(+)::chr12:108309651-108309663(+) gggaggaaggaa >mm10_chr12:108334042-108334053(+)::chr12:108334041-108334053(+) CCCAGGAAGCGA >mm10_chr12:108368012-108368023(+)::chr12:108368011-108368023(+) AACAGGAAGGGG >mm10_chr12:108368036-108368047(+)::chr12:108368035-108368047(+) GTCAGGAAGGGG >mm10_chr12:108393907-108393918(-)::chr12:108393906-108393918(-) CCCAGGAAGTCC >mm10_chr12:108397355-108397366(-)::chr12:108397354-108397366(-) AGAAGGAAGGAG >mm10_chr12:108397362-108397373(-)::chr12:108397361-108397373(-) GGAAGGAAGAAG >mm10_chr12:108397366-108397377(-)::chr12:108397365-108397377(-) TGAAGGAAGGAA >mm10_chr12:108411067-108411078(+)::chr12:108411066-108411078(+) AGGAGGAAGAGC >mm10_chr12:108421351-108421362(-)::chr12:108421350-108421362(-) AAGAGGAAATGG >mm10_chr12:108546359-108546370(-)::chr12:108546358-108546370(-) ATCAGGAAGAGG >mm10_chr12:108546571-108546582(-)::chr12:108546570-108546582(-) TAAAGGAAATGA >mm10_chr12:108546586-108546597(-)::chr12:108546585-108546597(-) GCCAGGAAGAGA >mm10_chr12:108546625-108546636(+)::chr12:108546624-108546636(+) TAAAGGCAGTAA >mm10_chr12:108547434-108547445(+)::chr12:108547433-108547445(+) ACCAGGAAGCAA >mm10_chr12:108567508-108567519(-)::chr12:108567507-108567519(-) acaaggaagaag >mm10_chr12:108573960-108573971(-)::chr12:108573959-108573971(-) acaaggaagGca >mm10_chr12:108575950-108575961(+)::chr12:108575949-108575961(+) aacaggaagcac >mm10_chr12:108578332-108578343(-)::chr12:108578331-108578343(-) ttaaggaagttt >mm10_chr12:108583898-108583909(-)::chr12:108583897-108583909(-) GAAAGGAAATGT >mm10_chr12:108616847-108616858(+)::chr12:108616846-108616858(+) TCAAGGAAGAGG >mm10_chr12:108616872-108616883(-)::chr12:108616871-108616883(-) AGGAGGAAGCAA >mm10_chr12:108622846-108622857(+)::chr12:108622845-108622857(+) AGAAGGAAGGAC >mm10_chr12:108622891-108622902(-)::chr12:108622890-108622902(-) AGGAGGAAGACA >mm10_chr12:108630658-108630669(+)::chr12:108630657-108630669(+) ATGAGGAAGCCA >mm10_chr12:108630687-108630698(+)::chr12:108630686-108630698(+) ACCAGGAAGTCA >mm10_chr12:108654124-108654135(+)::chr12:108654123-108654135(+) TCCAGGAAGTGA >mm10_chr12:108668711-108668722(+)::chr12:108668710-108668722(+) AGCAGGAAGAGC >mm10_chr12:108674681-108674692(-)::chr12:108674680-108674692(-) TGAAGGAAGTAG >mm10_chr12:108687165-108687176(+)::chr12:108687164-108687176(+) GGAAGGAAGCAG >mm10_chr12:108699661-108699672(-)::chr12:108699660-108699672(-) GCCAGGAAGTTG >mm10_chr12:108700189-108700200(+)::chr12:108700188-108700200(+) GTAAGGAAGTTT >mm10_chr12:108700224-108700235(-)::chr12:108700223-108700235(-) AAGAGGAAGTTG >mm10_chr12:108754534-108754545(+)::chr12:108754533-108754545(+) AGGAGGAAGTAG >mm10_chr12:108765163-108765174(+)::chr12:108765162-108765174(+) atgaggaaggac >mm10_chr12:108765902-108765913(-)::chr12:108765901-108765913(-) ACCAGGAAGTCC >mm10_chr12:108765936-108765947(-)::chr12:108765935-108765947(-) TCCAGGAAGTCC >mm10_chr12:108795330-108795341(+)::chr12:108795329-108795341(+) AATAGGAAGTGC >mm10_chr12:108832408-108832419(+)::chr12:108832407-108832419(+) GGCAGGAAGGAG >mm10_chr12:108837106-108837117(+)::chr12:108837105-108837117(+) AAGAGGAAGAAG >mm10_chr12:108837113-108837124(+)::chr12:108837112-108837124(+) AGAAGGAAGTAC >mm10_chr12:108841410-108841421(+)::chr12:108841409-108841421(+) AGAGGGAAGTGA >mm10_chr12:108865162-108865173(+)::chr12:108865161-108865173(+) CAAAGGAAATGT >mm10_chr12:108865177-108865188(-)::chr12:108865176-108865188(-) TACAGGAAGTCC >mm10_chr12:108871630-108871641(-)::chr12:108871629-108871641(-) ATAAGGAAGCCA >mm10_chr12:108933984-108933995(+)::chr12:108933983-108933995(+) ACCAGGAAGTTT >mm10_chr12:108958126-108958137(-)::chr12:108958125-108958137(-) AACAGGAAGCCA >mm10_chr12:108958155-108958166(+)::chr12:108958154-108958166(+) ACGAGGAACTTT >mm10_chr12:108960269-108960280(-)::chr12:108960268-108960280(-) GCAAGGAAGGTG >mm10_chr12:109315281-109315292(+)::chr12:109315280-109315292(+) aaaagtaagtaa >mm10_chr12:109391188-109391199(+)::chr12:109391187-109391199(+) acgaggaaatca >mm10_chr12:109391262-109391273(-)::chr12:109391261-109391273(-) AGAAGGAAGACG >mm10_chr12:109410823-109410834(-)::chr12:109410822-109410834(-) ACCAGGAAGAAA >mm10_chr12:109525779-109525790(+)::chr12:109525778-109525790(+) ATAAGGAAGTGC >mm10_chr12:109525798-109525809(+)::chr12:109525797-109525809(+) ATGAGGAAGTGC >mm10_chr12:109825590-109825601(+)::chr12:109825589-109825601(+) tccgggaagtag >mm10_chr12:109881280-109881291(-)::chr12:109881279-109881291(-) GGAAGGAACCGG >mm10_chr12:109951029-109951040(+)::chr12:109951028-109951040(+) GGCAGGAAGGCA >mm10_chr12:110155334-110155345(-)::chr12:110155333-110155345(-) GCAAGGAAGTGC >mm10_chr12:110155342-110155353(-)::chr12:110155341-110155353(-) GGAAGGAAGCAA >mm10_chr12:110155346-110155357(-)::chr12:110155345-110155357(-) AACAGGAAGGAA >mm10_chr12:110156550-110156561(-)::chr12:110156549-110156561(-) gggaggaagtga >mm10_chr12:110156579-110156590(+)::chr12:110156578-110156590(+) accaggaagatt >mm10_chr12:110223734-110223745(-)::chr12:110223733-110223745(-) GGAAGGAAGCTC >mm10_chr12:110346564-110346575(+)::chr12:110346563-110346575(+) ACAAGGAAGTAT >mm10_chr12:110381568-110381579(-)::chr12:110381567-110381579(-) GGCAGGAAGTAC >mm10_chr12:110398644-110398655(-)::chr12:110398643-110398655(-) AGGAGGAAGTCT >mm10_chr12:110438610-110438621(-)::chr12:110438609-110438621(-) GAAAGGAAGGCT >mm10_chr12:110486030-110486041(+)::chr12:110486029-110486041(+) AGCAGGAAGTCT >mm10_chr12:110488716-110488727(+)::chr12:110488715-110488727(+) GCCAGGAAGATG >mm10_chr12:110488739-110488750(+)::chr12:110488738-110488750(+) AGAAGGAAGCAG >mm10_chr12:110488767-110488778(-)::chr12:110488766-110488778(-) AACAGGAAGAGG >mm10_chr12:110498112-110498123(-)::chr12:110498111-110498123(-) CGGAGGAAGGTG >mm10_chr12:110510324-110510335(-)::chr12:110510323-110510335(-) GGAAGGAAGCCA >mm10_chr12:110510328-110510339(-)::chr12:110510327-110510339(-) GGAAGGAAGGAA >mm10_chr12:110512817-110512828(+)::chr12:110512816-110512828(+) AAGAGGAAGGAA >mm10_chr12:110512821-110512832(+)::chr12:110512820-110512832(+) GGAAGGAAGCCC >mm10_chr12:110517266-110517277(+)::chr12:110517265-110517277(+) aggaggaagaag >mm10_chr12:110517286-110517297(-)::chr12:110517285-110517297(-) GAAAGGAAGTGA >mm10_chr12:110523365-110523376(+)::chr12:110523364-110523376(+) AGAAGGAAGATT >mm10_chr12:110526391-110526402(-)::chr12:110526390-110526402(-) acaaggaagtaa >mm10_chr12:110532050-110532061(-)::chr12:110532049-110532061(-) acaaggaagtaa >mm10_chr12:110532088-110532099(-)::chr12:110532087-110532099(-) tcaaggaaattc >mm10_chr12:110540491-110540502(+)::chr12:110540490-110540502(+) AACCGGAAGTGA >mm10_chr12:110546161-110546172(+)::chr12:110546160-110546172(+) GACAGGAAGGAG >mm10_chr12:110546182-110546193(+)::chr12:110546181-110546193(+) GCAAGGAAGTCG >mm10_chr12:110549588-110549599(-)::chr12:110549587-110549599(-) GTAGGGAAGTGA >mm10_chr12:110549644-110549655(+)::chr12:110549643-110549655(+) GACAGGAAGAGG >mm10_chr12:110549658-110549669(+)::chr12:110549657-110549669(+) AGCAGGAAGCAG >mm10_chr12:110573832-110573843(+)::chr12:110573831-110573843(+) ACCAGGAAGCGG >mm10_chr12:110591619-110591630(+)::chr12:110591618-110591630(+) ATCGGGAAGTGT >mm10_chr12:110608937-110608948(-)::chr12:110608936-110608948(-) GACAGGAAGAAC >mm10_chr12:110665521-110665532(-)::chr12:110665520-110665532(-) ACCAGGAAGGCC >mm10_chr12:110700574-110700585(+)::chr12:110700573-110700585(+) AGAAGGAAGCTG >mm10_chr12:110702936-110702947(-)::chr12:110702935-110702947(-) TGGAGGAAGCAC >mm10_chr12:110717172-110717183(-)::chr12:110717171-110717183(-) acccggaagtcc >mm10_chr12:110746547-110746558(-)::chr12:110746546-110746558(-) cctaggaagtta >mm10_chr12:110764668-110764679(+)::chr12:110764667-110764679(+) AGAAGGAAGTAT >mm10_chr12:110764709-110764720(-)::chr12:110764708-110764720(-) AGGCGGAAGTCG >mm10_chr12:110765149-110765160(-)::chr12:110765148-110765160(-) gggaggaagaag >mm10_chr12:110765162-110765173(-)::chr12:110765161-110765173(-) aagaggaagagg >mm10_chr12:110780702-110780713(+)::chr12:110780701-110780713(+) CCTAGGAAGTGG >mm10_chr12:110786498-110786509(+)::chr12:110786497-110786509(+) AGAAGGAAGGGG >mm10_chr12:110817012-110817023(-)::chr12:110817011-110817023(-) agcaggaagtgc >mm10_chr12:110844634-110844645(+)::chr12:110844633-110844645(+) aggaggaagctg >mm10_chr12:110844657-110844668(+)::chr12:110844656-110844668(+) ACAGGGAAATCG >mm10_chr12:110850260-110850271(-)::chr12:110850259-110850271(-) GGGCGGAAGTGC >mm10_chr12:110889124-110889135(+)::chr12:110889123-110889135(+) CTGAGGAAGTGA >mm10_chr12:110889138-110889149(-)::chr12:110889137-110889149(-) GGACGGAAGTGA >mm10_chr12:110902617-110902628(+)::chr12:110902616-110902628(+) TGCAGGAAGTTA >mm10_chr12:110965844-110965855(-)::chr12:110965843-110965855(-) ACCAGGAAGAGA >mm10_chr12:110984998-110985009(-)::chr12:110984997-110985009(-) AGCCGGAAGTAC >mm10_chr12:110985015-110985026(+)::chr12:110985014-110985026(+) accaggaagatg >mm10_chr12:110991654-110991665(+)::chr12:110991653-110991665(+) AACAGGAAATGG >mm10_chr12:110992285-110992296(-)::chr12:110992284-110992296(-) GGAAGGATGCGG >mm10_chr12:110992289-110992300(-)::chr12:110992288-110992300(-) AGACGGAAGGAT >mm10_chr12:110993294-110993305(+)::chr12:110993293-110993305(+) TAGAGGAAATGA >mm10_chr12:111007926-111007937(-)::chr12:111007925-111007937(-) TCAAGGCAGTAA >mm10_chr12:111007954-111007965(+)::chr12:111007953-111007965(+) ACACGGAAGcac >mm10_chr12:111009258-111009269(+)::chr12:111009257-111009269(+) TTAGGGAAGTTT >mm10_chr12:111013597-111013608(+)::chr12:111013596-111013608(+) GTAAGGTAGTAA >mm10_chr12:111014264-111014275(+)::chr12:111014263-111014275(+) acaaggaagttt >mm10_chr12:111028943-111028954(+)::chr12:111028942-111028954(+) TCAAGGAAGGAG >mm10_chr12:111028996-111029007(+)::chr12:111028995-111029007(+) agcaggaagccc >mm10_chr12:111051980-111051991(-)::chr12:111051979-111051991(-) GAAAGGAAGGGG >mm10_chr12:111052004-111052015(-)::chr12:111052003-111052015(-) AGGAGGAAGAAC >mm10_chr12:111095271-111095282(+)::chr12:111095270-111095282(+) GCAAGGAAACGG >mm10_chr12:111109293-111109304(+)::chr12:111109292-111109304(+) GACAGGAAATGC >mm10_chr12:111109311-111109322(+)::chr12:111109310-111109322(+) ACCAGGAAGTCT >mm10_chr12:111166083-111166094(-)::chr12:111166082-111166094(-) GCAAGGAAGGTT >mm10_chr12:111208326-111208337(+)::chr12:111208325-111208337(+) TACAGGATGTCG >mm10_chr12:111225670-111225681(-)::chr12:111225669-111225681(-) GCAAGGAATTAC >mm10_chr12:111239016-111239027(-)::chr12:111239015-111239027(-) TGAAGGAAGCAG >mm10_chr12:111331677-111331688(+)::chr12:111331676-111331688(+) ACAAGGATATAA >mm10_chr12:111332778-111332789(+)::chr12:111332777-111332789(+) aaaaggaactct >mm10_chr12:111332787-111332798(-)::chr12:111332786-111332798(-) ttaaggaagaga >mm10_chr12:111346338-111346349(-)::chr12:111346337-111346349(-) ggaaggaacttg >mm10_chr12:111346357-111346368(+)::chr12:111346356-111346368(+) tcaaggaaatag >mm10_chr12:111349026-111349037(-)::chr12:111349025-111349037(-) AGCAGGAAGGCT >mm10_chr12:111356579-111356590(-)::chr12:111356578-111356590(-) ACAAGGATGTGA >mm10_chr12:111356630-111356641(-)::chr12:111356629-111356641(-) AGCAGGAAGGCG >mm10_chr12:111386926-111386937(-)::chr12:111386925-111386937(-) TGAAGGAAGTTC >mm10_chr12:111417998-111418009(+)::chr12:111417997-111418009(+) ACACGGAAGACG >mm10_chr12:111430560-111430571(-)::chr12:111430559-111430571(-) GGGAGGAAGTAT >mm10_chr12:111432316-111432327(+)::chr12:111432315-111432327(+) ATGAGGAAGTGC >mm10_chr12:111433150-111433161(-)::chr12:111433149-111433161(-) CTCAGGAAGACG >mm10_chr12:111433178-111433189(+)::chr12:111433177-111433189(+) AACAGGAAGTCT >mm10_chr12:111503211-111503222(+)::chr12:111503210-111503222(+) aacaggaagcag >mm10_chr12:111503218-111503229(+)::chr12:111503217-111503229(+) agcaggaagcat >mm10_chr12:111514218-111514229(+)::chr12:111514217-111514229(+) ATCAGGAAGTAG >mm10_chr12:111514225-111514236(+)::chr12:111514224-111514236(+) AGTAGGAAGGAG >mm10_chr12:111525098-111525109(-)::chr12:111525097-111525109(-) TAGAGGAAGGGC >mm10_chr12:111538010-111538021(+)::chr12:111538009-111538021(+) GGAAGGAAGCTG >mm10_chr12:111550890-111550901(+)::chr12:111550889-111550901(+) AGGAGGAAGTAG >mm10_chr12:111559913-111559924(+)::chr12:111559912-111559924(+) ATAAGGAACTTA >mm10_chr12:111568877-111568888(-)::chr12:111568876-111568888(-) CCAAGGACGTAA >mm10_chr12:111593144-111593155(-)::chr12:111593143-111593155(-) AAGAGGAAGCAG >mm10_chr12:111599921-111599932(+)::chr12:111599920-111599932(+) TGGAGGAAGAGA >mm10_chr12:111599944-111599955(-)::chr12:111599943-111599955(-) GCAAGGAAGCCT >mm10_chr12:111648546-111648557(+)::chr12:111648545-111648557(+) ggaaggaaggga >mm10_chr12:111648555-111648566(+)::chr12:111648554-111648566(+) ggaaggaaggag >mm10_chr12:111648580-111648591(+)::chr12:111648579-111648591(+) AAAAGGAAGATC >mm10_chr12:111753671-111753682(-)::chr12:111753670-111753682(-) agaaggaaacgg >mm10_chr12:111753678-111753689(-)::chr12:111753677-111753689(-) aataggaagaag >mm10_chr12:111753691-111753702(-)::chr12:111753690-111753702(-) agcaggaagaag >mm10_chr12:111753710-111753721(-)::chr12:111753709-111753721(-) ggaaggaagaag >mm10_chr12:111753714-111753725(-)::chr12:111753713-111753725(-) ggaaggaaggaa >mm10_chr12:111753718-111753729(-)::chr12:111753717-111753729(-) ggaaggaaggaa >mm10_chr12:111753722-111753733(-)::chr12:111753721-111753733(-) ggaaggaaggaa >mm10_chr12:111753726-111753737(-)::chr12:111753725-111753737(-) ggaaggaaggaa >mm10_chr12:111753730-111753741(-)::chr12:111753729-111753741(-) aggaggaaggaa >mm10_chr12:111810077-111810088(+)::chr12:111810076-111810088(+) TCAAGGAAGTGC >mm10_chr12:111818949-111818960(-)::chr12:111818948-111818960(-) GGAAGGAAGAAG >mm10_chr12:111843688-111843699(-)::chr12:111843687-111843699(-) AACAGGAAGTTC >mm10_chr12:111853117-111853128(+)::chr12:111853116-111853128(+) TACAGGAAGGAG >mm10_chr12:111889391-111889402(+)::chr12:111889390-111889402(+) atgaggaagcga >mm10_chr12:111889440-111889451(+)::chr12:111889439-111889451(+) gctaggaagtgg >mm10_chr12:111894176-111894187(-)::chr12:111894175-111894187(-) gtgaggaagtaa >mm10_chr12:111897126-111897137(+)::chr12:111897125-111897137(+) TAAAGGAAGTAA >mm10_chr12:111898946-111898957(-)::chr12:111898945-111898957(-) tgaaggaaGAGA >mm10_chr12:111915218-111915229(-)::chr12:111915217-111915229(-) tgaaggaagcca >mm10_chr12:111926114-111926125(+)::chr12:111926113-111926125(+) ATGAGGAAGACT >mm10_chr12:111933172-111933183(-)::chr12:111933171-111933183(-) AGCAGGAAGAAA >mm10_chr12:111942927-111942938(-)::chr12:111942926-111942938(-) AGGAGGAAGAAG >mm10_chr12:111977592-111977603(-)::chr12:111977591-111977603(-) AACAGGAAGCCA >mm10_chr12:112099180-112099191(-)::chr12:112099179-112099191(-) ACAAGGAAAGGG >mm10_chr12:112099241-112099252(-)::chr12:112099240-112099252(-) GGAAGGACGTgc >mm10_chr12:112106830-112106841(-)::chr12:112106829-112106841(-) ACCGGGAAGCGG >mm10_chr12:112154596-112154607(-)::chr12:112154595-112154607(-) AGAGGGAAGTCC >mm10_chr12:112154638-112154649(+)::chr12:112154637-112154649(+) TAGAGGAAGTTC >mm10_chr12:112235763-112235774(-)::chr12:112235762-112235774(-) ggaaggaaggag >mm10_chr12:112235775-112235786(-)::chr12:112235774-112235786(-) aggaggaagaag >mm10_chr12:112235796-112235807(-)::chr12:112235795-112235807(-) ggaaggaggtgg >mm10_chr12:112235800-112235811(-)::chr12:112235799-112235811(-) AAGaggaaggag >mm10_chr12:112349815-112349826(+)::chr12:112349814-112349826(+) AGAAGGAAGAAG >mm10_chr12:112349822-112349833(+)::chr12:112349821-112349833(+) AGAAGGAAGCAA >mm10_chr12:112490678-112490689(-)::chr12:112490677-112490689(-) GCCAGGAAGTCA >mm10_chr12:112533143-112533154(-)::chr12:112533142-112533154(-) AGAAGGTAGTGG >mm10_chr12:112533179-112533190(+)::chr12:112533178-112533190(+) GGAAGGAAGCTT >mm10_chr12:112537704-112537715(-)::chr12:112537703-112537715(-) atcaggaagagg >mm10_chr12:112538267-112538278(+)::chr12:112538266-112538278(+) ACAAGGAAGAGC >mm10_chr12:112596557-112596568(-)::chr12:112596556-112596568(-) TACAGGAAGTCG >mm10_chr12:112633099-112633110(+)::chr12:112633098-112633110(+) TGCAGGAAGTAC >mm10_chr12:112633158-112633169(-)::chr12:112633157-112633169(-) AAGAGGAAGAAC >mm10_chr12:112662231-112662242(-)::chr12:112662230-112662242(-) ACAAGGAACGGC >mm10_chr12:112662269-112662280(+)::chr12:112662268-112662280(+) AGGAGGAAGTAG >mm10_chr12:112670833-112670844(+)::chr12:112670832-112670844(+) TACAGGAAGAGG >mm10_chr12:112670839-112670850(+)::chr12:112670838-112670850(+) AAGAGGAAGTCA >mm10_chr12:112671152-112671163(-)::chr12:112671151-112671163(-) GCAAGGACGTGG >mm10_chr12:112688534-112688545(-)::chr12:112688533-112688545(-) CCAAGGAAGTCA >mm10_chr12:112702603-112702614(-)::chr12:112702602-112702614(-) atgaggaagcca >mm10_chr12:112703065-112703076(+)::chr12:112703064-112703076(+) aggaggaaatta >mm10_chr12:112721693-112721704(+)::chr12:112721692-112721704(+) AGGAGGAAGAGA >mm10_chr12:112721738-112721749(-)::chr12:112721737-112721749(-) CGAAGGAAGTAG >mm10_chr12:112722795-112722806(-)::chr12:112722794-112722806(-) agaaggaagacg >mm10_chr12:112732649-112732660(-)::chr12:112732648-112732660(-) AAGAGGAAGCAG >mm10_chr12:112822061-112822072(-)::chr12:112822060-112822072(-) ACCAGGAAGAAG >mm10_chr12:112822077-112822088(-)::chr12:112822076-112822088(-) AAGAGGAAATAT >mm10_chr12:112864957-112864968(+)::chr12:112864956-112864968(+) CAAAGGAAGTAT >mm10_chr12:112864991-112865002(+)::chr12:112864990-112865002(+) AAGAGGAAGCAG >mm10_chr12:112865001-112865012(+)::chr12:112865000-112865012(+) AGAAGGAAGAGG >mm10_chr12:112870988-112870999(+)::chr12:112870987-112870999(+) AGGAGGAAGTTG >mm10_chr12:112895144-112895155(-)::chr12:112895143-112895155(-) AGGAGGAAGTTC >mm10_chr12:112895177-112895188(+)::chr12:112895176-112895188(+) AGGAGGAAGCAG >mm10_chr12:112897545-112897556(+)::chr12:112897544-112897556(+) aagaggaaataa >mm10_chr12:112904432-112904443(+)::chr12:112904431-112904443(+) TACAGGAAGTTT >mm10_chr12:112932320-112932331(-)::chr12:112932319-112932331(-) aagaggaagggg >mm10_chr12:112932332-112932343(-)::chr12:112932331-112932343(-) aggaggaagaag >mm10_chr12:112941819-112941830(-)::chr12:112941818-112941830(-) CCAAGGAAGGAC >mm10_chr12:113000565-113000576(+)::chr12:113000564-113000576(+) TAAAGGAACTCA >mm10_chr12:113041004-113041015(-)::chr12:113041003-113041015(-) AGAAGGAAGTGG >mm10_chr12:113056304-113056315(-)::chr12:113056303-113056315(-) GCAAGGATGTGT >mm10_chr12:113067138-113067149(+)::chr12:113067137-113067149(+) ACCAGGAAGCCA >mm10_chr12:113067163-113067174(+)::chr12:113067162-113067174(+) GGAAGGAAGGTG >mm10_chr12:113139267-113139278(-)::chr12:113139266-113139278(-) GCAAGGAAGCCT >mm10_chr12:113155909-113155920(+)::chr12:113155908-113155920(+) TGGAGGAAGAAT >mm10_chr12:113155931-113155942(+)::chr12:113155930-113155942(+) ACAAGGAAGTCT >mm10_chr12:113222453-113222464(-)::chr12:113222452-113222464(-) ACACGGAAGGCC >mm10_chr12:113242645-113242656(+)::chr12:113242644-113242656(+) aAGAGGAAGGGC >mm10_chr12:113242695-113242706(-)::chr12:113242694-113242706(-) GAAAGGAAGCTG >mm10_chr12:113242723-113242734(-)::chr12:113242722-113242734(-) AGAAGGAAGCTA >mm10_chr12:113777677-113777688(+)::chr12:113777676-113777688(+) tgaaggatgtgt >mm10_chr12:114410397-114410408(-)::chr12:114410396-114410408(-) AGAAGGATGTCA >mm10_chr12:116135725-116135736(+)::chr12:116135724-116135736(+) AACAGGAAGGAG >mm10_chr12:116135742-116135753(+)::chr12:116135741-116135753(+) aggaggaagagg >mm10_chr12:116135776-116135787(+)::chr12:116135775-116135787(+) aggaggaagagg >mm10_chr12:116135791-116135802(+)::chr12:116135790-116135802(+) aggaggaagagg >mm10_chr12:116218602-116218613(-)::chr12:116218601-116218613(-) AGTAGGAAGTGC >mm10_chr12:116245153-116245164(-)::chr12:116245152-116245164(-) GAAAGGAAGAAC >mm10_chr12:116269511-116269522(-)::chr12:116269510-116269522(-) GCCAGGAAGTTA >mm10_chr12:116282157-116282168(-)::chr12:116282156-116282168(-) GTTAGGAAGTAT >mm10_chr12:116282200-116282211(-)::chr12:116282199-116282211(-) AGGAGGAAGAGG >mm10_chr12:116300235-116300246(-)::chr12:116300234-116300246(-) acaaggaaggag >mm10_chr12:116330494-116330505(-)::chr12:116330493-116330505(-) ATAAGGAAGATT >mm10_chr12:116330507-116330518(+)::chr12:116330506-116330518(+) GGCAGGAAGTGG >mm10_chr12:116384230-116384241(+)::chr12:116384229-116384241(+) agcaggaagtag >mm10_chr12:116415142-116415153(+)::chr12:116415141-116415153(+) GGAAGGAAGCAG >mm10_chr12:116551326-116551337(-)::chr12:116551325-116551337(-) AGAAGGAAGTTA >mm10_chr12:116619576-116619587(+)::chr12:116619575-116619587(+) AGCAGGAAATGC >mm10_chr12:117020412-117020423(-)::chr12:117020411-117020423(-) aggaggaagagg >mm10_chr12:117020424-117020435(-)::chr12:117020423-117020435(-) aagaggaagatg >mm10_chr12:117020478-117020489(-)::chr12:117020477-117020489(-) aggaggaagagc >mm10_chr12:117020490-117020501(-)::chr12:117020489-117020501(-) aggaggaagagg >mm10_chr12:117379014-117379025(-)::chr12:117379013-117379025(-) AGCAGGAAGCCA >mm10_chr12:117418166-117418177(+)::chr12:117418165-117418177(+) AAGAGGAAGTGA >mm10_chr12:117418194-117418205(-)::chr12:117418193-117418205(-) ACCAGGAAGTGG >mm10_chr12:117430159-117430170(+)::chr12:117430158-117430170(+) acaaggaagcgt >mm10_chr12:117431065-117431076(-)::chr12:117431064-117431076(-) GCAAGGAAGGGC >mm10_chr12:117437172-117437183(+)::chr12:117437171-117437183(+) ttaaggaagaac >mm10_chr12:117447577-117447588(+)::chr12:117447576-117447588(+) ACAAGGAAGGGC >mm10_chr12:117479108-117479119(+)::chr12:117479107-117479119(+) TCTAGGAAGTAG >mm10_chr12:117479174-117479185(-)::chr12:117479173-117479185(-) AAGAGGAAGCTA >mm10_chr12:117496728-117496739(-)::chr12:117496727-117496739(-) GAGAGGAAATAG >mm10_chr12:117496752-117496763(+)::chr12:117496751-117496763(+) TCTAGGAAGTGC >mm10_chr12:117497742-117497753(+)::chr12:117497741-117497753(+) ATAAGGAACAGG >mm10_chr12:117497763-117497774(+)::chr12:117497762-117497774(+) AGAGGGAAATAA >mm10_chr12:117499481-117499492(-)::chr12:117499480-117499492(-) AACAGGAAGAGA >mm10_chr12:117501336-117501347(+)::chr12:117501335-117501347(+) ACCAGGAAGCCA >mm10_chr12:117507809-117507820(+)::chr12:117507808-117507820(+) agcaggaagctg >mm10_chr12:117507862-117507873(+)::chr12:117507861-117507873(+) aacaggaagtag >mm10_chr12:117524604-117524615(+)::chr12:117524603-117524615(+) AACAGGAAGACA >mm10_chr12:117526505-117526516(-)::chr12:117526504-117526516(-) AGCAGGAAGATG >mm10_chr12:117545372-117545383(-)::chr12:117545371-117545383(-) TACAGGAAGAAA >mm10_chr12:117558060-117558071(+)::chr12:117558059-117558071(+) AGGAGGAAATCA >mm10_chr12:117560697-117560708(-)::chr12:117560696-117560708(-) GAGAGGAAGGAG >mm10_chr12:117564079-117564090(-)::chr12:117564078-117564090(-) TTAAGGAAGTCG >mm10_chr12:117612809-117612820(-)::chr12:117612808-117612820(-) GGCAGGAAGAAT >mm10_chr12:117612832-117612843(-)::chr12:117612831-117612843(-) AAAAGGAAGGGA >mm10_chr12:117619738-117619749(+)::chr12:117619737-117619749(+) agaaggaaaaaa >mm10_chr12:117619782-117619793(-)::chr12:117619781-117619793(-) aggaggaaatga >mm10_chr12:117627610-117627621(+)::chr12:117627609-117627621(+) AAGAGGAAGTGC >mm10_chr12:117639916-117639927(+)::chr12:117639915-117639927(+) ATGAGGAAGCAG >mm10_chr12:117662134-117662145(+)::chr12:117662133-117662145(+) GTGAGGAAGAGG >mm10_chr12:117662141-117662152(+)::chr12:117662140-117662152(+) AGAGGGAAGTGT >mm10_chr12:117679950-117679961(-)::chr12:117679949-117679961(-) agcaggaagctg >mm10_chr12:117679985-117679996(-)::chr12:117679984-117679996(-) gggaggaaatgt >mm10_chr12:117724209-117724220(+)::chr12:117724208-117724220(+) acaaggaagcag >mm10_chr12:117724242-117724253(+)::chr12:117724241-117724253(+) ataagcaagtgg >mm10_chr12:117747686-117747697(-)::chr12:117747685-117747697(-) aggaggaaatgg >mm10_chr12:117755209-117755220(+)::chr12:117755208-117755220(+) ACCAGGAAGTCC >mm10_chr12:117846857-117846868(-)::chr12:117846856-117846868(-) AGCAGGAAGAGG >mm10_chr12:117846903-117846914(-)::chr12:117846902-117846914(-) AAAAGAAAGTGA >mm10_chr12:118164792-118164803(-)::chr12:118164791-118164803(-) GCCAGGAAGACA >mm10_chr12:118212759-118212770(+)::chr12:118212758-118212770(+) GAAAGCAAGTAA >mm10_chr12:118212763-118212774(+)::chr12:118212762-118212774(+) GCAAGTAAGTGA >mm10_chr12:118223211-118223222(+)::chr12:118223210-118223222(+) ataaggaagagg >mm10_chr12:118239229-118239240(+)::chr12:118239228-118239240(+) acaaggaaatag >mm10_chr12:118245507-118245518(-)::chr12:118245506-118245518(-) gcgaggcagtaa >mm10_chr12:118264067-118264078(+)::chr12:118264066-118264078(+) acagggaagtag >mm10_chr12:118282134-118282145(+)::chr12:118282133-118282145(+) atcaggaagtag >mm10_chr12:118312467-118312478(+)::chr12:118312466-118312478(+) AGAAGGAAGAAC >mm10_chr12:118468015-118468026(-)::chr12:118468014-118468026(-) AGCAGGAAGACT >mm10_chr12:118479774-118479785(-)::chr12:118479773-118479785(-) AATAGGAAGAAG >mm10_chr12:118509436-118509447(+)::chr12:118509435-118509447(+) TGGAGGAAATGA >mm10_chr12:118514481-118514492(+)::chr12:118514480-118514492(+) AGGAGGAAGAGG >mm10_chr12:118837094-118837105(+)::chr12:118837093-118837105(+) AAAAGGAATTGG >mm10_chr12:118881241-118881252(-)::chr12:118881240-118881252(-) AGAAGGAAAGAG >mm10_chr12:118911777-118911788(+)::chr12:118911776-118911788(+) gagaggaaggGG >mm10_chr12:119100686-119100697(+)::chr12:119100685-119100697(+) acaaggaaggag >mm10_chr12:119100720-119100731(+)::chr12:119100719-119100731(+) tggaggaagaag >mm10_chr12:119157172-119157183(+)::chr12:119157171-119157183(+) AAGAGGAAGCTA >mm10_chr12:119164340-119164351(-)::chr12:119164339-119164351(-) TCCAGGAAGTGT >mm10_chr12:119207270-119207281(-)::chr12:119207269-119207281(-) AAGAGGAAGATT >mm10_chr12:119207316-119207327(-)::chr12:119207315-119207327(-) ACAAGGAAGTAC >mm10_chr12:119225607-119225618(-)::chr12:119225606-119225618(-) TCAAGGAAGTTT >mm10_chr12:119225615-119225626(+)::chr12:119225614-119225626(+) TTGAGGAAGTTC >mm10_chr12:119228046-119228057(-)::chr12:119228045-119228057(-) AGTAGGAAGGGC >mm10_chr12:119387901-119387912(+)::chr12:119387900-119387912(+) TAAAGGAAGCAA >mm10_chr12:119390336-119390347(-)::chr12:119390335-119390347(-) GGCAGGAAATGA >mm10_chr12:119390659-119390670(+)::chr12:119390658-119390670(+) GTCAGGAAGAGT >mm10_chr12:119398232-119398243(+)::chr12:119398231-119398243(+) ACAAGGAAGTAA >mm10_chr12:119447594-119447605(+)::chr12:119447593-119447605(+) TAAAGGAAGATT >mm10_chr12:119475216-119475227(+)::chr12:119475215-119475227(+) atagggaagagg >mm10_chr12:119475244-119475255(+)::chr12:119475243-119475255(+) atagggaagagg >mm10_chr12:119530582-119530593(-)::chr12:119530581-119530593(-) agaaggaagggt >mm10_chr13:3526405-3526416(+)::chr13:3526404-3526416(+) ATGAGGAAGACT >mm10_chr13:3526479-3526490(-)::chr13:3526478-3526490(-) AAGGGGAAGTAG >mm10_chr13:3533042-3533053(-)::chr13:3533041-3533053(-) aggaggaagaag >mm10_chr13:3538668-3538679(+)::chr13:3538667-3538679(+) TGCAGGAAGGAA >mm10_chr13:3538672-3538683(+)::chr13:3538671-3538683(+) GGAAGGAAGAGG >mm10_chr13:3551036-3551047(+)::chr13:3551035-3551047(+) AAGAGGAAGAGA >mm10_chr13:3551069-3551080(-)::chr13:3551068-3551080(-) ATAAGGAACTTG >mm10_chr13:3556585-3556596(-)::chr13:3556584-3556596(-) TGCAGGAAGACA >mm10_chr13:3659075-3659086(-)::chr13:3659074-3659086(-) TATAGGAAGAGC >mm10_chr13:3659721-3659732(+)::chr13:3659720-3659732(+) ATCGGGAAGTCT >mm10_chr13:3660357-3660368(+)::chr13:3660356-3660368(+) aggaggaaggag >mm10_chr13:3660369-3660380(+)::chr13:3660368-3660380(+) aggaggaagaga >mm10_chr13:3661617-3661628(+)::chr13:3661616-3661628(+) AGAAGGAAGGAG >mm10_chr13:3661624-3661635(+)::chr13:3661623-3661635(+) AGGAGGAAGCTG >mm10_chr13:3661640-3661651(+)::chr13:3661639-3661651(+) GGCAGGAAGAGG >mm10_chr13:3670866-3670877(-)::chr13:3670865-3670877(-) ggaaggaagaag >mm10_chr13:3670875-3670886(-)::chr13:3670874-3670886(-) gggaggaaggga >mm10_chr13:3670902-3670913(-)::chr13:3670901-3670913(-) aagaggaagtgg >mm10_chr13:3670923-3670934(-)::chr13:3670922-3670934(-) aagaggaagaga >mm10_chr13:3670944-3670955(-)::chr13:3670943-3670955(-) aggaggaagaag >mm10_chr13:3697617-3697628(-)::chr13:3697616-3697628(-) tgaaggaagtga >mm10_chr13:3697657-3697668(-)::chr13:3697656-3697668(-) ttaaggaagctc >mm10_chr13:3700884-3700895(+)::chr13:3700883-3700895(+) AGGAGGAAGTGA >mm10_chr13:3710205-3710216(-)::chr13:3710204-3710216(-) ATGGGGAAGTGG >mm10_chr13:3715102-3715113(-)::chr13:3715101-3715113(-) GTAAGGAAGACC >mm10_chr13:3749774-3749785(+)::chr13:3749773-3749785(+) GGGAGGAAGTAT >mm10_chr13:3750945-3750956(-)::chr13:3750944-3750956(-) aggaggaaatgg >mm10_chr13:3770158-3770169(-)::chr13:3770157-3770169(-) AGAAGGAAAAGG >mm10_chr13:3805769-3805780(-)::chr13:3805768-3805780(-) AGCAGGAAGTAA >mm10_chr13:3818212-3818223(-)::chr13:3818211-3818223(-) GTCAGGAAGTGG >mm10_chr13:3818929-3818940(-)::chr13:3818928-3818940(-) TGGAGGAAGAGT >mm10_chr13:3825900-3825911(-)::chr13:3825899-3825911(-) TTGAGGAAGTGA >mm10_chr13:3825912-3825923(+)::chr13:3825911-3825923(+) AGAAGGAAGTAG >mm10_chr13:3825919-3825930(+)::chr13:3825918-3825930(+) AGTAGGAAGGAA >mm10_chr13:3831866-3831877(-)::chr13:3831865-3831877(-) GACAGGAAATGA >mm10_chr13:3831934-3831945(+)::chr13:3831933-3831945(+) GGCAGGAAGTGT >mm10_chr13:3832248-3832259(+)::chr13:3832247-3832259(+) TCATGGAAGTAC >mm10_chr13:3855187-3855198(+)::chr13:3855186-3855198(+) TGGAGGAAGTAG >mm10_chr13:3857994-3858005(+)::chr13:3857993-3858005(+) AGCAGGAAATGT >mm10_chr13:3866491-3866502(+)::chr13:3866490-3866502(+) GAGAGGAAGTCT >mm10_chr13:3895772-3895783(+)::chr13:3895771-3895783(+) AGAAGGAAGTGC >mm10_chr13:3896227-3896238(+)::chr13:3896226-3896238(+) AACAGGAAGGGA >mm10_chr13:3935437-3935448(-)::chr13:3935436-3935448(-) tcaaggaaggca >mm10_chr13:3993710-3993721(-)::chr13:3993709-3993721(-) GGAAGGAAGGAG >mm10_chr13:3993714-3993725(-)::chr13:3993713-3993725(-) TTAAGGAAGGAA >mm10_chr13:3993747-3993758(-)::chr13:3993746-3993758(-) ATAAGGAAATAA >mm10_chr13:3993755-3993766(-)::chr13:3993754-3993766(-) AACGGGAAATAA >mm10_chr13:4001173-4001184(+)::chr13:4001172-4001184(+) AGAAGCAAGTAA >mm10_chr13:4608716-4608727(-)::chr13:4608715-4608727(-) ACAAGGAAGCGT >mm10_chr13:4609149-4609160(-)::chr13:4609148-4609160(-) TGCAGGAAGAGG >mm10_chr13:4771700-4771711(-)::chr13:4771699-4771711(-) AACAGGAAGTCT >mm10_chr13:4771884-4771895(-)::chr13:4771883-4771895(-) TTAAGGAAGTTA >mm10_chr13:4771916-4771927(+)::chr13:4771915-4771927(+) TACAGGAAGAGG >mm10_chr13:4773836-4773847(-)::chr13:4773835-4773847(-) aagaggaagaga >mm10_chr13:4773842-4773853(-)::chr13:4773841-4773853(-) gggaggaagagg >mm10_chr13:4824156-4824167(-)::chr13:4824155-4824167(-) AGAGGGAAGTAG >mm10_chr13:4824178-4824189(+)::chr13:4824177-4824189(+) ATAAGGAACTTC >mm10_chr13:5302280-5302291(+)::chr13:5302279-5302291(+) AACAGGAAGACC >mm10_chr13:5302320-5302331(+)::chr13:5302319-5302331(+) CTAAGGAAGGAA >mm10_chr13:5315139-5315150(-)::chr13:5315138-5315150(-) TCCAGGAAGTGA >mm10_chr13:5592608-5592619(-)::chr13:5592607-5592619(-) AGCAGGAAGTAC >mm10_chr13:5615047-5615058(+)::chr13:5615046-5615058(+) GGGAGGAAGCAT >mm10_chr13:5615092-5615103(+)::chr13:5615091-5615103(+) TTGAGGAAGTCG >mm10_chr13:5635174-5635185(-)::chr13:5635173-5635185(-) TAAAAGAAGTGA >mm10_chr13:5655941-5655952(+)::chr13:5655940-5655952(+) AGAAGGAAGAAA >mm10_chr13:5714310-5714321(+)::chr13:5714309-5714321(+) GGGAGGAAGGAC >mm10_chr13:5716920-5716931(-)::chr13:5716919-5716931(-) tccaggaagaga >mm10_chr13:5716953-5716964(-)::chr13:5716952-5716964(-) atgaggaagtag >mm10_chr13:5720805-5720816(-)::chr13:5720804-5720816(-) AGGAGGAAGTAT >mm10_chr13:5735188-5735199(-)::chr13:5735187-5735199(-) AACAGGAAGTAA >mm10_chr13:5743393-5743404(-)::chr13:5743392-5743404(-) TGAAGGAAGTCA >mm10_chr13:5757980-5757991(-)::chr13:5757979-5757991(-) GGAAGGAAGAGA >mm10_chr13:5757984-5757995(-)::chr13:5757983-5757995(-) TCAAGGAAGGAA >mm10_chr13:5769428-5769439(+)::chr13:5769427-5769439(+) GAATGGAAGTAT >mm10_chr13:5802348-5802359(+)::chr13:5802347-5802359(+) ACAAGCAAGTGG >mm10_chr13:5802372-5802383(+)::chr13:5802371-5802383(+) AACAGGAAGGAC >mm10_chr13:5803561-5803572(+)::chr13:5803560-5803572(+) ACAAGGAAGTGA >mm10_chr13:5820627-5820638(+)::chr13:5820626-5820638(+) aggaggaagaac >mm10_chr13:5820645-5820656(+)::chr13:5820644-5820656(+) agaaggaagctg >mm10_chr13:5824526-5824537(+)::chr13:5824525-5824537(+) TAAAGGAAGCAG >mm10_chr13:5841559-5841570(-)::chr13:5841558-5841570(-) ACAAGGGAGTGG >mm10_chr13:5844894-5844905(+)::chr13:5844893-5844905(+) ACCAGGAAATCC >mm10_chr13:5863717-5863728(+)::chr13:5863716-5863728(+) AAAAGGAAGTCT >mm10_chr13:5874997-5875008(+)::chr13:5874996-5875008(+) GTAAGGAAGTAT >mm10_chr13:5875677-5875688(+)::chr13:5875676-5875688(+) GGAAGGAAGTGC >mm10_chr13:5880976-5880987(-)::chr13:5880975-5880987(-) tggaggaaatat >mm10_chr13:5902172-5902183(+)::chr13:5902171-5902183(+) AGCAGGACGTGT >mm10_chr13:5922871-5922882(+)::chr13:5922870-5922882(+) AAACGGAAGGAA >mm10_chr13:5922880-5922891(+)::chr13:5922879-5922891(+) GAAAGGAAGTAA >mm10_chr13:5922906-5922917(+)::chr13:5922905-5922917(+) ACAAGGAAGAGC >mm10_chr13:5931633-5931644(-)::chr13:5931632-5931644(-) TACAGGAAGCAG >mm10_chr13:5934653-5934664(-)::chr13:5934652-5934664(-) GGCAGGACGTAG >mm10_chr13:5956206-5956217(+)::chr13:5956205-5956217(+) caaaggaaggaa >mm10_chr13:5956210-5956221(+)::chr13:5956209-5956221(+) ggaaggaagtat >mm10_chr13:5965756-5965767(+)::chr13:5965755-5965767(+) TACAGGAAGTTG >mm10_chr13:5965785-5965796(-)::chr13:5965784-5965796(-) AAAAGGAACTTT >mm10_chr13:5971271-5971282(-)::chr13:5971270-5971282(-) AAAAGGAAAGTA >mm10_chr13:5999303-5999314(-)::chr13:5999302-5999314(-) GGAAAGAAGTAC >mm10_chr13:6029873-6029884(-)::chr13:6029872-6029884(-) GGAAGGAACTGA >mm10_chr13:6029891-6029902(-)::chr13:6029890-6029902(-) aggaggaaggag >mm10_chr13:6029898-6029909(-)::chr13:6029897-6029909(-) atgaggaaggag >mm10_chr13:6029916-6029927(-)::chr13:6029915-6029927(-) aagaggaagaga >mm10_chr13:6080729-6080740(-)::chr13:6080728-6080740(-) gaagggaagtca >mm10_chr13:6080734-6080745(-)::chr13:6080733-6080745(-) agaaggaaggga >mm10_chr13:6143001-6143012(-)::chr13:6143000-6143012(-) ttaaggaaatgg >mm10_chr13:6153883-6153894(+)::chr13:6153882-6153894(+) accaggaagtat >mm10_chr13:6226210-6226221(-)::chr13:6226209-6226221(-) ACAAGGAAATCA >mm10_chr13:6226439-6226450(-)::chr13:6226438-6226450(-) tacaggaagttc >mm10_chr13:6255467-6255478(+)::chr13:6255466-6255478(+) GAAAGGAAGAGG >mm10_chr13:6255482-6255493(-)::chr13:6255481-6255493(-) ACAAGGAAGTTA >mm10_chr13:6340352-6340363(-)::chr13:6340351-6340363(-) GGGAGGAAGGAG >mm10_chr13:6351231-6351242(+)::chr13:6351230-6351242(+) ACGAGGAAGGCG >mm10_chr13:6364902-6364913(-)::chr13:6364901-6364913(-) TCAGGGAAGTGG >mm10_chr13:6642735-6642746(-)::chr13:6642734-6642746(-) GGGCGGAAGTGG >mm10_chr13:6642782-6642793(-)::chr13:6642781-6642793(-) AGCAGGAAATAG >mm10_chr13:6666989-6667000(-)::chr13:6666988-6667000(-) ACAAGGAAGTCC >mm10_chr13:7165748-7165759(+)::chr13:7165747-7165759(+) AGAAGCAAGTAG >mm10_chr13:7210432-7210443(-)::chr13:7210431-7210443(-) ATAAGGatgtct >mm10_chr13:8856370-8856381(+)::chr13:8856369-8856381(+) AGCAGGAAATGT >mm10_chr13:8861203-8861214(-)::chr13:8861202-8861214(-) AAGCGGAAGTTG >mm10_chr13:9026910-9026921(-)::chr13:9026909-9026921(-) GTCAGGAAGGGG >mm10_chr13:9027416-9027427(+)::chr13:9027415-9027427(+) GACAGGAAATGT >mm10_chr13:9063838-9063849(-)::chr13:9063837-9063849(-) ACAAGGAAGGTC >mm10_chr13:9071165-9071176(-)::chr13:9071164-9071176(-) GTCAGGAAGAAA >mm10_chr13:9073933-9073944(+)::chr13:9073932-9073944(+) ACAAGGAGGTGG >mm10_chr13:9094764-9094775(+)::chr13:9094763-9094775(+) ACCAGGAAGTTA >mm10_chr13:9108092-9108103(+)::chr13:9108091-9108103(+) AGCAGGAAATGT >mm10_chr13:9114917-9114928(+)::chr13:9114916-9114928(+) ACCAGGAAGTGG >mm10_chr13:9125334-9125345(+)::chr13:9125333-9125345(+) ATGAGGAAATGA >mm10_chr13:9125364-9125375(+)::chr13:9125363-9125375(+) GAAAGGAAGTGA >mm10_chr13:9132884-9132895(-)::chr13:9132883-9132895(-) GTGGGGAAGTAA >mm10_chr13:9139657-9139668(+)::chr13:9139656-9139668(+) agaaggaagtgg >mm10_chr13:9149358-9149369(-)::chr13:9149357-9149369(-) ggaaggaagggG >mm10_chr13:9149379-9149390(-)::chr13:9149378-9149390(-) agaaggaagaga >mm10_chr13:9149626-9149637(-)::chr13:9149625-9149637(-) ACAAGGAACTAG >mm10_chr13:9149661-9149672(-)::chr13:9149660-9149672(-) AGAAGGAAGTAA >mm10_chr13:9153396-9153407(+)::chr13:9153395-9153407(+) agcaggaaatag >mm10_chr13:9153403-9153414(+)::chr13:9153402-9153414(+) aataggaagtgg >mm10_chr13:9155813-9155824(-)::chr13:9155812-9155824(-) AGAAGGAAAGGG >mm10_chr13:9155820-9155831(-)::chr13:9155819-9155831(-) AAAAGGAAGAAG >mm10_chr13:9159515-9159526(-)::chr13:9159514-9159526(-) TACAGGAAGAAG >mm10_chr13:9159537-9159548(-)::chr13:9159536-9159548(-) AAAAGGAAGCTG >mm10_chr13:9159576-9159587(-)::chr13:9159575-9159587(-) TAGAGGAAGTAT >mm10_chr13:9163052-9163063(-)::chr13:9163051-9163063(-) GGAAGGAAGATC >mm10_chr13:9180509-9180520(-)::chr13:9180508-9180520(-) agcaggaagcag >mm10_chr13:9191442-9191453(+)::chr13:9191441-9191453(+) AGTAGGAAGGCA >mm10_chr13:9229141-9229152(-)::chr13:9229140-9229152(-) CTAAGGAAGGCC >mm10_chr13:9259096-9259107(+)::chr13:9259095-9259107(+) ACCAGGAAGTTC >mm10_chr13:9289490-9289501(-)::chr13:9289489-9289501(-) TAAAGGAAGTAG >mm10_chr13:9333223-9333234(-)::chr13:9333222-9333234(-) AGCAGGAAGAGC >mm10_chr13:9350600-9350611(-)::chr13:9350599-9350611(-) AGGAGGAACTAA >mm10_chr13:9388176-9388187(+)::chr13:9388175-9388187(+) ATGAGGAAGTAG >mm10_chr13:9388189-9388200(+)::chr13:9388188-9388200(+) ACGAGGAAGTGC >mm10_chr13:9405647-9405658(-)::chr13:9405646-9405658(-) AAGAGGAAATGC >mm10_chr13:9426898-9426909(-)::chr13:9426897-9426909(-) ataaggaagaca >mm10_chr13:9444229-9444240(-)::chr13:9444228-9444240(-) accaggaagtct >mm10_chr13:9472851-9472862(-)::chr13:9472850-9472862(-) GCCAGGAAGTCA >mm10_chr13:9472873-9472884(+)::chr13:9472872-9472884(+) ATACGGAAGAAC >mm10_chr13:9546090-9546101(-)::chr13:9546089-9546101(-) AGGAGGAAGGAC >mm10_chr13:9546103-9546114(-)::chr13:9546102-9546114(-) TAGAGGAAGGAG >mm10_chr13:9578532-9578543(-)::chr13:9578531-9578543(-) acaaggaaagta >mm10_chr13:9578578-9578589(+)::chr13:9578577-9578589(+) ggaaggaagtgt >mm10_chr13:9626209-9626220(-)::chr13:9626208-9626220(-) ggaaggaagaag >mm10_chr13:9626213-9626224(-)::chr13:9626212-9626224(-) atgaggaaggaa >mm10_chr13:9626226-9626237(-)::chr13:9626225-9626237(-) aggaggaagaag >mm10_chr13:9626235-9626246(-)::chr13:9626234-9626246(-) gggaggaagagg >mm10_chr13:9626251-9626262(-)::chr13:9626250-9626262(-) aagaggaagaag >mm10_chr13:9626257-9626268(-)::chr13:9626256-9626268(-) gaaaggaagagg >mm10_chr13:9626284-9626295(-)::chr13:9626283-9626295(-) aagaggaaggaa >mm10_chr13:9626290-9626301(-)::chr13:9626289-9626301(-) aggaggaagagg >mm10_chr13:9688713-9688724(+)::chr13:9688712-9688724(+) AAGAGGAAGCAA >mm10_chr13:9736499-9736510(-)::chr13:9736498-9736510(-) GTGAGGAAGGAT >mm10_chr13:9736514-9736525(-)::chr13:9736513-9736525(-) AGAAGGAAGGAG >mm10_chr13:9776010-9776021(-)::chr13:9776009-9776021(-) AAAAGGAAGTAC >mm10_chr13:9782376-9782387(-)::chr13:9782375-9782387(-) AGGAGGAAGTAC >mm10_chr13:9782388-9782399(-)::chr13:9782387-9782399(-) GACAGGAAGTAA >mm10_chr13:9888092-9888103(+)::chr13:9888091-9888103(+) GCAAGGAAGAAG >mm10_chr13:9930915-9930926(+)::chr13:9930914-9930926(+) aaaaggaactct >mm10_chr13:9930931-9930942(-)::chr13:9930930-9930942(-) aaaagcaagtta >mm10_chr13:9942603-9942614(+)::chr13:9942602-9942614(+) AAGAGGAAGCAC >mm10_chr13:9942615-9942626(+)::chr13:9942614-9942626(+) ACGAGGAAGCAT >mm10_chr13:10091031-10091042(-)::chr13:10091030-10091042(-) AGGAGGAAGAAT >mm10_chr13:10104015-10104026(+)::chr13:10104014-10104026(+) GGAAGGAAGTCA >mm10_chr13:10104078-10104089(+)::chr13:10104077-10104089(+) TGCGGGAAGTGC >mm10_chr13:10112790-10112801(-)::chr13:10112789-10112801(-) AAACGGAAATAA >mm10_chr13:10182271-10182282(-)::chr13:10182270-10182282(-) aagaggaagagg >mm10_chr13:10182284-10182295(-)::chr13:10182283-10182295(-) aagaggaagagg >mm10_chr13:10182290-10182301(-)::chr13:10182289-10182301(-) aagaggaagagg >mm10_chr13:10224462-10224473(-)::chr13:10224461-10224473(-) GGAAGTAAGTAT >mm10_chr13:10224466-10224477(-)::chr13:10224465-10224477(-) AAATGGAAGTAA >mm10_chr13:10341904-10341915(+)::chr13:10341903-10341915(+) acaaggaaGGAG >mm10_chr13:10341923-10341934(+)::chr13:10341922-10341934(+) GAGAGGAAGGGA >mm10_chr13:10341936-10341947(+)::chr13:10341935-10341947(+) ACAAGGAAAGAA >mm10_chr13:10360884-10360895(-)::chr13:10360883-10360895(-) aggaggaagggg >mm10_chr13:10380099-10380110(+)::chr13:10380098-10380110(+) CAAAGGAACTAT >mm10_chr13:10381088-10381099(+)::chr13:10381087-10381099(+) agaaggaaggaa >mm10_chr13:10381100-10381111(+)::chr13:10381099-10381111(+) agaaggaaggaa >mm10_chr13:10381104-10381115(+)::chr13:10381103-10381115(+) ggaaggaaggaa >mm10_chr13:10381108-10381119(+)::chr13:10381107-10381119(+) ggaaggaaggCC >mm10_chr13:10540372-10540383(+)::chr13:10540371-10540383(+) agaaggaaggag >mm10_chr13:10540379-10540390(+)::chr13:10540378-10540390(+) aggaggaagtga >mm10_chr13:10586185-10586196(-)::chr13:10586184-10586196(-) AGAAGGAAGCAA >mm10_chr13:10637170-10637181(-)::chr13:10637169-10637181(-) CTCAGGAAGTCA >mm10_chr13:10637194-10637205(-)::chr13:10637193-10637205(-) TCCAGGAAGTAA >mm10_chr13:10686464-10686475(+)::chr13:10686463-10686475(+) atgaggaaatat >mm10_chr13:10686482-10686493(-)::chr13:10686481-10686493(-) acaaggaagtag >mm10_chr13:10689918-10689929(+)::chr13:10689917-10689929(+) aggaggaagtag >mm10_chr13:10726206-10726217(+)::chr13:10726205-10726217(+) AACAGGAAGAAG >mm10_chr13:10898617-10898628(+)::chr13:10898616-10898628(+) ATGAGGAAGAAG >mm10_chr13:10898634-10898645(+)::chr13:10898633-10898645(+) ATGAGGAAGTTG >mm10_chr13:10898652-10898663(+)::chr13:10898651-10898663(+) ATGAGGAAGGAG >mm10_chr13:10905616-10905627(-)::chr13:10905615-10905627(-) ggaaggaagaga >mm10_chr13:10905620-10905631(-)::chr13:10905619-10905631(-) aggaggaaggaa >mm10_chr13:10905661-10905672(-)::chr13:10905660-10905672(-) aagaggaaggag >mm10_chr13:11081258-11081269(+)::chr13:11081257-11081269(+) AAAAGGAAATAA >mm10_chr13:11081276-11081287(-)::chr13:11081275-11081287(-) TGAGGGAAGTGT >mm10_chr13:11431958-11431969(-)::chr13:11431957-11431969(-) GAAAGGAAGTGG >mm10_chr13:12028367-12028378(+)::chr13:12028366-12028378(+) AGGAGGAAGTCA >mm10_chr13:12178304-12178315(+)::chr13:12178303-12178315(+) TGAAGGAAGACA >mm10_chr13:12178320-12178331(+)::chr13:12178319-12178331(+) GGGAGGAAGGGA >mm10_chr13:12200589-12200600(-)::chr13:12200588-12200600(-) TGGAGGAAGAAC >mm10_chr13:12244369-12244380(-)::chr13:12244368-12244380(-) AGAAGGAAGATG >mm10_chr13:12244385-12244396(+)::chr13:12244384-12244396(+) AAAGGGAAGTTG >mm10_chr13:12341314-12341325(-)::chr13:12341313-12341325(-) ggaaggaggtga >mm10_chr13:12341318-12341329(-)::chr13:12341317-12341329(-) aggaggaaggag >mm10_chr13:12341628-12341639(-)::chr13:12341627-12341639(-) AAACGGAAATGT >mm10_chr13:12355482-12355493(+)::chr13:12355481-12355493(+) TACAGGAAGTTG >mm10_chr13:12355553-12355564(+)::chr13:12355552-12355564(+) aagaggaagagg >mm10_chr13:12395357-12395368(+)::chr13:12395356-12395368(+) AGCCGGAAGTTC >mm10_chr13:12460683-12460694(-)::chr13:12460682-12460694(-) TGTAGGAAGTAA >mm10_chr13:12520127-12520138(-)::chr13:12520126-12520138(-) acgaggaagaag >mm10_chr13:12520141-12520152(-)::chr13:12520140-12520152(-) TGCAGGAAGTga >mm10_chr13:12542827-12542838(+)::chr13:12542826-12542838(+) AGGAGGAAGAGA >mm10_chr13:12575106-12575117(-)::chr13:12575105-12575117(-) AAGAGGAAGAAT >mm10_chr13:12928415-12928426(-)::chr13:12928414-12928426(-) agaaggaaaatg >mm10_chr13:13504257-13504268(-)::chr13:13504256-13504268(-) AACAGGAAATAG >mm10_chr13:13538783-13538794(-)::chr13:13538782-13538794(-) AGCAGGAAGCTC >mm10_chr13:13568683-13568694(+)::chr13:13568682-13568694(+) ACGAGGAAGAGA >mm10_chr13:13575753-13575764(-)::chr13:13575752-13575764(-) ACAAGGAAATAA >mm10_chr13:13575811-13575822(-)::chr13:13575810-13575822(-) ACAAGGAAGGGA >mm10_chr13:13598164-13598175(-)::chr13:13598163-13598175(-) ATAAGGAAGCCA >mm10_chr13:13598216-13598227(-)::chr13:13598215-13598227(-) CAGAGGAAGTAT >mm10_chr13:13609437-13609448(-)::chr13:13609436-13609448(-) ggaaggaactga >mm10_chr13:13609441-13609452(-)::chr13:13609440-13609452(-) agaaggaaggaa >mm10_chr13:13746114-13746125(+)::chr13:13746113-13746125(+) GCCAGGAAGGAA >mm10_chr13:13746152-13746163(-)::chr13:13746151-13746163(-) TCAAGGAAGGCT >mm10_chr13:13746165-13746176(-)::chr13:13746164-13746176(-) ATAAGGAAAAGC >mm10_chr13:13746783-13746794(+)::chr13:13746782-13746794(+) TCAAGGAAGTCA >mm10_chr13:13866331-13866342(-)::chr13:13866330-13866342(-) ataaggaaacag >mm10_chr13:13866351-13866362(+)::chr13:13866350-13866362(+) acaaggaaggtt >mm10_chr13:13957140-13957151(+)::chr13:13957139-13957151(+) AGGAGGAAGGTC >mm10_chr13:14011763-14011774(+)::chr13:14011762-14011774(+) GGCAGGAAGGAA >mm10_chr13:14011767-14011778(+)::chr13:14011766-14011778(+) GGAAGGAAGAAA >mm10_chr13:14011775-14011786(+)::chr13:14011774-14011786(+) GAAAGGAAGGGG >mm10_chr13:14014752-14014763(-)::chr13:14014751-14014763(-) ACAAGGAAGAAT >mm10_chr13:14033272-14033283(-)::chr13:14033271-14033283(-) agtaggAAGTTG >mm10_chr13:14039604-14039615(+)::chr13:14039603-14039615(+) AGGCGGAAGTAT >mm10_chr13:14039641-14039652(+)::chr13:14039640-14039652(+) CAGAGGAAGTGA >mm10_chr13:14062540-14062551(-)::chr13:14062539-14062551(-) AGTAGGAAGAAC >mm10_chr13:14062591-14062602(-)::chr13:14062590-14062602(-) TAAGGGAAGTCC >mm10_chr13:14613071-14613082(-)::chr13:14613070-14613082(-) GCGGGGAAGTGG >mm10_chr13:14613217-14613228(+)::chr13:14613216-14613228(+) TAAAGGAAGCAT >mm10_chr13:14613227-14613238(-)::chr13:14613226-14613238(-) CGCAGTAAGTAT >mm10_chr13:14618454-14618465(-)::chr13:14618453-14618465(-) agaaggaaggaa >mm10_chr13:14618518-14618529(-)::chr13:14618517-14618529(-) agcaggaagatc >mm10_chr13:14954044-14954055(-)::chr13:14954043-14954055(-) atagggaagtta >mm10_chr13:15062794-15062805(-)::chr13:15062793-15062805(-) GCTAGGAAGTCC >mm10_chr13:15494676-15494687(-)::chr13:15494675-15494687(-) AGAAGGAAGTTC >mm10_chr13:15516333-15516344(-)::chr13:15516332-15516344(-) aagaggaagagg >mm10_chr13:15516339-15516350(-)::chr13:15516338-15516350(-) aggaggaagagg >mm10_chr13:15516351-15516362(-)::chr13:15516350-15516362(-) aggaggaaggga >mm10_chr13:15520506-15520517(+)::chr13:15520505-15520517(+) ACAAGGAAATGC >mm10_chr13:15531704-15531715(-)::chr13:15531703-15531715(-) AGAGGGAAGCCG >mm10_chr13:15537822-15537833(+)::chr13:15537821-15537833(+) GGGAGGAAGGCA >mm10_chr13:15577336-15577347(+)::chr13:15577335-15577347(+) ATAAGGAAATAA >mm10_chr13:15631267-15631278(+)::chr13:15631266-15631278(+) ATAAGGAAGTCT >mm10_chr13:15652235-15652246(+)::chr13:15652234-15652246(+) ACAAGGAAGGAA >mm10_chr13:15652239-15652250(+)::chr13:15652238-15652250(+) GGAAGGAAGAAG >mm10_chr13:15652253-15652264(+)::chr13:15652252-15652264(+) ACAAGGAAGGAG >mm10_chr13:15662418-15662429(+)::chr13:15662417-15662429(+) AGGAGGAAGCGG >mm10_chr13:15688686-15688697(+)::chr13:15688685-15688697(+) agcaggaagtac >mm10_chr13:15692445-15692456(+)::chr13:15692444-15692456(+) AACAGGAAGAAG >mm10_chr13:15692452-15692463(+)::chr13:15692451-15692463(+) AGAAGGAAAAAC >mm10_chr13:15692501-15692512(+)::chr13:15692500-15692512(+) ACCAGGAAGTAA >mm10_chr13:15763279-15763290(-)::chr13:15763278-15763290(-) GGAAGGAGGTAA >mm10_chr13:15763312-15763323(-)::chr13:15763311-15763323(-) ATTAGGAAGTAC >mm10_chr13:15777891-15777902(+)::chr13:15777890-15777902(+) CCAAGGAAATAG >mm10_chr13:15777898-15777909(+)::chr13:15777897-15777909(+) AATAGGAAGTTG >mm10_chr13:15846035-15846046(-)::chr13:15846034-15846046(-) AGAAGGATGTCT >mm10_chr13:16145758-16145769(+)::chr13:16145757-16145769(+) agcaggaactga >mm10_chr13:16439614-16439625(-)::chr13:16439613-16439625(-) AGGAGGAAATAT >mm10_chr13:16755562-16755573(-)::chr13:16755561-16755573(-) GAGAGGAAGAAG >mm10_chr13:16755597-16755608(-)::chr13:16755596-16755608(-) AGAAGGAAGCAG >mm10_chr13:16769613-16769624(-)::chr13:16769612-16769624(-) AGGAGGAAGGCG >mm10_chr13:16835377-16835388(+)::chr13:16835376-16835388(+) AAGAGGAAATGG >mm10_chr13:16947873-16947884(+)::chr13:16947872-16947884(+) ACAAGGAAGTGG >mm10_chr13:16953147-16953158(+)::chr13:16953146-16953158(+) aggaggaagaag >mm10_chr13:17034084-17034095(-)::chr13:17034083-17034095(-) TGAAGGAAGTGC >mm10_chr13:17034105-17034116(-)::chr13:17034104-17034116(-) AGAAGGAAAAGT >mm10_chr13:17034124-17034135(-)::chr13:17034123-17034135(-) TACAGGAAATGG >mm10_chr13:17068632-17068643(-)::chr13:17068631-17068643(-) AAGAGGAAGAGG >mm10_chr13:17695160-17695171(-)::chr13:17695159-17695171(-) AGACGGAAGCGG >mm10_chr13:17739436-17739447(-)::chr13:17739435-17739447(-) gcaaggaagcca >mm10_chr13:17799084-17799095(+)::chr13:17799083-17799095(+) TAGAGGAAGGAT >mm10_chr13:17799100-17799111(+)::chr13:17799099-17799111(+) ATAAGGAAGTCT >mm10_chr13:17804951-17804962(+)::chr13:17804950-17804962(+) ACGCGGAAGTGC >mm10_chr13:17838032-17838043(+)::chr13:17838031-17838043(+) ccacggaagtca >mm10_chr13:17838217-17838228(-)::chr13:17838216-17838228(-) TCCAGGAAGAAA >mm10_chr13:17838232-17838243(+)::chr13:17838231-17838243(+) ACAAGGAACTCA >mm10_chr13:17893283-17893294(-)::chr13:17893282-17893294(-) TACAGGAAGAAG >mm10_chr13:17905768-17905779(-)::chr13:17905767-17905779(-) ACCAGGAAATCC >mm10_chr13:17944268-17944279(-)::chr13:17944267-17944279(-) GCCAGGAAGTGC >mm10_chr13:17993445-17993456(-)::chr13:17993444-17993456(-) CGTAGGAAGAGA >mm10_chr13:18107686-18107697(-)::chr13:18107685-18107697(-) TGTAGGAAGTGT >mm10_chr13:18173138-18173149(+)::chr13:18173137-18173149(+) AACAGGAAGGAA >mm10_chr13:18173174-18173185(+)::chr13:18173173-18173185(+) ACAGGGAAGTGA >mm10_chr13:18186976-18186987(+)::chr13:18186975-18186987(+) ACAAGGAAACGC >mm10_chr13:18196067-18196078(-)::chr13:18196066-18196078(-) ATGAGGAAGTCA >mm10_chr13:18615275-18615286(-)::chr13:18615274-18615286(-) AACAGGAAGCTA >mm10_chr13:18721676-18721687(+)::chr13:18721675-18721687(+) CCAAGGAAGAGA >mm10_chr13:18771581-18771592(-)::chr13:18771580-18771592(-) ACAAGGAAGTTC >mm10_chr13:18779783-18779794(+)::chr13:18779782-18779794(+) taaaggaaatca >mm10_chr13:18782392-18782403(-)::chr13:18782391-18782403(-) ATAGGGAAATAT >mm10_chr13:18993664-18993675(+)::chr13:18993663-18993675(+) ggcgggaagtac >mm10_chr13:19137454-19137465(+)::chr13:19137453-19137465(+) TTAAGGAAGAAT >mm10_chr13:19389662-19389673(-)::chr13:19389661-19389673(-) ACAAGGAAGTTC >mm10_chr13:19394410-19394421(-)::chr13:19394409-19394421(-) agcaggaagtgt >mm10_chr13:19603897-19603908(-)::chr13:19603896-19603908(-) AAAAGGAAGTTT >mm10_chr13:19636168-19636179(+)::chr13:19636167-19636179(+) TGAAGGAAGAGC >mm10_chr13:20038883-20038894(-)::chr13:20038882-20038894(-) GTAAGGAAGCAC >mm10_chr13:20090533-20090544(+)::chr13:20090532-20090544(+) AGGAGGAAGGAA >mm10_chr13:20090537-20090548(+)::chr13:20090536-20090548(+) GGAAGGAAGAGG >mm10_chr13:20090543-20090554(+)::chr13:20090542-20090554(+) AAGAGGAAGTGA >mm10_chr13:20091458-20091469(+)::chr13:20091457-20091469(+) TAGAGGAAGAGG >mm10_chr13:20100635-20100646(+)::chr13:20100634-20100646(+) TAAAGGAAGCCC >mm10_chr13:20153106-20153117(-)::chr13:20153105-20153117(-) GTTAGGAAGTGT >mm10_chr13:20153178-20153189(+)::chr13:20153177-20153189(+) ACAAGGAAGGGG >mm10_chr13:20157801-20157812(+)::chr13:20157800-20157812(+) ATAGGGAAGTTA >mm10_chr13:20157815-20157826(-)::chr13:20157814-20157826(-) GAAAGGAAGTAA >mm10_chr13:20175312-20175323(+)::chr13:20175311-20175323(+) AAAAGGAAGAGG >mm10_chr13:20184914-20184925(-)::chr13:20184913-20184925(-) GTCAGGAAGTAG >mm10_chr13:20184928-20184939(-)::chr13:20184927-20184939(-) CCCAGGAAGTCA >mm10_chr13:20187777-20187788(+)::chr13:20187776-20187788(+) ATGAGGAAGCCA >mm10_chr13:20187790-20187801(-)::chr13:20187789-20187801(-) GGCAGGAAGAGC >mm10_chr13:20200871-20200882(-)::chr13:20200870-20200882(-) AGGAGGAAGCCA >mm10_chr13:20204982-20204993(+)::chr13:20204981-20204993(+) CAAAGGAAGGAC >mm10_chr13:20205023-20205034(+)::chr13:20205022-20205034(+) AAAAGGAAGGAG >mm10_chr13:20220513-20220524(+)::chr13:20220512-20220524(+) AGGAGGAAATAG >mm10_chr13:20220569-20220580(+)::chr13:20220568-20220580(+) GAGAGGAAGTTG >mm10_chr13:20232246-20232257(+)::chr13:20232245-20232257(+) ATAAGGAAACAA >mm10_chr13:20232290-20232301(-)::chr13:20232289-20232301(-) GGAAGGAAGCTC >mm10_chr13:20232294-20232305(-)::chr13:20232293-20232305(-) AAGAGGAAGGAA >mm10_chr13:20238291-20238302(-)::chr13:20238290-20238302(-) GGAAGGAAGTTA >mm10_chr13:20252097-20252108(+)::chr13:20252096-20252108(+) TAGAGGAAGAGG >mm10_chr13:20255594-20255605(-)::chr13:20255593-20255605(-) AGTAGGAAGGAA >mm10_chr13:20342958-20342969(+)::chr13:20342957-20342969(+) GGAAGGAAGAAG >mm10_chr13:20342965-20342976(+)::chr13:20342964-20342976(+) AGAAGGAAGTGG >mm10_chr13:20400582-20400593(+)::chr13:20400581-20400593(+) AGAAGGAAGCCA >mm10_chr13:20491100-20491111(+)::chr13:20491099-20491111(+) GAAAGGAAGGAA >mm10_chr13:20491104-20491115(+)::chr13:20491103-20491115(+) GGAAGGAAGCCA >mm10_chr13:20596563-20596574(-)::chr13:20596562-20596574(-) ATGAGGAAGTGC >mm10_chr13:20822505-20822516(+)::chr13:20822504-20822516(+) AGGAGGAAGAAA >mm10_chr13:21178428-21178439(-)::chr13:21178427-21178439(-) GAAAGGAAGCGC >mm10_chr13:21178442-21178453(-)::chr13:21178441-21178453(-) TGGAGGAAGTAG >mm10_chr13:21200190-21200201(-)::chr13:21200189-21200201(-) ACGAGAAAGTGC >mm10_chr13:21222874-21222885(+)::chr13:21222873-21222885(+) GGCAGGAAATAG >mm10_chr13:21302448-21302459(+)::chr13:21302447-21302459(+) AAAAGGAAGTCT >mm10_chr13:21355327-21355338(+)::chr13:21355326-21355338(+) GTAAGGAAGAAG >mm10_chr13:21355334-21355345(+)::chr13:21355333-21355345(+) AGAAGGAATATA >mm10_chr13:21355342-21355353(+)::chr13:21355341-21355353(+) TATAGGAAGGAG >mm10_chr13:21362819-21362830(-)::chr13:21362818-21362830(-) AACCGGAAGTCA >mm10_chr13:21402748-21402759(-)::chr13:21402747-21402759(-) AGCCGGAAGTGC >mm10_chr13:21441071-21441082(-)::chr13:21441070-21441082(-) TGCAGGAAGTGC >mm10_chr13:21441105-21441116(-)::chr13:21441104-21441116(-) TACAGGAAGTGC >mm10_chr13:21465094-21465105(+)::chr13:21465093-21465105(+) TAAAGCAAGTTA >mm10_chr13:21467554-21467565(+)::chr13:21467553-21467565(+) ACCAGGAAGCAG >mm10_chr13:21479175-21479186(+)::chr13:21479174-21479186(+) AACAGGAAGAGG >mm10_chr13:21724491-21724502(-)::chr13:21724490-21724502(-) TCCAGGAAGAGA >mm10_chr13:21725797-21725808(+)::chr13:21725796-21725808(+) ACAAGGAAGCTC >mm10_chr13:21759733-21759744(+)::chr13:21759732-21759744(+) ataaggaaataa >mm10_chr13:21759768-21759779(+)::chr13:21759767-21759779(+) acaaggaagtaa >mm10_chr13:21791128-21791139(+)::chr13:21791127-21791139(+) TAAAGGAAGAGA >mm10_chr13:21855199-21855210(-)::chr13:21855198-21855210(-) TGAATGAAGTGA >mm10_chr13:21881973-21881984(-)::chr13:21881972-21881984(-) CGAAGGAAGTCA >mm10_chr13:21889338-21889349(+)::chr13:21889337-21889349(+) acaaggaagtag >mm10_chr13:21889381-21889392(+)::chr13:21889380-21889392(+) agaaggaagtaa >mm10_chr13:21912625-21912636(+)::chr13:21912624-21912636(+) AGGAGGAAGAGA >mm10_chr13:21914683-21914694(-)::chr13:21914682-21914694(-) GTAGGGAAGTGA >mm10_chr13:21914879-21914890(-)::chr13:21914878-21914890(-) tagaggaagcga >mm10_chr13:21917254-21917265(-)::chr13:21917253-21917265(-) GGAAGAAAGTTA >mm10_chr13:21919368-21919379(+)::chr13:21919367-21919379(+) AAGAGGAAGGTG >mm10_chr13:21919428-21919439(-)::chr13:21919427-21919439(-) GCAAGGAAATTC >mm10_chr13:21924841-21924852(+)::chr13:21924840-21924852(+) CCAAGGAAATGA >mm10_chr13:21935562-21935573(+)::chr13:21935561-21935573(+) acgaggaAGTAA >mm10_chr13:21974383-21974394(-)::chr13:21974382-21974394(-) AACAGGAAGAAA >mm10_chr13:21981828-21981839(-)::chr13:21981827-21981839(-) TGAAGGAAGAGA >mm10_chr13:22041429-22041440(+)::chr13:22041428-22041440(+) AGGCGGAAGTGC >mm10_chr13:22042430-22042441(-)::chr13:22042429-22042441(-) GAAAGGAATTGA >mm10_chr13:23314948-23314959(-)::chr13:23314947-23314959(-) AGCAGGAAATCA >mm10_chr13:23314960-23314971(-)::chr13:23314959-23314971(-) AGAAGGAAGACG >mm10_chr13:23425729-23425740(+)::chr13:23425728-23425740(+) cgaaggAAGACG >mm10_chr13:23431658-23431669(-)::chr13:23431657-23431669(-) AACAGGAAATAC >mm10_chr13:23433086-23433097(-)::chr13:23433085-23433097(-) GGAAGCAAGTAC >mm10_chr13:23434694-23434705(-)::chr13:23434693-23434705(-) AACAGGAAATCC >mm10_chr13:23438817-23438828(-)::chr13:23438816-23438828(-) AGAAGGAAAAAA >mm10_chr13:23453576-23453587(-)::chr13:23453575-23453587(-) AACCGGAAGTTA >mm10_chr13:23460474-23460485(-)::chr13:23460473-23460485(-) AGAAGGAATTTG >mm10_chr13:23460902-23460913(+)::chr13:23460901-23460913(+) GGAAGGAAGAGG >mm10_chr13:23469384-23469395(-)::chr13:23469383-23469395(-) TGCAGGAAGAGG >mm10_chr13:23470162-23470173(+)::chr13:23470161-23470173(+) AAGAGGAAGTGC >mm10_chr13:23470963-23470974(+)::chr13:23470962-23470974(+) gtgaggaagtga >mm10_chr13:23471833-23471844(+)::chr13:23471832-23471844(+) TGGAGGAAGTTC >mm10_chr13:23475924-23475935(+)::chr13:23475923-23475935(+) ACAAGGAAAGTA >mm10_chr13:23488369-23488380(-)::chr13:23488368-23488380(-) aggaggaagaac >mm10_chr13:23497059-23497070(+)::chr13:23497058-23497070(+) tcaaggaaatgc >mm10_chr13:23503917-23503928(+)::chr13:23503916-23503928(+) ATCAGGAAGCAA >mm10_chr13:23526554-23526565(+)::chr13:23526553-23526565(+) CTTAGGAAGTGA >mm10_chr13:23544374-23544385(-)::chr13:23544373-23544385(-) ATGCGGAAGTAC >mm10_chr13:23556045-23556056(+)::chr13:23556044-23556056(+) GGCGGGAAGTCC >mm10_chr13:23556374-23556385(-)::chr13:23556373-23556385(-) TGGAGGAAATAG >mm10_chr13:23565117-23565128(-)::chr13:23565116-23565128(-) ACAAGGAAATGT >mm10_chr13:23565146-23565157(-)::chr13:23565145-23565157(-) AAGAGGAAATAA >mm10_chr13:23581578-23581589(-)::chr13:23581577-23581589(-) GGAAGGAAGCTC >mm10_chr13:23581582-23581593(-)::chr13:23581581-23581593(-) ACTAGGAAGGAA >mm10_chr13:23586973-23586984(-)::chr13:23586972-23586984(-) gggaggaagaga >mm10_chr13:23586981-23586992(-)::chr13:23586980-23586992(-) gggaggaaggga >mm10_chr13:23586993-23587004(-)::chr13:23586992-23587004(-) aggaggaaggga >mm10_chr13:23593113-23593124(+)::chr13:23593112-23593124(+) AACAGGAAGGAA >mm10_chr13:23619829-23619840(-)::chr13:23619828-23619840(-) AGCAGGAAGCAG >mm10_chr13:23651056-23651067(-)::chr13:23651055-23651067(-) TTAGGGAAGTAA >mm10_chr13:23755319-23755330(+)::chr13:23755318-23755330(+) AAAaggaagaag >mm10_chr13:23755376-23755387(+)::chr13:23755375-23755387(+) aggaggaagaag >mm10_chr13:23885782-23885793(-)::chr13:23885781-23885793(-) ATAAGGAAGTTG >mm10_chr13:23885818-23885829(+)::chr13:23885817-23885829(+) ACAAGGAAATAT >mm10_chr13:24037593-24037604(-)::chr13:24037592-24037604(-) TTCCGGAAGTAC >mm10_chr13:24105543-24105554(+)::chr13:24105542-24105554(+) ATAAGGAAGTCT >mm10_chr13:24113055-24113066(-)::chr13:24113054-24113066(-) TTAAGGAAGGTC >mm10_chr13:24113089-24113100(-)::chr13:24113088-24113100(-) GGAAGGAAGCAA >mm10_chr13:24161516-24161527(+)::chr13:24161515-24161527(+) ACAAGGAAACAC >mm10_chr13:24178269-24178280(+)::chr13:24178268-24178280(+) AAGCGGAAGTTG >mm10_chr13:24216429-24216440(+)::chr13:24216428-24216440(+) ggtaggaagtga >mm10_chr13:24216588-24216599(-)::chr13:24216587-24216599(-) TTAAGGATGTAT >mm10_chr13:24242994-24243005(-)::chr13:24242993-24243005(-) GGAAGGAAGTGT >mm10_chr13:24244822-24244833(+)::chr13:24244821-24244833(+) aagaggaagcag >mm10_chr13:24244829-24244840(+)::chr13:24244828-24244840(+) agcaggaagacc >mm10_chr13:24258013-24258024(-)::chr13:24258012-24258024(-) ATGAGGAAGTTT >mm10_chr13:24259509-24259520(+)::chr13:24259508-24259520(+) AGCAGGAAATCG >mm10_chr13:24270763-24270774(+)::chr13:24270762-24270774(+) GTACGGAAGAAC >mm10_chr13:24280687-24280698(+)::chr13:24280686-24280698(+) GCGAGGAAGCCG >mm10_chr13:24287505-24287516(+)::chr13:24287504-24287516(+) CCAGGGAAGTGT >mm10_chr13:24318917-24318928(-)::chr13:24318916-24318928(-) GGAAGGAACTTC >mm10_chr13:24318921-24318932(-)::chr13:24318920-24318932(-) ACAAGGAAGGAA >mm10_chr13:24357094-24357105(-)::chr13:24357093-24357105(-) AACAGGAAATGT >mm10_chr13:24396054-24396065(-)::chr13:24396053-24396065(-) AGAAGGAAATGC >mm10_chr13:24482200-24482211(-)::chr13:24482199-24482211(-) GGAAGGAAGCCT >mm10_chr13:24512175-24512186(+)::chr13:24512174-24512186(+) AGCAGGAAGAGG >mm10_chr13:24547105-24547116(+)::chr13:24547104-24547116(+) ACAAGGAAGAAG >mm10_chr13:24582731-24582742(-)::chr13:24582730-24582742(-) gacaggaagatt >mm10_chr13:24603196-24603207(+)::chr13:24603195-24603207(+) TCAGGGAAGTTG >mm10_chr13:24614592-24614603(+)::chr13:24614591-24614603(+) GGAAGGAAATCG >mm10_chr13:24642925-24642936(+)::chr13:24642924-24642936(+) TCCAGGAAGTTC >mm10_chr13:24642950-24642961(+)::chr13:24642949-24642961(+) AGAAGGAAGAGG >mm10_chr13:24643851-24643862(-)::chr13:24643850-24643862(-) AAAAGGAAGCAC >mm10_chr13:24643905-24643916(-)::chr13:24643904-24643916(-) TGAAGGAAGAGA >mm10_chr13:24644636-24644647(+)::chr13:24644635-24644647(+) ACCAGGAAGCTA >mm10_chr13:24675643-24675654(+)::chr13:24675642-24675654(+) AGAAGGAAAAGG >mm10_chr13:24675657-24675668(+)::chr13:24675656-24675668(+) AGCAGGAAGTGC >mm10_chr13:24679470-24679481(-)::chr13:24679469-24679481(-) TCTAGGAAGTCC >mm10_chr13:24686062-24686073(+)::chr13:24686061-24686073(+) AGCAGGAAGGCC >mm10_chr13:24687379-24687390(-)::chr13:24687378-24687390(-) TGGAGGAAGCGA >mm10_chr13:24702221-24702232(+)::chr13:24702220-24702232(+) AGCAGGAAGCTA >mm10_chr13:24702422-24702433(+)::chr13:24702421-24702433(+) ACAAGGAAACTA >mm10_chr13:24702497-24702508(+)::chr13:24702496-24702508(+) ACCAGGAAGAGT >mm10_chr13:24711135-24711146(+)::chr13:24711134-24711146(+) AGCAGGAAGGAA >mm10_chr13:24717857-24717868(-)::chr13:24717856-24717868(-) ACCAGGAAGTTG >mm10_chr13:24776339-24776350(+)::chr13:24776338-24776350(+) atcaggaagcca >mm10_chr13:24792627-24792638(-)::chr13:24792626-24792638(-) TGCAGGAAGAAA >mm10_chr13:24800377-24800388(-)::chr13:24800376-24800388(-) GTGAGGAAGAAA >mm10_chr13:24801506-24801517(-)::chr13:24801505-24801517(-) gcgaggaagagg >mm10_chr13:24857609-24857620(-)::chr13:24857608-24857620(-) AGAAGGAAGCAC >mm10_chr13:24862196-24862207(-)::chr13:24862195-24862207(-) AACAGGAAATCC >mm10_chr13:24931782-24931793(+)::chr13:24931781-24931793(+) AGAAGGAAGTTC >mm10_chr13:24965898-24965909(+)::chr13:24965897-24965909(+) GAAGGGAAGTGG >mm10_chr13:24965912-24965923(+)::chr13:24965911-24965923(+) AGACGGAAGTGG >mm10_chr13:25022489-25022500(+)::chr13:25022488-25022500(+) TGCAGGAAGCGG >mm10_chr13:25039122-25039133(-)::chr13:25039121-25039133(-) ggaagGAAGGGC >mm10_chr13:25125075-25125086(-)::chr13:25125074-25125086(-) agaaggaagttc >mm10_chr13:25125322-25125333(+)::chr13:25125321-25125333(+) agcaggaagctg >mm10_chr13:25125343-25125354(-)::chr13:25125342-25125354(-) aggaggaagtga >mm10_chr13:25135119-25135130(-)::chr13:25135118-25135130(-) aagaggaagaaa >mm10_chr13:25135125-25135136(-)::chr13:25135124-25135136(-) aagaggaagagg >mm10_chr13:25135131-25135142(-)::chr13:25135130-25135142(-) aataggaagagg >mm10_chr13:25135173-25135184(-)::chr13:25135172-25135184(-) aggaggaagaac >mm10_chr13:25135186-25135197(-)::chr13:25135185-25135197(-) aaaaggaagggg >mm10_chr13:25686352-25686363(+)::chr13:25686351-25686363(+) ACAAGGAAGCAA >mm10_chr13:25690629-25690640(+)::chr13:25690628-25690640(+) aacaggaagcag >mm10_chr13:25690657-25690668(-)::chr13:25690656-25690668(-) accaggaagcac >mm10_chr13:25755004-25755015(-)::chr13:25755003-25755015(-) GACAGGAAATAC >mm10_chr13:25791471-25791482(-)::chr13:25791470-25791482(-) AGGAGGAAGTGA >mm10_chr13:25791485-25791496(+)::chr13:25791484-25791496(+) AGGAGGAAGAAC >mm10_chr13:25851004-25851015(+)::chr13:25851003-25851015(+) aggaggaagagg >mm10_chr13:25851016-25851027(+)::chr13:25851015-25851027(+) aggaggaagaag >mm10_chr13:25851031-25851042(+)::chr13:25851030-25851042(+) aataggaagagg >mm10_chr13:25851043-25851054(+)::chr13:25851042-25851054(+) aggaggaagagg >mm10_chr13:25893737-25893748(+)::chr13:25893736-25893748(+) AGAAGGAAGTCA >mm10_chr13:25893765-25893776(+)::chr13:25893764-25893776(+) AAGAGGAAGTAG >mm10_chr13:25893772-25893783(+)::chr13:25893771-25893783(+) AGTAGGAAGCAG >mm10_chr13:26139473-26139484(-)::chr13:26139472-26139484(-) AGAAGGAAGGGA >mm10_chr13:26274323-26274334(+)::chr13:26274322-26274334(+) tagaggaagttg >mm10_chr13:26274386-26274397(-)::chr13:26274385-26274397(-) aggaggaaatca >mm10_chr13:26698716-26698727(-)::chr13:26698715-26698727(-) atagggaagtag >mm10_chr13:26698789-26698800(-)::chr13:26698788-26698800(-) acaaggaagtaa >mm10_chr13:26708972-26708983(+)::chr13:26708971-26708983(+) ATAAGGAAGAGC >mm10_chr13:26742883-26742894(+)::chr13:26742882-26742894(+) tgaaggaagtca >mm10_chr13:26742913-26742924(+)::chr13:26742912-26742924(+) aacaggatgtaa >mm10_chr13:26885368-26885379(+)::chr13:26885367-26885379(+) ATCAGGAAGCAA >mm10_chr13:26980637-26980648(-)::chr13:26980636-26980648(-) ACAAGGAAGTCC >mm10_chr13:26980679-26980690(+)::chr13:26980678-26980690(+) gcaaggaaatgt >mm10_chr13:27312535-27312546(-)::chr13:27312534-27312546(-) GGAAGGAAGTAA >mm10_chr13:27312539-27312550(-)::chr13:27312538-27312550(-) AACAGGAAGGAA >mm10_chr13:27398699-27398710(-)::chr13:27398698-27398710(-) AAAAGGAAATAA >mm10_chr13:28328232-28328243(+)::chr13:28328231-28328243(+) AGCAGGAAGAGA >mm10_chr13:28328250-28328261(+)::chr13:28328249-28328261(+) AGCAGGAAGTCA >mm10_chr13:28457726-28457737(+)::chr13:28457725-28457737(+) ACTAGGAAATGA >mm10_chr13:28457753-28457764(-)::chr13:28457752-28457764(-) ATGAGGAAGTGA >mm10_chr13:28461502-28461513(+)::chr13:28461501-28461513(+) AAGAGGAAGTGT >mm10_chr13:28520002-28520013(-)::chr13:28520001-28520013(-) TACAGGAAGGGA >mm10_chr13:28527507-28527518(+)::chr13:28527506-28527518(+) GGAAGGAAGAAG >mm10_chr13:28527538-28527549(+)::chr13:28527537-28527549(+) ACAAGGAAGGAA >mm10_chr13:28527542-28527553(+)::chr13:28527541-28527553(+) GGAAGGAAGCTG >mm10_chr13:28556824-28556835(+)::chr13:28556823-28556835(+) ATAGGGAAGAAA >mm10_chr13:28557004-28557015(-)::chr13:28557003-28557015(-) ACTAGGAAGACA >mm10_chr13:28583667-28583678(+)::chr13:28583666-28583678(+) aaaagcaagtgt >mm10_chr13:28589042-28589053(+)::chr13:28589041-28589053(+) agaaggaagagg >mm10_chr13:28604247-28604258(-)::chr13:28604246-28604258(-) CAGAGGAAGTGG >mm10_chr13:28607790-28607801(+)::chr13:28607789-28607801(+) TGCAGGAAGTCA >mm10_chr13:28651886-28651897(-)::chr13:28651885-28651897(-) GACAGGAAGGCA >mm10_chr13:28652788-28652799(+)::chr13:28652787-28652799(+) AACAGGAAGTGA >mm10_chr13:28652852-28652863(-)::chr13:28652851-28652863(-) GTCAGGAAGAGT >mm10_chr13:28720444-28720455(-)::chr13:28720443-28720455(-) AAGAGGAAGACA >mm10_chr13:28768448-28768459(+)::chr13:28768447-28768459(+) agagggaaggga >mm10_chr13:28768492-28768503(+)::chr13:28768491-28768503(+) aacgggaagtag >mm10_chr13:28768499-28768510(+)::chr13:28768498-28768510(+) agtaggaaggag >mm10_chr13:28768523-28768534(-)::chr13:28768522-28768534(-) AACAGGAAGCTG >mm10_chr13:28854423-28854434(-)::chr13:28854422-28854434(-) ACCAGGAAGCTG >mm10_chr13:28856659-28856670(+)::chr13:28856658-28856670(+) aacaggaagccc >mm10_chr13:28856672-28856683(+)::chr13:28856671-28856683(+) agtaggaagcaa >mm10_chr13:28856698-28856709(-)::chr13:28856697-28856709(-) tggaggaagtgg >mm10_chr13:28860317-28860328(-)::chr13:28860316-28860328(-) TGGAGGAAATCG >mm10_chr13:28886156-28886167(-)::chr13:28886155-28886167(-) ACTAGGAAGGAA >mm10_chr13:28886168-28886179(-)::chr13:28886167-28886179(-) GAAAGGAAGGGA >mm10_chr13:28886190-28886201(-)::chr13:28886189-28886201(-) AGGAGGAAGACC >mm10_chr13:28889530-28889541(+)::chr13:28889529-28889541(+) agaaggaagaaa >mm10_chr13:28889545-28889556(+)::chr13:28889544-28889556(+) agaaggaaaagg >mm10_chr13:28889551-28889562(+)::chr13:28889550-28889562(+) aaaaggaagagg >mm10_chr13:28889598-28889609(+)::chr13:28889597-28889609(+) atgaggaagaAG >mm10_chr13:28889617-28889628(+)::chr13:28889616-28889628(+) AACAGGAAGAAG >mm10_chr13:28905710-28905721(-)::chr13:28905709-28905721(-) GACAGGAAGTGA >mm10_chr13:28910193-28910204(-)::chr13:28910192-28910204(-) AAGAGGAAGCAA >mm10_chr13:28910225-28910236(-)::chr13:28910224-28910236(-) AAGAGGAAGGAA >mm10_chr13:28910231-28910242(-)::chr13:28910230-28910242(-) AGGAGGAAGAGG >mm10_chr13:28926674-28926685(+)::chr13:28926673-28926685(+) ACAAGGAAGGAG >mm10_chr13:28926688-28926699(+)::chr13:28926687-28926699(+) TACAGGAAGCAA >mm10_chr13:28930458-28930469(+)::chr13:28930457-28930469(+) GGGAGGAAGGTT >mm10_chr13:28931424-28931435(+)::chr13:28931423-28931435(+) AACAGGAAGGAA >mm10_chr13:28942528-28942539(-)::chr13:28942527-28942539(-) gggaggaagaga >mm10_chr13:28942548-28942559(-)::chr13:28942547-28942559(-) gggaggaaggga >mm10_chr13:28942581-28942592(+)::chr13:28942580-28942592(+) AGCAGGAAATAG >mm10_chr13:28945854-28945865(-)::chr13:28945853-28945865(-) aacgggaagtag >mm10_chr13:28945884-28945895(-)::chr13:28945883-28945895(-) accaggaactaa >mm10_chr13:28953762-28953773(-)::chr13:28953761-28953773(-) ACGAGGAAGCGT >mm10_chr13:28958036-28958047(-)::chr13:28958035-28958047(-) ACCAGGAAGGGA >mm10_chr13:28984250-28984261(+)::chr13:28984249-28984261(+) aaaaggaagggg >mm10_chr13:28984283-28984294(+)::chr13:28984282-28984294(+) aggaggaagaag >mm10_chr13:28984295-28984306(+)::chr13:28984294-28984306(+) aagaggaagaag >mm10_chr13:29006512-29006523(+)::chr13:29006511-29006523(+) AACAGGAAGATA >mm10_chr13:29192933-29192944(-)::chr13:29192932-29192944(-) AGAAGGAAAAAC >mm10_chr13:29197389-29197400(+)::chr13:29197388-29197400(+) TGCAGGAAGAGG >mm10_chr13:29232842-29232853(+)::chr13:29232841-29232853(+) TAACGGAAGGAA >mm10_chr13:29232846-29232857(+)::chr13:29232845-29232857(+) GGAAGGAAGGCA >mm10_chr13:29232898-29232909(-)::chr13:29232897-29232909(-) TCCAGGAAGATG >mm10_chr13:29235222-29235233(+)::chr13:29235221-29235233(+) GAcaggaagtgg >mm10_chr13:29294730-29294741(-)::chr13:29294729-29294741(-) aagaggaaggag >mm10_chr13:29294736-29294747(-)::chr13:29294735-29294747(-) aggaggaagagg >mm10_chr13:29294743-29294754(-)::chr13:29294742-29294754(-) aagaggaaggag >mm10_chr13:29294749-29294760(-)::chr13:29294748-29294760(-) aggaggaagagg >mm10_chr13:29349823-29349834(+)::chr13:29349822-29349834(+) GGAAAGAAGTGT >mm10_chr13:29357473-29357484(+)::chr13:29357472-29357484(+) AAAAGGAAGAGG >mm10_chr13:29357479-29357490(+)::chr13:29357478-29357490(+) AAGAGGAAATGT >mm10_chr13:29388207-29388218(+)::chr13:29388206-29388218(+) ACCAGGAAGACC >mm10_chr13:29388256-29388267(-)::chr13:29388255-29388267(-) TGAAGGAAGATG >mm10_chr13:29400204-29400215(+)::chr13:29400203-29400215(+) AGGAGGAAGTTG >mm10_chr13:29403661-29403672(+)::chr13:29403660-29403672(+) TGAAGGAAGTTT >mm10_chr13:29446465-29446476(+)::chr13:29446464-29446476(+) CACAGGAAGTGC >mm10_chr13:29553080-29553091(+)::chr13:29553079-29553091(+) accaggaagctg >mm10_chr13:29616409-29616420(-)::chr13:29616408-29616420(-) AACAGGAAGGAA >mm10_chr13:29650732-29650743(+)::chr13:29650731-29650743(+) ATGAGGAAGCAG >mm10_chr13:29650775-29650786(+)::chr13:29650774-29650786(+) AGAAGGAAGCTA >mm10_chr13:29669641-29669652(-)::chr13:29669640-29669652(-) GACAGGAAGACA >mm10_chr13:29746641-29746652(-)::chr13:29746640-29746652(-) TGCAGGAAGAGG >mm10_chr13:29760586-29760597(-)::chr13:29760585-29760597(-) ATCAGGATGTAA >mm10_chr13:29780966-29780977(-)::chr13:29780965-29780977(-) GAAAGGAAGTGA >mm10_chr13:29806804-29806815(-)::chr13:29806803-29806815(-) GAGAGGAAGTAC >mm10_chr13:29807235-29807246(+)::chr13:29807234-29807246(+) gagaggaagagg >mm10_chr13:29829094-29829105(+)::chr13:29829093-29829105(+) AACAGGAAATGT >mm10_chr13:29864469-29864480(+)::chr13:29864468-29864480(+) GTGAGGAAGTCT >mm10_chr13:30045357-30045368(+)::chr13:30045356-30045368(+) AGGAGGAAATGT >mm10_chr13:30109301-30109312(-)::chr13:30109300-30109312(-) ATGAGGAAATGT >mm10_chr13:30109409-30109420(-)::chr13:30109408-30109420(-) TGCAGGAAATGA >mm10_chr13:30113496-30113507(-)::chr13:30113495-30113507(-) gacaggaaggag >mm10_chr13:30115414-30115425(-)::chr13:30115413-30115425(-) aagaggaagaga >mm10_chr13:30115420-30115431(-)::chr13:30115419-30115431(-) agaaggaagagg >mm10_chr13:30115466-30115477(-)::chr13:30115465-30115477(-) aggaggaagaaa >mm10_chr13:30115491-30115502(-)::chr13:30115490-30115502(-) agaagaaagtag >mm10_chr13:30138119-30138130(+)::chr13:30138118-30138130(+) ATGAGGAAGTTG >mm10_chr13:30166055-30166066(+)::chr13:30166054-30166066(+) AGAAGGAAGTGG >mm10_chr13:30166871-30166882(-)::chr13:30166870-30166882(-) TTCAGGAAGTTT >mm10_chr13:30198442-30198453(-)::chr13:30198441-30198453(-) AAGAGGAAGAAC >mm10_chr13:30260905-30260916(-)::chr13:30260904-30260916(-) ATAAGTAAGCCG >mm10_chr13:30266034-30266045(-)::chr13:30266033-30266045(-) ataaggaaatta >mm10_chr13:30400962-30400973(-)::chr13:30400961-30400973(-) ACCAGGAAGGTC >mm10_chr13:30469581-30469592(+)::chr13:30469580-30469592(+) TTAAGGAAATGT >mm10_chr13:30469621-30469632(-)::chr13:30469620-30469632(-) TCCAGGAAGTTC >mm10_chr13:30497853-30497864(+)::chr13:30497852-30497864(+) AGGAGGAAGTAG >mm10_chr13:30497874-30497885(+)::chr13:30497873-30497885(+) GGGAGGAAGGTT >mm10_chr13:30525457-30525468(+)::chr13:30525456-30525468(+) agacggaagaga >mm10_chr13:30525502-30525513(-)::chr13:30525501-30525513(-) aggaggaagtgt >mm10_chr13:30579345-30579356(+)::chr13:30579344-30579356(+) AAAGGGAAGTCC >mm10_chr13:30579622-30579633(-)::chr13:30579621-30579633(-) TCCAGGAAGATG >mm10_chr13:30703254-30703265(-)::chr13:30703253-30703265(-) ACAAGGAAAAGA >mm10_chr13:30813259-30813270(+)::chr13:30813258-30813270(+) GGAAGGAAGCCC >mm10_chr13:30813283-30813294(-)::chr13:30813282-30813294(-) GTGAGGAAGAAT >mm10_chr13:30849033-30849044(-)::chr13:30849032-30849044(-) GTAAGGAAATGC >mm10_chr13:30864462-30864473(+)::chr13:30864461-30864473(+) AGCAGGAAGTGA >mm10_chr13:30957095-30957106(+)::chr13:30957094-30957106(+) AGAAGGAAGTGA >mm10_chr13:30957141-30957152(+)::chr13:30957140-30957152(+) TGGAGGAAGCAA >mm10_chr13:31184740-31184751(-)::chr13:31184739-31184751(-) GAAAGTAAGTGT >mm10_chr13:31226925-31226936(-)::chr13:31226924-31226936(-) TTGAGGAAGTAA >mm10_chr13:31226933-31226944(+)::chr13:31226932-31226944(+) TCAAGGAAGAAC >mm10_chr13:31226941-31226952(-)::chr13:31226940-31226952(-) CACAGGAAGTTC >mm10_chr13:31226963-31226974(-)::chr13:31226962-31226974(-) GGGAGGAAGGCA >mm10_chr13:31327876-31327887(+)::chr13:31327875-31327887(+) TGTAGGAAGAAC >mm10_chr13:31417259-31417270(-)::chr13:31417258-31417270(-) AACAGGAAGTAC >mm10_chr13:31417292-31417303(-)::chr13:31417291-31417303(-) AACAGGAAGTCC >mm10_chr13:31459118-31459129(+)::chr13:31459117-31459129(+) AGAAGGAAATGC >mm10_chr13:31487174-31487185(-)::chr13:31487173-31487185(-) ACTAGGAAGAGA >mm10_chr13:31488671-31488682(+)::chr13:31488670-31488682(+) TGGAGGAAGTAA >mm10_chr13:31488698-31488709(+)::chr13:31488697-31488709(+) AGAAGGAAGAGA >mm10_chr13:31506931-31506942(-)::chr13:31506930-31506942(-) ATAAGGAAGAGA >mm10_chr13:31534899-31534910(+)::chr13:31534898-31534910(+) ACAAGGAAGAGA >mm10_chr13:31534912-31534923(+)::chr13:31534911-31534923(+) GAAAGGAAGAAA >mm10_chr13:31557156-31557167(+)::chr13:31557155-31557167(+) AGGAGGAAGCTG >mm10_chr13:31557195-31557206(-)::chr13:31557194-31557206(-) ACTAGGAAGGGT >mm10_chr13:31577703-31577714(+)::chr13:31577702-31577714(+) TCCAGGAAGCCG >mm10_chr13:31577716-31577727(-)::chr13:31577715-31577727(-) GGGAGGAAATAC >mm10_chr13:31689558-31689569(-)::chr13:31689557-31689569(-) ACTAGGAAGGAG >mm10_chr13:31689573-31689584(+)::chr13:31689572-31689584(+) AGAAGGAACTAC >mm10_chr13:31775993-31776004(-)::chr13:31775992-31776004(-) GGGAGGAAGTCT >mm10_chr13:31779589-31779600(+)::chr13:31779588-31779600(+) ACCAGGAAGCCA >mm10_chr13:31779870-31779881(-)::chr13:31779869-31779881(-) aagAGGAAGGGG >mm10_chr13:31786362-31786373(+)::chr13:31786361-31786373(+) GACAGGAAGGAA >mm10_chr13:31786380-31786391(-)::chr13:31786379-31786391(-) AAGAGGAAGCAG >mm10_chr13:31792782-31792793(+)::chr13:31792781-31792793(+) AAAAGGAAGATG >mm10_chr13:31793164-31793175(-)::chr13:31793163-31793175(-) AGAAGGAAGAGC >mm10_chr13:31806658-31806669(-)::chr13:31806657-31806669(-) TTAAGGAAGCAT >mm10_chr13:31827321-31827332(+)::chr13:31827320-31827332(+) TCCAGGAAGGCG >mm10_chr13:31828673-31828684(+)::chr13:31828672-31828684(+) TTAAGGAAGCGA >mm10_chr13:31859646-31859657(+)::chr13:31859645-31859657(+) AGGAGGAAGAGC >mm10_chr13:31863771-31863782(-)::chr13:31863770-31863782(-) ACACGGAAATGA >mm10_chr13:31874701-31874712(+)::chr13:31874700-31874712(+) ACCAGGAAATGT >mm10_chr13:31958513-31958524(-)::chr13:31958512-31958524(-) TCGAGGAAGAGA >mm10_chr13:31992755-31992766(-)::chr13:31992754-31992766(-) CCAAGGAAGTGC >mm10_chr13:32008383-32008394(-)::chr13:32008382-32008394(-) TGGAGGAAATAT >mm10_chr13:32008420-32008431(+)::chr13:32008419-32008431(+) GTGAGGAAGACA >mm10_chr13:32019031-32019042(+)::chr13:32019030-32019042(+) AGGAGGAAGGAA >mm10_chr13:32019035-32019046(+)::chr13:32019034-32019046(+) GGAAGGAAGTCT >mm10_chr13:32025580-32025591(+)::chr13:32025579-32025591(+) GGGAGGAAGTAA >mm10_chr13:32025624-32025635(+)::chr13:32025623-32025635(+) AAGAGGAAGGGG >mm10_chr13:32029129-32029140(-)::chr13:32029128-32029140(-) TGGAGGAAGTGG >mm10_chr13:32029162-32029173(-)::chr13:32029161-32029173(-) AGGAGGAAGTTC >mm10_chr13:32029626-32029637(+)::chr13:32029625-32029637(+) TAGAGGAAGAGC >mm10_chr13:32042942-32042953(-)::chr13:32042941-32042953(-) ATGAGGAAGGGC >mm10_chr13:32104688-32104699(+)::chr13:32104687-32104699(+) aggaggaagagg >mm10_chr13:32104700-32104711(+)::chr13:32104699-32104711(+) aggaggaagaaa >mm10_chr13:32104720-32104731(+)::chr13:32104719-32104731(+) aggaggaagagg >mm10_chr13:32151985-32151996(+)::chr13:32151984-32151996(+) aataggaagagg >mm10_chr13:32152008-32152019(+)::chr13:32152007-32152019(+) aggaggaagagg >mm10_chr13:32152020-32152031(+)::chr13:32152019-32152031(+) aggaggaagagg >mm10_chr13:32152032-32152043(+)::chr13:32152031-32152043(+) aggaggaagagg >mm10_chr13:32212323-32212334(+)::chr13:32212322-32212334(+) TTGAGGAAGTGA >mm10_chr13:32217829-32217840(-)::chr13:32217828-32217840(-) TATCGGAAGTAG >mm10_chr13:32228052-32228063(-)::chr13:32228051-32228063(-) aggaggaagtgg >mm10_chr13:32236499-32236510(-)::chr13:32236498-32236510(-) AGGAGGAAGTGA >mm10_chr13:32236510-32236521(-)::chr13:32236509-32236521(-) GCAAGGAAATGA >mm10_chr13:32242239-32242250(+)::chr13:32242238-32242250(+) GACAGGAAGGAA >mm10_chr13:32242243-32242254(+)::chr13:32242242-32242254(+) GGAAGGAAATAG >mm10_chr13:32306511-32306522(+)::chr13:32306510-32306522(+) GTAAGGACGGTA >mm10_chr13:32308047-32308058(+)::chr13:32308046-32308058(+) ACCAGGAAGTCC >mm10_chr13:32318613-32318624(+)::chr13:32318612-32318624(+) AGAAGGAAACGG >mm10_chr13:32318650-32318661(+)::chr13:32318649-32318661(+) ACAAGGAAATGA >mm10_chr13:32338817-32338828(+)::chr13:32338816-32338828(+) AAGAGGAAGGAT >mm10_chr13:32338821-32338832(+)::chr13:32338820-32338832(+) GGAAGGATGTAA >mm10_chr13:32410208-32410219(+)::chr13:32410207-32410219(+) AACAGGAAATGT >mm10_chr13:32412066-32412077(-)::chr13:32412065-32412077(-) AGAAGGAAATGG >mm10_chr13:32412087-32412098(-)::chr13:32412086-32412098(-) GGGAGGAAGTTA >mm10_chr13:32419117-32419128(+)::chr13:32419116-32419128(+) TGGAGGAAGTGA >mm10_chr13:32423686-32423697(+)::chr13:32423685-32423697(+) aggaggaagagg >mm10_chr13:32423692-32423703(+)::chr13:32423691-32423703(+) aagaggaagaag >mm10_chr13:32423702-32423713(+)::chr13:32423701-32423713(+) aggaggaagagg >mm10_chr13:32423708-32423719(+)::chr13:32423707-32423719(+) aagaggaagaga >mm10_chr13:32423727-32423738(+)::chr13:32423726-32423738(+) aagaggaagcag >mm10_chr13:32423745-32423756(+)::chr13:32423744-32423756(+) aggaggaagaag >mm10_chr13:32423757-32423768(+)::chr13:32423756-32423768(+) aggaggaagagg >mm10_chr13:32426343-32426354(+)::chr13:32426342-32426354(+) ACAAGGATGTGT >mm10_chr13:32479226-32479237(+)::chr13:32479225-32479237(+) ATGAGGAAGAAC >mm10_chr13:32480119-32480130(-)::chr13:32480118-32480130(-) ACAAGGAAACAA >mm10_chr13:32492003-32492014(+)::chr13:32492002-32492014(+) acagggaaggta >mm10_chr13:32564662-32564673(-)::chr13:32564661-32564673(-) agaaggaagagt >mm10_chr13:32602646-32602657(+)::chr13:32602645-32602657(+) AGGAGGAAGAAG >mm10_chr13:32634393-32634404(-)::chr13:32634392-32634404(-) AGCAGGAACTCG >mm10_chr13:32662287-32662298(-)::chr13:32662286-32662298(-) AGAAGGAAGTTT >mm10_chr13:32849215-32849226(-)::chr13:32849214-32849226(-) TTAAGGAAGTTA >mm10_chr13:32849245-32849256(-)::chr13:32849244-32849256(-) AAGAGGAAGTAA >mm10_chr13:32904597-32904608(-)::chr13:32904596-32904608(-) ataaggaaattg >mm10_chr13:32965429-32965440(-)::chr13:32965428-32965440(-) GTCAGGAAGTCC >mm10_chr13:32967336-32967347(+)::chr13:32967335-32967347(+) AGCAGGAAGCAG >mm10_chr13:32967343-32967354(+)::chr13:32967342-32967354(+) AGCAGGAAGCTC >mm10_chr13:32969919-32969930(-)::chr13:32969918-32969930(-) AAAATGAAGTAA >mm10_chr13:33003345-33003356(+)::chr13:33003344-33003356(+) GTAAGGAAGCCA >mm10_chr13:33003416-33003427(-)::chr13:33003415-33003427(-) AGCAGGAAGTGA >mm10_chr13:33004540-33004551(-)::chr13:33004539-33004551(-) AGCAGGAAGAGG >mm10_chr13:33137895-33137906(-)::chr13:33137894-33137906(-) ATAAGGAAGCCA >mm10_chr13:33645399-33645410(-)::chr13:33645398-33645410(-) acaaagaagtag >mm10_chr13:33645427-33645438(-)::chr13:33645426-33645438(-) acaaggaagaat >mm10_chr13:33645469-33645480(-)::chr13:33645468-33645480(-) gcaaagaagtaa >mm10_chr13:33857133-33857144(+)::chr13:33857132-33857144(+) AGGAGGAAGTAG >mm10_chr13:34006702-34006713(+)::chr13:34006701-34006713(+) AACAGGAAGACT >mm10_chr13:34007340-34007351(-)::chr13:34007339-34007351(-) gaaaggaaataa >mm10_chr13:34007356-34007367(+)::chr13:34007355-34007367(+) atgaggaaatgg >mm10_chr13:34007377-34007388(-)::chr13:34007376-34007388(-) ttaaggaactta >mm10_chr13:34029144-34029155(-)::chr13:34029143-34029155(-) agcaggaagccc >mm10_chr13:34053236-34053247(-)::chr13:34053235-34053247(-) agaaggaaattt >mm10_chr13:34053243-34053254(-)::chr13:34053242-34053254(-) acaaggaagaag >mm10_chr13:34053302-34053313(-)::chr13:34053301-34053313(-) aacaggaagacc >mm10_chr13:34096689-34096700(-)::chr13:34096688-34096700(-) CTAAGGAAGTGC >mm10_chr13:34109752-34109763(-)::chr13:34109751-34109763(-) AGGAGGAAATCA >mm10_chr13:34109768-34109779(-)::chr13:34109767-34109779(-) GGAAGAAAGTGA >mm10_chr13:34162774-34162785(+)::chr13:34162773-34162785(+) CAAAGGAAGATG >mm10_chr13:34162825-34162836(+)::chr13:34162824-34162836(+) AGAAGGAAAAAG >mm10_chr13:34181816-34181827(+)::chr13:34181815-34181827(+) GGCAGGAAGCCA >mm10_chr13:34193481-34193492(+)::chr13:34193480-34193492(+) AAGAGGAAGAAG >mm10_chr13:34193547-34193558(-)::chr13:34193546-34193558(-) AAAAGGAAGTTC >mm10_chr13:34196438-34196449(-)::chr13:34196437-34196449(-) gaaaggaagaaa >mm10_chr13:34196446-34196457(-)::chr13:34196445-34196457(-) ggaaggaagaaa >mm10_chr13:34196450-34196461(-)::chr13:34196449-34196461(-) ggaaggaaggaa >mm10_chr13:34196454-34196465(-)::chr13:34196453-34196465(-) Agaaggaaggaa >mm10_chr13:34229091-34229102(+)::chr13:34229090-34229102(+) ATGAGGAAGAAA >mm10_chr13:34229103-34229114(+)::chr13:34229102-34229114(+) AACAGGAAGTCA >mm10_chr13:34229139-34229150(-)::chr13:34229138-34229150(-) TACAGGAAGTGG >mm10_chr13:34229149-34229160(-)::chr13:34229148-34229160(-) GGAAGGAAGGTA >mm10_chr13:34253326-34253337(-)::chr13:34253325-34253337(-) TAAAGGAAGGAA >mm10_chr13:34253335-34253346(+)::chr13:34253334-34253346(+) TTAAGGAAGCAA >mm10_chr13:34254961-34254972(-)::chr13:34254960-34254972(-) ATGAGGAAGTGC >mm10_chr13:34255324-34255335(+)::chr13:34255323-34255335(+) AGAAGGAAGTGA >mm10_chr13:34255343-34255354(+)::chr13:34255342-34255354(+) AGAGGGAAGTTC >mm10_chr13:34271760-34271771(+)::chr13:34271759-34271771(+) AGCAGGAAATGG >mm10_chr13:34274396-34274407(+)::chr13:34274395-34274407(+) AAAAGGAAGACT >mm10_chr13:34274445-34274456(+)::chr13:34274444-34274456(+) AGAAGGAAGCAC >mm10_chr13:34274740-34274751(+)::chr13:34274739-34274751(+) ACAAGGAAGCCA >mm10_chr13:34282248-34282259(-)::chr13:34282247-34282259(-) AGCAGGAAATAA >mm10_chr13:34282265-34282276(+)::chr13:34282264-34282276(+) AGAAGGAAGTGT >mm10_chr13:34290521-34290532(-)::chr13:34290520-34290532(-) GCAAGGAAGGGG >mm10_chr13:34313439-34313450(-)::chr13:34313438-34313450(-) TTAAGGAAGCTC >mm10_chr13:34314157-34314168(-)::chr13:34314156-34314168(-) ACAAGGAAAGGA >mm10_chr13:34314171-34314182(+)::chr13:34314170-34314182(+) TTAAGGAAGCAC >mm10_chr13:34337310-34337321(+)::chr13:34337309-34337321(+) TGACGGAAGGGG >mm10_chr13:34346712-34346723(-)::chr13:34346711-34346723(-) aggaggaagaga >mm10_chr13:34346724-34346735(-)::chr13:34346723-34346735(-) gggaggaagaga >mm10_chr13:34346755-34346766(-)::chr13:34346754-34346766(-) tggaggaagagg >mm10_chr13:34398220-34398231(+)::chr13:34398219-34398231(+) AGCAGGAAGTGA >mm10_chr13:34440402-34440413(-)::chr13:34440401-34440413(-) TTGAGGAAGTGA >mm10_chr13:34461006-34461017(+)::chr13:34461005-34461017(+) TGTAGGAAATAA >mm10_chr13:34480498-34480509(-)::chr13:34480497-34480509(-) TTCAGGAAGTAC >mm10_chr13:34607446-34607457(-)::chr13:34607445-34607457(-) ACCAGGAAGAGA >mm10_chr13:34644755-34644766(-)::chr13:34644754-34644766(-) CCCAGGAAGTTT >mm10_chr13:34644774-34644785(+)::chr13:34644773-34644785(+) AGGAGGAAGCTG >mm10_chr13:34644785-34644796(-)::chr13:34644784-34644796(-) GGAAGGAAGAAC >mm10_chr13:34644789-34644800(-)::chr13:34644788-34644800(-) AAGAGGAAGGAA >mm10_chr13:34666943-34666954(-)::chr13:34666942-34666954(-) AGAGGGAAGTAA >mm10_chr13:34666970-34666981(+)::chr13:34666969-34666981(+) TCCAGGAAGTAT >mm10_chr13:34678356-34678367(+)::chr13:34678355-34678367(+) aacaggaagccg >mm10_chr13:34688084-34688095(-)::chr13:34688083-34688095(-) AGAAGGAAGTGG >mm10_chr13:34688091-34688102(-)::chr13:34688090-34688102(-) ATCAGGAAGAAG >mm10_chr13:34693181-34693192(+)::chr13:34693180-34693192(+) GCAAGTAAGTGA >mm10_chr13:34693193-34693204(-)::chr13:34693192-34693204(-) TTCAGGAAGTAT >mm10_chr13:34708882-34708893(-)::chr13:34708881-34708893(-) GGAAGGATGTGA >mm10_chr13:34708886-34708897(-)::chr13:34708885-34708897(-) GAGAGGAAGGAT >mm10_chr13:34708928-34708939(+)::chr13:34708927-34708939(+) ATAAGAAAGTTC >mm10_chr13:34715570-34715581(+)::chr13:34715569-34715581(+) accaggaaggag >mm10_chr13:34724936-34724947(+)::chr13:34724935-34724947(+) TTAGGGAAGTGT >mm10_chr13:34734877-34734888(+)::chr13:34734876-34734888(+) AGAAGGAAGAGT >mm10_chr13:34761365-34761376(-)::chr13:34761364-34761376(-) TACAGGAAATGT >mm10_chr13:34774257-34774268(+)::chr13:34774256-34774268(+) ACAAGGAAGCCC >mm10_chr13:34871549-34871560(-)::chr13:34871548-34871560(-) GGAAGGAAGGTT >mm10_chr13:34871553-34871564(-)::chr13:34871552-34871564(-) AGGAGGAAGGAA >mm10_chr13:34874520-34874531(-)::chr13:34874519-34874531(-) GGACGGAAGTGA >mm10_chr13:34894540-34894551(+)::chr13:34894539-34894551(+) ACCAGGAAGTGG >mm10_chr13:34963784-34963795(+)::chr13:34963783-34963795(+) TCAAGGAAGGAA >mm10_chr13:34963788-34963799(+)::chr13:34963787-34963799(+) GGAAGGAAGTTA >mm10_chr13:34973933-34973944(-)::chr13:34973932-34973944(-) agaaggaagtct >mm10_chr13:34991108-34991119(+)::chr13:34991107-34991119(+) CTCAGGAAGTTC >mm10_chr13:35027001-35027012(-)::chr13:35027000-35027012(-) AAAAGTAAGTAC >mm10_chr13:35066503-35066514(+)::chr13:35066502-35066514(+) TGAAGGAAGCTA >mm10_chr13:35079794-35079805(-)::chr13:35079793-35079805(-) AGAAGGAAGGGG >mm10_chr13:35181531-35181542(-)::chr13:35181530-35181542(-) AAGAGGAAGGTG >mm10_chr13:35189205-35189216(-)::chr13:35189204-35189216(-) AGACGGAAGTGA >mm10_chr13:35251357-35251368(+)::chr13:35251356-35251368(+) acaaggaagtgg >mm10_chr13:35254630-35254641(+)::chr13:35254629-35254641(+) AACAGGAAGTGA >mm10_chr13:35254640-35254651(-)::chr13:35254639-35254651(-) GCCAGGAAGATC >mm10_chr13:35263532-35263543(-)::chr13:35263531-35263543(-) ACAAGGAAAGAA >mm10_chr13:35359193-35359204(+)::chr13:35359192-35359204(+) ccaaggaagaag >mm10_chr13:35359200-35359211(+)::chr13:35359199-35359211(+) agaaggaaaagc >mm10_chr13:35395260-35395271(-)::chr13:35395259-35395271(-) AACAGGAAATGT >mm10_chr13:35453761-35453772(+)::chr13:35453760-35453772(+) CAAAGGAAGCGC >mm10_chr13:35538261-35538272(-)::chr13:35538260-35538272(-) GGAAGGAAGAGT >mm10_chr13:35538265-35538276(-)::chr13:35538264-35538276(-) CGAAGGAAGGAA >mm10_chr13:35542687-35542698(-)::chr13:35542686-35542698(-) gagaggaagaga >mm10_chr13:35542699-35542710(-)::chr13:35542698-35542710(-) agaaggaagaga >mm10_chr13:35584281-35584292(+)::chr13:35584280-35584292(+) AGCAGGAAGCTG >mm10_chr13:35759884-35759895(+)::chr13:35759883-35759895(+) GGATGGAAGTGT >mm10_chr13:35763006-35763017(+)::chr13:35763005-35763017(+) AAGAGGAAGAGG >mm10_chr13:35770040-35770051(-)::chr13:35770039-35770051(-) GGAAGGAAGAAA >mm10_chr13:35770044-35770055(-)::chr13:35770043-35770055(-) ACAAGGAAGGAA >mm10_chr13:35783825-35783836(+)::chr13:35783824-35783836(+) CACAGGAAGTTG >mm10_chr13:35799694-35799705(-)::chr13:35799693-35799705(-) aggaggaagtca >mm10_chr13:35800083-35800094(-)::chr13:35800082-35800094(-) ATCAGGAAGACA >mm10_chr13:35820031-35820042(+)::chr13:35820030-35820042(+) TCAAGGAAGTGT >mm10_chr13:35829048-35829059(-)::chr13:35829047-35829059(-) TGAAGGAAGTCC >mm10_chr13:35829113-35829124(-)::chr13:35829112-35829124(-) AAAAGGAAGGAG >mm10_chr13:35843891-35843902(+)::chr13:35843890-35843902(+) ACAAGGAAATGG >mm10_chr13:35843897-35843908(+)::chr13:35843896-35843908(+) AAATGGAAGTGA >mm10_chr13:35852622-35852633(+)::chr13:35852621-35852633(+) AGCAGGAAATTA >mm10_chr13:35860902-35860913(-)::chr13:35860901-35860913(-) ACAACGAAGTCC >mm10_chr13:35880472-35880483(+)::chr13:35880471-35880483(+) aagaggaaggga >mm10_chr13:35880501-35880512(-)::chr13:35880500-35880512(-) aacaggaaatat >mm10_chr13:35983071-35983082(+)::chr13:35983070-35983082(+) ACGAGGAAGAAC >mm10_chr13:36019878-36019889(+)::chr13:36019877-36019889(+) ACAAGGAAAAGT >mm10_chr13:36027776-36027787(-)::chr13:36027775-36027787(-) acaaggaaggct >mm10_chr13:36028513-36028524(+)::chr13:36028512-36028524(+) tggaggaagtat >mm10_chr13:36028532-36028543(-)::chr13:36028531-36028543(-) aacaggaagaga >mm10_chr13:36052832-36052843(+)::chr13:36052831-36052843(+) atgaggaagtcc >mm10_chr13:36055342-36055353(-)::chr13:36055341-36055353(-) AAGAGGAAGAAA >mm10_chr13:36055348-36055359(-)::chr13:36055347-36055359(-) AGAAGGAAGAGG >mm10_chr13:36088442-36088453(+)::chr13:36088441-36088453(+) GGAAGGAAGGCC >mm10_chr13:36101216-36101227(+)::chr13:36101215-36101227(+) TTGaggaagata >mm10_chr13:36117806-36117817(-)::chr13:36117805-36117817(-) GCCAGGAAGTCG >mm10_chr13:36122919-36122930(+)::chr13:36122918-36122930(+) gacaggaagtca >mm10_chr13:36138554-36138565(-)::chr13:36138553-36138565(-) agcaggaagtga >mm10_chr13:36145847-36145858(+)::chr13:36145846-36145858(+) TAGAGGAAGTGG >mm10_chr13:36145859-36145870(+)::chr13:36145858-36145870(+) TAGAGGAAGGAA >mm10_chr13:36145863-36145874(+)::chr13:36145862-36145874(+) GGAAGGAAGTCT >mm10_chr13:36149335-36149346(-)::chr13:36149334-36149346(-) GCCAGGAAGTCT >mm10_chr13:36149359-36149370(-)::chr13:36149358-36149370(-) AGCAGGATGTGA >mm10_chr13:36195873-36195884(-)::chr13:36195872-36195884(-) ataaggaagcag >mm10_chr13:36225798-36225809(-)::chr13:36225797-36225809(-) aagaggaAGTCA >mm10_chr13:36225804-36225815(-)::chr13:36225803-36225815(-) aggaggaagagg >mm10_chr13:36225816-36225827(-)::chr13:36225815-36225827(-) aggaggaagaga >mm10_chr13:36265436-36265447(-)::chr13:36265435-36265447(-) ACAAGGAAGGGA >mm10_chr13:36265495-36265506(-)::chr13:36265494-36265506(-) ATAAGGAACAAG >mm10_chr13:36324621-36324632(-)::chr13:36324620-36324632(-) AAGAGGAAGTAG >mm10_chr13:36324654-36324665(+)::chr13:36324653-36324665(+) CAGAGGAAGTAG >mm10_chr13:36324676-36324687(+)::chr13:36324675-36324687(+) GGAAGGAACTCA >mm10_chr13:36408455-36408466(-)::chr13:36408454-36408466(-) agcaggaagctc >mm10_chr13:36717670-36717681(+)::chr13:36717669-36717681(+) agaaggaagctg >mm10_chr13:36731731-36731742(+)::chr13:36731730-36731742(+) AGCAGGAAGAGC >mm10_chr13:36900074-36900085(+)::chr13:36900073-36900085(+) TGGAGGAAGACA >mm10_chr13:36900082-36900093(+)::chr13:36900081-36900093(+) GACAGGAAGGGA >mm10_chr13:36900091-36900102(+)::chr13:36900090-36900102(+) GGAAGGAAGGAG >mm10_chr13:36900098-36900109(+)::chr13:36900097-36900109(+) AGGAGGAAGAGC >mm10_chr13:37020777-37020788(+)::chr13:37020776-37020788(+) AAAACGAAGTAA >mm10_chr13:37088622-37088633(-)::chr13:37088621-37088633(-) accaggaagtgt >mm10_chr13:37088687-37088698(-)::chr13:37088686-37088698(-) gagaggaagggg >mm10_chr13:37150034-37150045(+)::chr13:37150033-37150045(+) accaggaagatg >mm10_chr13:37150076-37150087(+)::chr13:37150075-37150087(+) tgaaggaaggat >mm10_chr13:37243790-37243801(-)::chr13:37243789-37243801(-) aaaaggaaggaa >mm10_chr13:37434295-37434306(-)::chr13:37434294-37434306(-) TGCAGGAAATGT >mm10_chr13:37434329-37434340(-)::chr13:37434328-37434340(-) AGGAGGAAATAG >mm10_chr13:37454401-37454412(+)::chr13:37454400-37454412(+) accgggaagtca >mm10_chr13:37454436-37454447(-)::chr13:37454435-37454447(-) acagggaagtga >mm10_chr13:37481126-37481137(+)::chr13:37481125-37481137(+) agaaggaaaaaa >mm10_chr13:37483344-37483355(+)::chr13:37483343-37483355(+) ACAAGGAAGGAC >mm10_chr13:37506295-37506306(+)::chr13:37506294-37506306(+) GCGAGGAAGGAG >mm10_chr13:37510229-37510240(+)::chr13:37510228-37510240(+) aggaggaaggag >mm10_chr13:37510244-37510255(+)::chr13:37510243-37510255(+) aggaggaaggaa >mm10_chr13:37510248-37510259(+)::chr13:37510247-37510259(+) ggaaggaaggaa >mm10_chr13:37510252-37510263(+)::chr13:37510251-37510263(+) ggaaggaaggaG >mm10_chr13:37518760-37518771(+)::chr13:37518759-37518771(+) AGCAGGAAGAGA >mm10_chr13:37537740-37537751(+)::chr13:37537739-37537751(+) tgaaggaagagt >mm10_chr13:37546310-37546321(+)::chr13:37546309-37546321(+) ggccggaagtag >mm10_chr13:37546317-37546328(+)::chr13:37546316-37546328(+) agtaggaagagg >mm10_chr13:37546825-37546836(+)::chr13:37546824-37546836(+) CACAGGAAGGTA >mm10_chr13:37546842-37546853(+)::chr13:37546841-37546853(+) TTAAGGAAGAGA >mm10_chr13:37557157-37557168(+)::chr13:37557156-37557168(+) GCAAGGAAGTCC >mm10_chr13:37557203-37557214(+)::chr13:37557202-37557214(+) ATGAGGAAGAGG >mm10_chr13:37557922-37557933(+)::chr13:37557921-37557933(+) AACAGGAAGGGG >mm10_chr13:37559004-37559015(-)::chr13:37559003-37559015(-) AGGAGGAAGCGG >mm10_chr13:37559011-37559022(-)::chr13:37559010-37559022(-) TGGAGGAAGGAG >mm10_chr13:37581116-37581127(+)::chr13:37581115-37581127(+) ATCAGGAAGAGG >mm10_chr13:37581130-37581141(+)::chr13:37581129-37581141(+) AGAGGGAAGAAA >mm10_chr13:37586971-37586982(+)::chr13:37586970-37586982(+) aggaggaagaag >mm10_chr13:37586983-37586994(+)::chr13:37586982-37586994(+) agaaggaagagg >mm10_chr13:37586989-37587000(+)::chr13:37586988-37587000(+) aagaggaagaAG >mm10_chr13:37587008-37587019(+)::chr13:37587007-37587019(+) AGAAGGAAgagg >mm10_chr13:37587034-37587045(+)::chr13:37587033-37587045(+) aggaggaagaag >mm10_chr13:37588204-37588215(-)::chr13:37588203-37588215(-) GGGAGGAAGCTA >mm10_chr13:37588236-37588247(-)::chr13:37588235-37588247(-) aggaggaagaag >mm10_chr13:37588248-37588259(-)::chr13:37588247-37588259(-) aacaggaagagg >mm10_chr13:37607997-37608008(-)::chr13:37607996-37608008(-) AGAAGGAACTTC >mm10_chr13:37610910-37610921(-)::chr13:37610909-37610921(-) GGAAGGAAGTGG >mm10_chr13:37610914-37610925(-)::chr13:37610913-37610925(-) AGCAGGAAGGAA >mm10_chr13:37610933-37610944(-)::chr13:37610932-37610944(-) TGCAGGAAGTAG >mm10_chr13:37619562-37619573(-)::chr13:37619561-37619573(-) aggaggaagagg >mm10_chr13:37619577-37619588(-)::chr13:37619576-37619588(-) aggaggaagagg >mm10_chr13:37620545-37620556(-)::chr13:37620544-37620556(-) aagaggaagaag >mm10_chr13:37620551-37620562(-)::chr13:37620550-37620562(-) aggaggaagagg >mm10_chr13:37627679-37627690(+)::chr13:37627678-37627690(+) ATGAGGAAGGGA >mm10_chr13:37643423-37643434(-)::chr13:37643422-37643434(-) accaggaagTTA >mm10_chr13:37658232-37658243(+)::chr13:37658231-37658243(+) aagaggaagtgc >mm10_chr13:37660076-37660087(+)::chr13:37660075-37660087(+) aggaggaagagg >mm10_chr13:37660082-37660093(+)::chr13:37660081-37660093(+) aagaggaagagg >mm10_chr13:37660097-37660108(+)::chr13:37660096-37660108(+) aggaggaAGGTG >mm10_chr13:37660282-37660293(+)::chr13:37660281-37660293(+) ATAAGGAACAAA >mm10_chr13:37667979-37667990(-)::chr13:37667978-37667990(-) ataaggaaatgG >mm10_chr13:37675861-37675872(+)::chr13:37675860-37675872(+) ATGAGGAAGATG >mm10_chr13:37675904-37675915(+)::chr13:37675903-37675915(+) GCCAGGAAGAGG >mm10_chr13:37686216-37686227(-)::chr13:37686215-37686227(-) GCAAGGAAGTGC >mm10_chr13:37697841-37697852(-)::chr13:37697840-37697852(-) AAGAGGAAGAAG >mm10_chr13:37710021-37710032(-)::chr13:37710020-37710032(-) AGAAGGAAGTTC >mm10_chr13:37717143-37717154(-)::chr13:37717142-37717154(-) agcaggaagcag >mm10_chr13:37717156-37717167(-)::chr13:37717155-37717167(-) agcaggaagcag >mm10_chr13:37718478-37718489(+)::chr13:37718477-37718489(+) tggaggaagtgt >mm10_chr13:37718498-37718509(+)::chr13:37718497-37718509(+) tagaggaagtgt >mm10_chr13:37740897-37740908(+)::chr13:37740896-37740908(+) AGCAGGAAGTGA >mm10_chr13:37740940-37740951(+)::chr13:37740939-37740951(+) ACCAGGAAGCAG >mm10_chr13:37751698-37751709(+)::chr13:37751697-37751709(+) AGGAGGAAGAAA >mm10_chr13:37751728-37751739(+)::chr13:37751727-37751739(+) AACAGGAAGTAG >mm10_chr13:37761061-37761072(+)::chr13:37761060-37761072(+) CGAAGGAAATCA >mm10_chr13:37761105-37761116(+)::chr13:37761104-37761116(+) ATCAGGAAGCTG >mm10_chr13:37761130-37761141(-)::chr13:37761129-37761141(-) ACCAGGAAGTGA >mm10_chr13:37779812-37779823(-)::chr13:37779811-37779823(-) ACCAGGAAGTAT >mm10_chr13:37816592-37816603(-)::chr13:37816591-37816603(-) aggaggaagagA >mm10_chr13:37816616-37816627(-)::chr13:37816615-37816627(-) aggaggaagagg >mm10_chr13:37816641-37816652(-)::chr13:37816640-37816652(-) acaaggaagagg >mm10_chr13:37820666-37820677(-)::chr13:37820665-37820677(-) AGAAGGAAGAGA >mm10_chr13:37820696-37820707(-)::chr13:37820695-37820707(-) AGACGGAAGTGA >mm10_chr13:37820705-37820716(-)::chr13:37820704-37820716(-) TTAAGGAAGAGA >mm10_chr13:37826619-37826630(-)::chr13:37826618-37826630(-) Cggaggaagggg >mm10_chr13:37830827-37830838(+)::chr13:37830826-37830838(+) CCAAGGAAGGGA >mm10_chr13:37830880-37830891(+)::chr13:37830879-37830891(+) ATGAGGAAGATG >mm10_chr13:37833793-37833804(+)::chr13:37833792-37833804(+) TACAGGAAGAGA >mm10_chr13:37847138-37847149(+)::chr13:37847137-37847149(+) ACAAGGAAGTCT >mm10_chr13:37847361-37847372(+)::chr13:37847360-37847372(+) GTAAGGAAGACT >mm10_chr13:37862294-37862305(+)::chr13:37862293-37862305(+) GAGAGGAAGAAG >mm10_chr13:37862301-37862312(+)::chr13:37862300-37862312(+) AGAAGGAAGAAA >mm10_chr13:37866536-37866547(+)::chr13:37866535-37866547(+) GTAGGGAAGTGT >mm10_chr13:37875058-37875069(+)::chr13:37875057-37875069(+) TAAAGGCAGTGA >mm10_chr13:37875091-37875102(-)::chr13:37875090-37875102(-) GAAAGGAAGAGG >mm10_chr13:37890396-37890407(+)::chr13:37890395-37890407(+) GAAAGGAAGTAA >mm10_chr13:37890449-37890460(+)::chr13:37890448-37890460(+) AGAAGGAAATAG >mm10_chr13:37890471-37890482(-)::chr13:37890470-37890482(-) GACAGGAAGACG >mm10_chr13:37893230-37893241(-)::chr13:37893229-37893241(-) ACAAGGAAGTGT >mm10_chr13:37893260-37893271(+)::chr13:37893259-37893271(+) TCAAGGAAACGA >mm10_chr13:37895332-37895343(-)::chr13:37895331-37895343(-) ATGAGGAAGACA >mm10_chr13:37908315-37908326(+)::chr13:37908314-37908326(+) TTAAGGAAGGCA >mm10_chr13:37908352-37908363(+)::chr13:37908351-37908363(+) ATGAGGAAATGA >mm10_chr13:37909151-37909162(+)::chr13:37909150-37909162(+) AAAAGGAAGATG >mm10_chr13:37913350-37913361(+)::chr13:37913349-37913361(+) ATAAGGAAGTGC >mm10_chr13:37931425-37931436(-)::chr13:37931424-37931436(-) AGGAGGAAGACG >mm10_chr13:37947019-37947030(+)::chr13:37947018-37947030(+) AGGAGGAAGAAA >mm10_chr13:37947965-37947976(+)::chr13:37947964-37947976(+) AACAGGAAGAAT >mm10_chr13:37959461-37959472(+)::chr13:37959460-37959472(+) ATAAGGAACACA >mm10_chr13:37959491-37959502(+)::chr13:37959490-37959502(+) GGAAGGAAGCTG >mm10_chr13:37959510-37959521(+)::chr13:37959509-37959521(+) ATAGGGAAGTGA >mm10_chr13:37963654-37963665(+)::chr13:37963653-37963665(+) agaaggaagagg >mm10_chr13:37963660-37963671(+)::chr13:37963659-37963671(+) aagaggaagaag >mm10_chr13:37963667-37963678(+)::chr13:37963666-37963678(+) agaaggaagggt >mm10_chr13:37963684-37963695(+)::chr13:37963683-37963695(+) aggaggaaggga >mm10_chr13:37967802-37967813(-)::chr13:37967801-37967813(-) AGCAGGAAGCTC >mm10_chr13:37990721-37990732(+)::chr13:37990720-37990732(+) TGCAGGAAATAA >mm10_chr13:37990745-37990756(+)::chr13:37990744-37990756(+) AGCAGGAAGCAT >mm10_chr13:38143859-38143870(+)::chr13:38143858-38143870(+) agaaggaaggaa >mm10_chr13:38143863-38143874(+)::chr13:38143862-38143874(+) ggaaggaaattc >mm10_chr13:38145521-38145532(+)::chr13:38145520-38145532(+) ACAAGGAAATGT >mm10_chr13:38151912-38151923(+)::chr13:38151911-38151923(+) TCACGGAAGCGC >mm10_chr13:38171207-38171218(+)::chr13:38171206-38171218(+) AGGAGGAAGAGA >mm10_chr13:38368045-38368056(+)::chr13:38368044-38368056(+) gagaggaagtcc >mm10_chr13:38375710-38375721(+)::chr13:38375709-38375721(+) ATGAGGAAGCAG >mm10_chr13:38463107-38463118(-)::chr13:38463106-38463118(-) AGGAGGAAGGGA >mm10_chr13:38463117-38463128(-)::chr13:38463116-38463128(-) GAGAGGAAGGAG >mm10_chr13:38463141-38463152(-)::chr13:38463140-38463152(-) GAAAGGAAAATA >mm10_chr13:38523857-38523868(-)::chr13:38523856-38523868(-) tggaggaagtga >mm10_chr13:38523872-38523883(-)::chr13:38523871-38523883(-) acaaggaagtct >mm10_chr13:38523911-38523922(-)::chr13:38523910-38523922(-) aggaggaaatga >mm10_chr13:38574638-38574649(+)::chr13:38574637-38574649(+) TCCAGGAAGTTC >mm10_chr13:38574678-38574689(+)::chr13:38574677-38574689(+) ACAAGGAAGGGA >mm10_chr13:38628408-38628419(-)::chr13:38628407-38628419(-) Ggtaggaagaac >mm10_chr13:38649155-38649166(+)::chr13:38649154-38649166(+) AGGAGGAAGATA >mm10_chr13:38649176-38649187(-)::chr13:38649175-38649187(-) AGCAGGAAGCAG >mm10_chr13:38654472-38654483(+)::chr13:38654471-38654483(+) GAGAGGAAGGAG >mm10_chr13:38658395-38658406(-)::chr13:38658394-38658406(-) TAGAGGAAGAAT >mm10_chr13:38659047-38659058(+)::chr13:38659046-38659058(+) AGCAGGAAGTCC >mm10_chr13:38668783-38668794(-)::chr13:38668782-38668794(-) tgaaggaaattg >mm10_chr13:38696033-38696044(-)::chr13:38696032-38696044(-) GACAGGAAGTGG >mm10_chr13:38696765-38696776(-)::chr13:38696764-38696776(-) agaaggaagaaa >mm10_chr13:38696798-38696809(+)::chr13:38696797-38696809(+) ggaagaaagtcg >mm10_chr13:38711443-38711454(+)::chr13:38711442-38711454(+) acaaggaaggat >mm10_chr13:38907245-38907256(-)::chr13:38907244-38907256(-) ACAAGGAACTTT >mm10_chr13:38922900-38922911(-)::chr13:38922899-38922911(-) agcaggaagagc >mm10_chr13:38947912-38947923(+)::chr13:38947911-38947923(+) AAAAGGAAGTCA >mm10_chr13:38949740-38949751(+)::chr13:38949739-38949751(+) AAGAGGAAGAAA >mm10_chr13:38960528-38960539(-)::chr13:38960527-38960539(-) AGGCGGAAGTGG >mm10_chr13:38970861-38970872(+)::chr13:38970860-38970872(+) ACAAGGATGTGA >mm10_chr13:38972764-38972775(+)::chr13:38972763-38972775(+) AGGAGGAAGCAG >mm10_chr13:39059787-39059798(+)::chr13:39059786-39059798(+) ACCAGGAAGTTG >mm10_chr13:39059822-39059833(+)::chr13:39059821-39059833(+) AGAAGGAAGCAG >mm10_chr13:39059863-39059874(-)::chr13:39059862-39059874(-) ATAAGGAAGTTG >mm10_chr13:39072890-39072901(+)::chr13:39072889-39072901(+) agcaggaagtag >mm10_chr13:39143998-39144009(-)::chr13:39143997-39144009(-) CAAAGGAAGTAT >mm10_chr13:39240017-39240028(-)::chr13:39240016-39240028(-) gcaatgaagtcg >mm10_chr13:39240073-39240084(-)::chr13:39240072-39240084(-) aagaggaagtag >mm10_chr13:39325479-39325490(+)::chr13:39325478-39325490(+) ATGAGGAAGTGC >mm10_chr13:39464466-39464477(+)::chr13:39464465-39464477(+) tggaggaagtgg >mm10_chr13:39569447-39569458(-)::chr13:39569446-39569458(-) TTGAGGAAGTGC >mm10_chr13:39569458-39569469(-)::chr13:39569457-39569469(-) TAAAGGAAGTTT >mm10_chr13:39570971-39570982(-)::chr13:39570970-39570982(-) ACAAGGAAAAGT >mm10_chr13:39761773-39761784(-)::chr13:39761772-39761784(-) agaaggaaggga >mm10_chr13:39761780-39761791(-)::chr13:39761779-39761791(-) aggaggaagaag >mm10_chr13:39761794-39761805(-)::chr13:39761793-39761805(-) gggaggaagggg >mm10_chr13:39824337-39824348(-)::chr13:39824336-39824348(-) GGGAGGAAGTAG >mm10_chr13:39824382-39824393(-)::chr13:39824381-39824393(-) AGCAGGAAGAGG >mm10_chr13:39835316-39835327(-)::chr13:39835315-39835327(-) AGGAGGAAGCGG >mm10_chr13:39835345-39835356(+)::chr13:39835344-39835356(+) TACAGGAAGCAT >mm10_chr13:39950361-39950372(+)::chr13:39950360-39950372(+) CTAAGGAAGCCA >mm10_chr13:39998908-39998919(-)::chr13:39998907-39998919(-) AGGAGGAAGGAT >mm10_chr13:39998922-39998933(-)::chr13:39998921-39998933(-) AAAAGGAAATCA >mm10_chr13:40180613-40180624(+)::chr13:40180612-40180624(+) AGAAGGAAGAGT >mm10_chr13:40180663-40180674(+)::chr13:40180662-40180674(+) TTGAGGAAGTAC >mm10_chr13:40249254-40249265(+)::chr13:40249253-40249265(+) aggaggaagaat >mm10_chr13:40249324-40249335(+)::chr13:40249323-40249335(+) agcaggaagagt >mm10_chr13:40278711-40278722(-)::chr13:40278710-40278722(-) agtaggaagtct >mm10_chr13:40287308-40287319(+)::chr13:40287307-40287319(+) TAAAGGATGTGA >mm10_chr13:40287362-40287373(+)::chr13:40287361-40287373(+) ACCAGGAAGGGG >mm10_chr13:40434554-40434565(-)::chr13:40434553-40434565(-) gacaggaactcg >mm10_chr13:40434566-40434577(-)::chr13:40434565-40434577(-) tgaaggaagtca >mm10_chr13:40434615-40434626(-)::chr13:40434614-40434626(-) aggaggaagtgg >mm10_chr13:40451222-40451233(+)::chr13:40451221-40451233(+) TTAAGGAAATGC >mm10_chr13:40452655-40452666(+)::chr13:40452654-40452666(+) CCAAGGAAGAGA >mm10_chr13:40485843-40485854(+)::chr13:40485842-40485854(+) GAAGGGAAGTTG >mm10_chr13:40496308-40496319(+)::chr13:40496307-40496319(+) ACTAGGAAGAAA >mm10_chr13:40496352-40496363(+)::chr13:40496351-40496363(+) GGGAGGAAGTGG >mm10_chr13:40518662-40518673(+)::chr13:40518661-40518673(+) ACAAGGAAGGAA >mm10_chr13:40518666-40518677(+)::chr13:40518665-40518677(+) GGAAGGAAGTTA >mm10_chr13:40565362-40565373(+)::chr13:40565361-40565373(+) AGAAGGAAGTGG >mm10_chr13:40569538-40569549(+)::chr13:40569537-40569549(+) AGCAGGAAATGG >mm10_chr13:40655450-40655461(-)::chr13:40655449-40655461(-) aggaggaagggg >mm10_chr13:40655471-40655482(-)::chr13:40655470-40655482(-) aggaggaaggag >mm10_chr13:40655491-40655502(-)::chr13:40655490-40655502(-) aggaggaaggag >mm10_chr13:40655508-40655519(-)::chr13:40655507-40655519(-) ggaaggaaggag >mm10_chr13:40655512-40655523(-)::chr13:40655511-40655523(-) aggaggaaggaa >mm10_chr13:40655526-40655537(-)::chr13:40655525-40655537(-) AGCAGGAAGgga >mm10_chr13:40655730-40655741(+)::chr13:40655729-40655741(+) GCAAGGAAGAAG >mm10_chr13:40672771-40672782(+)::chr13:40672770-40672782(+) AGGAGGAAGAAG >mm10_chr13:40709464-40709475(+)::chr13:40709463-40709475(+) GGACGGAAGGGG >mm10_chr13:40709478-40709489(+)::chr13:40709477-40709489(+) AGAGGGAAGTAT >mm10_chr13:40723152-40723163(-)::chr13:40723151-40723163(-) TGGCGGAAGTAC >mm10_chr13:40726139-40726150(-)::chr13:40726138-40726150(-) AGAAGGAAGTAA >mm10_chr13:40749702-40749713(-)::chr13:40749701-40749713(-) AACAGGAAGGGC >mm10_chr13:40749883-40749894(+)::chr13:40749882-40749894(+) AGGAGGAAGTTA >mm10_chr13:40865506-40865517(-)::chr13:40865505-40865517(-) AAAAGGAAGTGG >mm10_chr13:40865545-40865556(-)::chr13:40865544-40865556(-) ACTAGGAAATAA >mm10_chr13:40885178-40885189(-)::chr13:40885177-40885189(-) AACAGGACGTCG >mm10_chr13:40916761-40916772(-)::chr13:40916760-40916772(-) ACCAGGAAGAGC >mm10_chr13:40928413-40928424(+)::chr13:40928412-40928424(+) GTAAGGAAATGT >mm10_chr13:40940734-40940745(-)::chr13:40940733-40940745(-) TTCAGGAAGTCC >mm10_chr13:40950920-40950931(+)::chr13:40950919-40950931(+) ACAAGGAAGCTC >mm10_chr13:41000954-41000965(+)::chr13:41000953-41000965(+) AGCCGGAAGTGG >mm10_chr13:41000971-41000982(-)::chr13:41000970-41000982(-) CTAAGGAAGGGG >mm10_chr13:41000986-41000997(+)::chr13:41000985-41000997(+) CGCCGGAAGTTG >mm10_chr13:41024296-41024307(-)::chr13:41024295-41024307(-) ctaaggaagtgc >mm10_chr13:41024350-41024361(+)::chr13:41024349-41024361(+) aagaggaagagg >mm10_chr13:41043555-41043566(+)::chr13:41043554-41043566(+) ATAAGGAACAAG >mm10_chr13:41043562-41043573(+)::chr13:41043561-41043573(+) ACAAGGAAGAAG >mm10_chr13:41056759-41056770(-)::chr13:41056758-41056770(-) GGGAGGAAGAAT >mm10_chr13:41249388-41249399(-)::chr13:41249387-41249399(-) GTGAGGAAATAA >mm10_chr13:41294230-41294241(+)::chr13:41294229-41294241(+) AGCAGGAAGTAG >mm10_chr13:41295241-41295252(-)::chr13:41295240-41295252(-) agaaggaacgac >mm10_chr13:41296073-41296084(-)::chr13:41296072-41296084(-) agcaggaagggg >mm10_chr13:41314267-41314278(-)::chr13:41314266-41314278(-) AGAAGGAAGTCC >mm10_chr13:41331709-41331720(-)::chr13:41331708-41331720(-) TAGAGGAAATTA >mm10_chr13:41331728-41331739(-)::chr13:41331727-41331739(-) ACAAGGAAAAAA >mm10_chr13:41339855-41339866(-)::chr13:41339854-41339866(-) GAAAGGAAGGCA >mm10_chr13:41345495-41345506(+)::chr13:41345494-41345506(+) AACAGGAAGGCC >mm10_chr13:41345958-41345969(-)::chr13:41345957-41345969(-) AGAATGAAGTAA >mm10_chr13:41461662-41461673(+)::chr13:41461661-41461673(+) TACAGGAAGGAA >mm10_chr13:41461666-41461677(+)::chr13:41461665-41461677(+) GGAAGGAAGAGG >mm10_chr13:41476430-41476441(+)::chr13:41476429-41476441(+) TCAAGGAAATGT >mm10_chr13:41517522-41517533(-)::chr13:41517521-41517533(-) TAGAGGAAGAAG >mm10_chr13:41521489-41521500(+)::chr13:41521488-41521500(+) atcaggaagtga >mm10_chr13:41532825-41532836(-)::chr13:41532824-41532836(-) TGCAGGAAATGC >mm10_chr13:41552809-41552820(-)::chr13:41552808-41552820(-) agaaggaagtag >mm10_chr13:41552845-41552856(-)::chr13:41552844-41552856(-) aagaggaagagg >mm10_chr13:41561240-41561251(+)::chr13:41561239-41561251(+) ATGAGGAAGTTT >mm10_chr13:41583723-41583734(+)::chr13:41583722-41583734(+) ggcaggaagaag >mm10_chr13:41596877-41596888(-)::chr13:41596876-41596888(-) TTGAGGAAGTGC >mm10_chr13:41600145-41600156(+)::chr13:41600144-41600156(+) AGGAGGAAGTGA >mm10_chr13:41617212-41617223(+)::chr13:41617211-41617223(+) agaaggaagaga >mm10_chr13:41622935-41622946(+)::chr13:41622934-41622946(+) AAAAGGAAGGAA >mm10_chr13:41622939-41622950(+)::chr13:41622938-41622950(+) GGAAGGAAATGC >mm10_chr13:41644175-41644186(+)::chr13:41644174-41644186(+) ACACGGAAGGCG >mm10_chr13:41650181-41650192(+)::chr13:41650180-41650192(+) AGAAGGAAGGAA >mm10_chr13:41650185-41650196(+)::chr13:41650184-41650196(+) GGAAGGAAGAAG >mm10_chr13:41650415-41650426(-)::chr13:41650414-41650426(-) aataggaaggag >mm10_chr13:41650434-41650445(-)::chr13:41650433-41650445(-) accaggaagaag >mm10_chr13:41650470-41650481(-)::chr13:41650469-41650481(-) agaaggatatac >mm10_chr13:41654045-41654056(+)::chr13:41654044-41654056(+) ACCAGGAAGTGA >mm10_chr13:41662752-41662763(+)::chr13:41662751-41662763(+) TCTAGGAAGTca >mm10_chr13:41783505-41783516(+)::chr13:41783504-41783516(+) GCAAGGAAGGAA >mm10_chr13:41783509-41783520(+)::chr13:41783508-41783520(+) GGAAGGAAGTGT >mm10_chr13:41784040-41784051(+)::chr13:41784039-41784051(+) tggaggaagtgt >mm10_chr13:41793042-41793053(+)::chr13:41793041-41793053(+) GGCAGGAAATAG >mm10_chr13:41793049-41793060(+)::chr13:41793048-41793060(+) AATAGGAAGCAG >mm10_chr13:41825740-41825751(+)::chr13:41825739-41825751(+) ATGAGGAAGCAA >mm10_chr13:41825798-41825809(+)::chr13:41825797-41825809(+) ATGAGGAAGGAA >mm10_chr13:41828691-41828702(+)::chr13:41828690-41828702(+) ACCAGGAAGTAC >mm10_chr13:41828734-41828745(+)::chr13:41828733-41828745(+) ATAAGGAAATAG >mm10_chr13:41829181-41829192(+)::chr13:41829180-41829192(+) TTAAGGAAGTCT >mm10_chr13:41865844-41865855(+)::chr13:41865843-41865855(+) CGGAGGAAATAC >mm10_chr13:41945767-41945778(+)::chr13:41945766-41945778(+) GAGAGGAAGTCA >mm10_chr13:41950346-41950357(+)::chr13:41950345-41950357(+) AGGAGGAAGTCA >mm10_chr13:41950355-41950366(-)::chr13:41950354-41950366(-) AGTAGGAAGTGA >mm10_chr13:41950362-41950373(-)::chr13:41950361-41950373(-) TGCAGGAAGTAG >mm10_chr13:41976142-41976153(+)::chr13:41976141-41976153(+) AAAAGGAAGTTG >mm10_chr13:41990807-41990818(+)::chr13:41990806-41990818(+) atcaggaaggga >mm10_chr13:42015619-42015630(-)::chr13:42015618-42015630(-) ATGAGGAAATAG >mm10_chr13:42036696-42036707(+)::chr13:42036695-42036707(+) TGCAGGAAGTCA >mm10_chr13:42037449-42037460(-)::chr13:42037448-42037460(-) TCCAGGAAGTCA >mm10_chr13:42037486-42037497(+)::chr13:42037485-42037497(+) ACCAGGAAGACT >mm10_chr13:42061370-42061381(+)::chr13:42061369-42061381(+) AACAGGAAATGT >mm10_chr13:42071174-42071185(+)::chr13:42071173-42071185(+) tagaggaagtgg >mm10_chr13:42071198-42071209(-)::chr13:42071197-42071209(-) gacaggaagaca >mm10_chr13:42073501-42073512(+)::chr13:42073500-42073512(+) GGGAGGAAGCAG >mm10_chr13:42074608-42074619(+)::chr13:42074607-42074619(+) ACAAGGAAGCTA >mm10_chr13:42089120-42089131(-)::chr13:42089119-42089131(-) TAAAGGAAGCAG >mm10_chr13:42091045-42091056(-)::chr13:42091044-42091056(-) AAAAGGAAGAGG >mm10_chr13:42091057-42091068(+)::chr13:42091056-42091068(+) ACAAGGAAGCAG >mm10_chr13:42103029-42103040(+)::chr13:42103028-42103040(+) AGAAGGAAATTA >mm10_chr13:42112436-42112447(+)::chr13:42112435-42112447(+) AGCAGGAAGAGG >mm10_chr13:42112443-42112454(+)::chr13:42112442-42112454(+) AGAGGGAAGAAA >mm10_chr13:42131412-42131423(-)::chr13:42131411-42131423(-) ACAAGGAAATAG >mm10_chr13:42150033-42150044(-)::chr13:42150032-42150044(-) AGAAGGAAGCAC >mm10_chr13:42215756-42215767(+)::chr13:42215755-42215767(+) GGAAGGAAGTTG >mm10_chr13:42215786-42215797(+)::chr13:42215785-42215797(+) ACAAGGAACTCT >mm10_chr13:42215811-42215822(+)::chr13:42215810-42215822(+) AACAGGAAATTA >mm10_chr13:42236346-42236357(-)::chr13:42236345-42236357(-) TCCAGGAAGTAA >mm10_chr13:42254046-42254057(+)::chr13:42254045-42254057(+) ATGAGGAAGAAA >mm10_chr13:42263572-42263583(+)::chr13:42263571-42263583(+) ATAGGGAAGTCA >mm10_chr13:42299054-42299065(-)::chr13:42299053-42299065(-) AGAAGGAAGCTC >mm10_chr13:42315792-42315803(+)::chr13:42315791-42315803(+) aagaggaagata >mm10_chr13:42315823-42315834(+)::chr13:42315822-42315834(+) actaggaagagg >mm10_chr13:42323156-42323167(-)::chr13:42323155-42323167(-) TTGAGGAAGTCC >mm10_chr13:42367945-42367956(-)::chr13:42367944-42367956(-) GGAGGGAAGTGG >mm10_chr13:42369976-42369987(+)::chr13:42369975-42369987(+) CCGAGGAAGTAG >mm10_chr13:42500828-42500839(+)::chr13:42500827-42500839(+) AGAAGGAAGGCT >mm10_chr13:42547138-42547149(+)::chr13:42547137-42547149(+) accaggaaatgg >mm10_chr13:42573247-42573258(+)::chr13:42573246-42573258(+) TCAAGGAAGAGA >mm10_chr13:42638362-42638373(-)::chr13:42638361-42638373(-) aggaggaagaCC >mm10_chr13:42638374-42638385(-)::chr13:42638373-42638385(-) aggaggaagaag >mm10_chr13:42638383-42638394(-)::chr13:42638382-42638394(-) aggaggaagagg >mm10_chr13:42638402-42638413(-)::chr13:42638401-42638413(-) aggaggaagaga >mm10_chr13:42638414-42638425(-)::chr13:42638413-42638425(-) agaaggaaagaa >mm10_chr13:42711733-42711744(-)::chr13:42711732-42711744(-) ACCAGGAAGAGA >mm10_chr13:42711757-42711768(+)::chr13:42711756-42711768(+) GGCAGGAAGGCA >mm10_chr13:42820210-42820221(-)::chr13:42820209-42820221(-) ATGAGGAAGTAA >mm10_chr13:42820250-42820261(-)::chr13:42820249-42820261(-) TGAAGGAAGGCC >mm10_chr13:43005278-43005289(-)::chr13:43005277-43005289(-) ACAAGGAAGTGA >mm10_chr13:43122670-43122681(+)::chr13:43122669-43122681(+) ACAAGGAAAGAA >mm10_chr13:43124848-43124859(-)::chr13:43124847-43124859(-) TGGAGGAAGGGC >mm10_chr13:43132984-43132995(+)::chr13:43132983-43132995(+) ACGTGGAAGTGG >mm10_chr13:43191775-43191786(-)::chr13:43191774-43191786(-) TGCAGGAAGTTT >mm10_chr13:43217194-43217205(-)::chr13:43217193-43217205(-) AAACGGAAGCCG >mm10_chr13:43232036-43232047(-)::chr13:43232035-43232047(-) AACAGGAAGATC >mm10_chr13:43288566-43288577(-)::chr13:43288565-43288577(-) ATAAGGAAGCTT >mm10_chr13:43293195-43293206(-)::chr13:43293194-43293206(-) agaaggaaaagg >mm10_chr13:43296139-43296150(-)::chr13:43296138-43296150(-) AGCAGGAAATGA >mm10_chr13:43304940-43304951(-)::chr13:43304939-43304951(-) ACAAGGAAGACC >mm10_chr13:43375256-43375267(-)::chr13:43375255-43375267(-) AACAGGAAGTGC >mm10_chr13:43448758-43448769(+)::chr13:43448757-43448769(+) acaaggaagcta >mm10_chr13:43515750-43515761(-)::chr13:43515749-43515761(-) aggaggaagagC >mm10_chr13:43515762-43515773(-)::chr13:43515761-43515773(-) aggaggaagagg >mm10_chr13:43515774-43515785(-)::chr13:43515773-43515785(-) aggaggaagaag >mm10_chr13:43515786-43515797(-)::chr13:43515785-43515797(-) tgaaggaagaag >mm10_chr13:43516949-43516960(+)::chr13:43516948-43516960(+) gaaaggaagggc >mm10_chr13:43531265-43531276(-)::chr13:43531264-43531276(-) ATTAGGAAGTCA >mm10_chr13:43540903-43540914(+)::chr13:43540902-43540914(+) ATCAggaaggga >mm10_chr13:43540912-43540923(+)::chr13:43540911-43540923(+) ggaaggaagagg >mm10_chr13:43596559-43596570(+)::chr13:43596558-43596570(+) gaagggaagttc >mm10_chr13:43596564-43596575(-)::chr13:43596563-43596575(-) agaaggaacttc >mm10_chr13:43597624-43597635(-)::chr13:43597623-43597635(-) ttaaggaagtaa >mm10_chr13:43600917-43600928(+)::chr13:43600916-43600928(+) TGCAGGAAGTGG >mm10_chr13:43725823-43725834(+)::chr13:43725822-43725834(+) GTGAGGAAGTGT >mm10_chr13:43731731-43731742(-)::chr13:43731730-43731742(-) AGAAAGAAGTGG >mm10_chr13:43750560-43750571(+)::chr13:43750559-43750571(+) gggaggaaggaa >mm10_chr13:43750564-43750575(+)::chr13:43750563-43750575(+) ggaaggaaggaa >mm10_chr13:43750568-43750579(+)::chr13:43750567-43750579(+) ggaaggaaggga >mm10_chr13:43750588-43750599(+)::chr13:43750587-43750599(+) ggcaggaaggCA >mm10_chr13:43779239-43779250(+)::chr13:43779238-43779250(+) AGTAGGAAGAAG >mm10_chr13:43878841-43878852(-)::chr13:43878840-43878852(-) ACGAGGAAATGT >mm10_chr13:43999181-43999192(+)::chr13:43999180-43999192(+) CAAAGGAAGAAG >mm10_chr13:43999188-43999199(+)::chr13:43999187-43999199(+) AGAAGGAAGGAA >mm10_chr13:43999192-43999203(+)::chr13:43999191-43999203(+) GGAAGGAAGGCA >mm10_chr13:44039922-44039933(+)::chr13:44039921-44039933(+) AAGAGGAAGTCA >mm10_chr13:44149840-44149851(-)::chr13:44149839-44149851(-) aggaggaagagg >mm10_chr13:44149852-44149863(-)::chr13:44149851-44149863(-) aagaggaagagg >mm10_chr13:44165761-44165772(-)::chr13:44165760-44165772(-) TACAGGAAGTAG >mm10_chr13:44165805-44165816(+)::chr13:44165804-44165816(+) AGCAGGAAGTTG >mm10_chr13:44183611-44183622(-)::chr13:44183610-44183622(-) tccaggaagata >mm10_chr13:44183642-44183653(-)::chr13:44183641-44183653(-) aaaaggaagaaa >mm10_chr13:44210417-44210428(-)::chr13:44210416-44210428(-) AGAAGGAAGTGA >mm10_chr13:44234472-44234483(+)::chr13:44234471-44234483(+) aacaggaagcag >mm10_chr13:44279268-44279279(-)::chr13:44279267-44279279(-) ACCAGGAAGCAG >mm10_chr13:44304583-44304594(+)::chr13:44304582-44304594(+) aacaggaagtca >mm10_chr13:44308450-44308461(+)::chr13:44308449-44308461(+) ACAAGGAAGAGA >mm10_chr13:44308476-44308487(+)::chr13:44308475-44308487(+) ATAAGGAAAGGA >mm10_chr13:44308481-44308492(+)::chr13:44308480-44308492(+) GAAAGGAAGAAC >mm10_chr13:44348295-44348306(-)::chr13:44348294-44348306(-) gacaggaagtgg >mm10_chr13:44398355-44398366(+)::chr13:44398354-44398366(+) ACAAGGATGTCA >mm10_chr13:44420033-44420044(+)::chr13:44420032-44420044(+) GAAAGGAAATTG >mm10_chr13:44421690-44421701(-)::chr13:44421689-44421701(-) GGAAGAAAGTGT >mm10_chr13:44421694-44421705(-)::chr13:44421693-44421705(-) TAGAGGAAGAAA >mm10_chr13:44444890-44444901(+)::chr13:44444889-44444901(+) aggaggaagagg >mm10_chr13:44455549-44455560(-)::chr13:44455548-44455560(-) AGGAGGAAGCTG >mm10_chr13:44480326-44480337(+)::chr13:44480325-44480337(+) GGAAGGAAGCTG >mm10_chr13:44482846-44482857(+)::chr13:44482845-44482857(+) aagaggaagtct >mm10_chr13:44494137-44494148(-)::chr13:44494136-44494148(-) AACAGGAAATAG >mm10_chr13:44502848-44502859(+)::chr13:44502847-44502859(+) AACAGGAAGTAG >mm10_chr13:44504576-44504587(+)::chr13:44504575-44504587(+) ggaaggaagtgg >mm10_chr13:44504594-44504605(-)::chr13:44504593-44504605(-) gccaggaagtcc >mm10_chr13:44509888-44509899(+)::chr13:44509887-44509899(+) TGAGGGAAGTGG >mm10_chr13:44510366-44510377(-)::chr13:44510365-44510377(-) tggaggaagcta >mm10_chr13:44510411-44510422(-)::chr13:44510410-44510422(-) ttaaggaagtac >mm10_chr13:44522625-44522636(+)::chr13:44522624-44522636(+) GTAAGGAAGCAG >mm10_chr13:44551621-44551632(-)::chr13:44551620-44551632(-) accaggaagttt >mm10_chr13:44551648-44551659(-)::chr13:44551647-44551659(-) gggaggaaatat >mm10_chr13:44584250-44584261(-)::chr13:44584249-44584261(-) AGAAGGAAGGAA >mm10_chr13:44678189-44678200(+)::chr13:44678188-44678200(+) acgaggaagtaa >mm10_chr13:44678193-44678204(+)::chr13:44678192-44678204(+) ggaagtaagtag >mm10_chr13:44705707-44705718(+)::chr13:44705706-44705718(+) ATGAGGAAGTCC >mm10_chr13:44705742-44705753(+)::chr13:44705741-44705753(+) CCAAGGAAGGCA >mm10_chr13:44709796-44709807(-)::chr13:44709795-44709807(-) ACAAGGAAGAGC >mm10_chr13:44710618-44710629(-)::chr13:44710617-44710629(-) agaaggaaaagc >mm10_chr13:44712104-44712115(+)::chr13:44712103-44712115(+) gaaaggaagaga >mm10_chr13:44722287-44722298(-)::chr13:44722286-44722298(-) ATGAGGAAGTAG >mm10_chr13:44729648-44729659(+)::chr13:44729647-44729659(+) ACCAGGAAATTA >mm10_chr13:44743728-44743739(+)::chr13:44743727-44743739(+) ACTAGgaagaga >mm10_chr13:44760668-44760679(-)::chr13:44760667-44760679(-) AAGAGGAAGAGA >mm10_chr13:44760710-44760721(+)::chr13:44760709-44760721(+) ATGAGGAAGTGG >mm10_chr13:44767945-44767956(-)::chr13:44767944-44767956(-) AGCAGGAAGATC >mm10_chr13:44767958-44767969(-)::chr13:44767957-44767969(-) TACAGGAAGCTA >mm10_chr13:44800257-44800268(+)::chr13:44800256-44800268(+) AAGAGGAAGTGT >mm10_chr13:44800281-44800292(-)::chr13:44800280-44800292(-) GGAAGGAAAGCG >mm10_chr13:44800285-44800296(-)::chr13:44800284-44800296(-) GACAGGAAGGAA >mm10_chr13:44815341-44815352(-)::chr13:44815340-44815352(-) ATGAGGAAATAT >mm10_chr13:44837770-44837781(-)::chr13:44837769-44837781(-) gggaggaagagg >mm10_chr13:44840105-44840116(+)::chr13:44840104-44840116(+) TGCAGGAAGGGG >mm10_chr13:44840751-44840762(-)::chr13:44840750-44840762(-) gggaggaaggga >mm10_chr13:44926514-44926525(+)::chr13:44926513-44926525(+) aagaggaagtgg >mm10_chr13:44937962-44937973(-)::chr13:44937961-44937973(-) aggaggaagaag >mm10_chr13:44937980-44937991(-)::chr13:44937979-44937991(-) aggaggaagtgg >mm10_chr13:44937995-44938006(-)::chr13:44937994-44938006(-) ggagggaagtgt >mm10_chr13:44939538-44939549(-)::chr13:44939537-44939549(-) atgaggaagaca >mm10_chr13:44977134-44977145(+)::chr13:44977133-44977145(+) ACAAGGAAGTCA >mm10_chr13:44985552-44985563(-)::chr13:44985551-44985563(-) GTGAGGAAGGAA >mm10_chr13:44985579-44985590(+)::chr13:44985578-44985590(+) ACCAGGAAATAT >mm10_chr13:44990676-44990687(+)::chr13:44990675-44990687(+) ataaggaacttg >mm10_chr13:45025062-45025073(+)::chr13:45025061-45025073(+) TGAACGAAGTCA >mm10_chr13:45089042-45089053(-)::chr13:45089041-45089053(-) TTAGGGAAGTGA >mm10_chr13:45089997-45090008(+)::chr13:45089996-45090008(+) AAGAGGAAGAGA >mm10_chr13:45095181-45095192(-)::chr13:45095180-45095192(-) aggaggaagtca >mm10_chr13:45151552-45151563(-)::chr13:45151551-45151563(-) agaaggaagctt >mm10_chr13:45151559-45151570(-)::chr13:45151558-45151570(-) aaacggaagaag >mm10_chr13:45212924-45212935(-)::chr13:45212923-45212935(-) atgaggaagaag >mm10_chr13:45212936-45212947(-)::chr13:45212935-45212947(-) aggaggaagagg >mm10_chr13:45212948-45212959(-)::chr13:45212947-45212959(-) agaaggaagagg >mm10_chr13:45218358-45218369(+)::chr13:45218357-45218369(+) ACTAGGAAGTAA >mm10_chr13:45238520-45238531(+)::chr13:45238519-45238531(+) GTAGGGAAGTCA >mm10_chr13:45245760-45245771(+)::chr13:45245759-45245771(+) AGGAGGAAGGCA >mm10_chr13:45245815-45245826(+)::chr13:45245814-45245826(+) GAAAGGAAGATG >mm10_chr13:45274437-45274448(-)::chr13:45274436-45274448(-) TCCAGGAAGTGA >mm10_chr13:45278010-45278021(+)::chr13:45278009-45278021(+) AGAAGGAATTGT >mm10_chr13:45326785-45326796(+)::chr13:45326784-45326796(+) atgaggaaattg >mm10_chr13:45359362-45359373(-)::chr13:45359361-45359373(-) CGAAGGAAAGGT >mm10_chr13:45375320-45375331(-)::chr13:45375319-45375331(-) AGCAGGAAGTTT >mm10_chr13:45402817-45402828(+)::chr13:45402816-45402828(+) ACTAGGAAATAG >mm10_chr13:45410706-45410717(+)::chr13:45410705-45410717(+) ACCAGGAAGAAC >mm10_chr13:45416105-45416116(-)::chr13:45416104-45416116(-) GGAAGGAAGAGA >mm10_chr13:45416109-45416120(-)::chr13:45416108-45416120(-) AGTAGGAAGGAA >mm10_chr13:45416135-45416146(-)::chr13:45416134-45416146(-) AAGAGGAAGAGG >mm10_chr13:45452076-45452087(+)::chr13:45452075-45452087(+) ACGAGGATGTTA >mm10_chr13:45522360-45522371(-)::chr13:45522359-45522371(-) acaaggaagcaa >mm10_chr13:45522398-45522409(-)::chr13:45522397-45522409(-) agaagtaagttc >mm10_chr13:45522440-45522451(-)::chr13:45522439-45522451(-) ggaagtaagtcc >mm10_chr13:45522444-45522455(-)::chr13:45522443-45522455(-) acaaggaagtaa >mm10_chr13:45539006-45539017(-)::chr13:45539005-45539017(-) GGAAGGAAGGAG >mm10_chr13:45539010-45539021(-)::chr13:45539009-45539021(-) CAAAGGAAGGAA >mm10_chr13:45549427-45549438(+)::chr13:45549426-45549438(+) TGCAGGAAGACC >mm10_chr13:45549454-45549465(+)::chr13:45549453-45549465(+) TCTAGGAAGTAT >mm10_chr13:45576772-45576783(+)::chr13:45576771-45576783(+) GGGAGGAAGTGA >mm10_chr13:45611362-45611373(+)::chr13:45611361-45611373(+) agcaggaagctg >mm10_chr13:45644621-45644632(-)::chr13:45644620-45644632(-) AGAAGGAAAAGG >mm10_chr13:45681386-45681397(+)::chr13:45681385-45681397(+) TTCAGGAAGTAC >mm10_chr13:45698842-45698853(+)::chr13:45698841-45698853(+) GGTAGGAAGGAA >mm10_chr13:45698846-45698857(+)::chr13:45698845-45698857(+) GGAAGGAAGGAA >mm10_chr13:45699571-45699582(+)::chr13:45699570-45699582(+) agaaggaagaga >mm10_chr13:45699583-45699594(+)::chr13:45699582-45699594(+) agaaggaagaga >mm10_chr13:45699604-45699615(+)::chr13:45699603-45699615(+) aggaggaagaga >mm10_chr13:45699619-45699630(+)::chr13:45699618-45699630(+) aggaggaagaga >mm10_chr13:45700268-45700279(-)::chr13:45700267-45700279(-) AGAGGGAAGAAT >mm10_chr13:45700305-45700316(+)::chr13:45700304-45700316(+) GCCAGGAAGAGC >mm10_chr13:45700350-45700361(+)::chr13:45700349-45700361(+) GCAAGGAAGTCC >mm10_chr13:45839830-45839841(+)::chr13:45839829-45839841(+) TTCAGGAAGTAG >mm10_chr13:45882147-45882158(+)::chr13:45882146-45882158(+) AAGAGGAAGTgg >mm10_chr13:45882162-45882173(+)::chr13:45882161-45882173(+) aggaggaagagg >mm10_chr13:45882174-45882185(+)::chr13:45882173-45882185(+) gggaggaagagg >mm10_chr13:45882230-45882241(+)::chr13:45882229-45882241(+) aggaggaagaga >mm10_chr13:45889584-45889595(-)::chr13:45889583-45889595(-) AGCAGGAAGTAA >mm10_chr13:45889616-45889627(+)::chr13:45889615-45889627(+) ACCAGGAAATAA >mm10_chr13:45911330-45911341(+)::chr13:45911329-45911341(+) TGGAGGAAGAAC >mm10_chr13:45911395-45911406(+)::chr13:45911394-45911406(+) AAGAGGAAGGTA >mm10_chr13:45911413-45911424(+)::chr13:45911412-45911424(+) AACAGGAAGATG >mm10_chr13:45914363-45914374(+)::chr13:45914362-45914374(+) aagaggaaatgc >mm10_chr13:45918078-45918089(+)::chr13:45918077-45918089(+) AACAGGAAGGGA >mm10_chr13:45956487-45956498(+)::chr13:45956486-45956498(+) TGGAGGAAGAGA >mm10_chr13:45967497-45967508(-)::chr13:45967496-45967508(-) TGCAGGAAGCAA >mm10_chr13:46015617-46015628(-)::chr13:46015616-46015628(-) ACAGGGAAGTTC >mm10_chr13:46059010-46059021(-)::chr13:46059009-46059021(-) TGCAGGAAGTGG >mm10_chr13:46097170-46097181(-)::chr13:46097169-46097181(-) AGGAGGAAGCCA >mm10_chr13:46259625-46259636(+)::chr13:46259624-46259636(+) aggaggaagtgc >mm10_chr13:46314919-46314930(-)::chr13:46314918-46314930(-) TACAGGAAGCCG >mm10_chr13:46346458-46346469(-)::chr13:46346457-46346469(-) tggaggaagtat >mm10_chr13:46360934-46360945(-)::chr13:46360933-46360945(-) TGGAGGAAGTTG >mm10_chr13:46397402-46397413(+)::chr13:46397401-46397413(+) atcaggaaggag >mm10_chr13:46397422-46397433(+)::chr13:46397421-46397433(+) tagaggaagtag >mm10_chr13:46521634-46521645(+)::chr13:46521633-46521645(+) gaaaggaagtgc >mm10_chr13:46603421-46603432(-)::chr13:46603420-46603432(-) agaaggaagtct >mm10_chr13:46672708-46672719(-)::chr13:46672707-46672719(-) AGCAGGAAGAAG >mm10_chr13:46684123-46684134(+)::chr13:46684122-46684134(+) TGGAGGAAGAGG >mm10_chr13:46728052-46728063(+)::chr13:46728051-46728063(+) TGAAGGAAGGAA >mm10_chr13:46728056-46728067(+)::chr13:46728055-46728067(+) GGAAGGAAGGGT >mm10_chr13:46735418-46735429(-)::chr13:46735417-46735429(-) AATAGGAAGTAA >mm10_chr13:46735841-46735852(+)::chr13:46735840-46735852(+) GAGAGGAAGCGA >mm10_chr13:46776823-46776834(-)::chr13:46776822-46776834(-) AGAAGGAGGTGT >mm10_chr13:46836701-46836712(+)::chr13:46836700-46836712(+) AGAAGGAAGAGA >mm10_chr13:46856151-46856162(+)::chr13:46856150-46856162(+) AAGAGGAAGCTA >mm10_chr13:46875489-46875500(-)::chr13:46875488-46875500(-) AAAAGGAAGAGA >mm10_chr13:46883545-46883556(-)::chr13:46883544-46883556(-) TCCAGGAAGTTA >mm10_chr13:46905168-46905179(+)::chr13:46905167-46905179(+) TTAAGGAAGTTC >mm10_chr13:46930546-46930557(+)::chr13:46930545-46930557(+) CGAAGGAAGCGG >mm10_chr13:46961963-46961974(+)::chr13:46961962-46961974(+) TGTAggaagtgc >mm10_chr13:46962015-46962026(-)::chr13:46962014-46962026(-) aggaggaagtat >mm10_chr13:46962022-46962033(-)::chr13:46962021-46962033(-) ggcaggaaggag >mm10_chr13:46964529-46964540(-)::chr13:46964528-46964540(-) ttcaggaagtcc >mm10_chr13:46975037-46975048(-)::chr13:46975036-46975048(-) gagaggaagaga >mm10_chr13:46983454-46983465(-)::chr13:46983453-46983465(-) aagaggaagagg >mm10_chr13:46983460-46983471(-)::chr13:46983459-46983471(-) gtgaggaagagg >mm10_chr13:46983472-46983483(-)::chr13:46983471-46983483(-) aggaggaagagg >mm10_chr13:46983490-46983501(-)::chr13:46983489-46983501(-) aggaggaagagg >mm10_chr13:46983532-46983543(-)::chr13:46983531-46983543(-) aggaggaagaga >mm10_chr13:46990531-46990542(+)::chr13:46990530-46990542(+) agcaggaagttt >mm10_chr13:47021132-47021143(+)::chr13:47021131-47021143(+) GCGGGGAAGTTA >mm10_chr13:47054159-47054170(+)::chr13:47054158-47054170(+) CAAAGGAAGAGA >mm10_chr13:47116794-47116805(+)::chr13:47116793-47116805(+) aaaaggaagcac >mm10_chr13:47116819-47116830(+)::chr13:47116818-47116830(+) gccaggaagaag >mm10_chr13:47155439-47155450(-)::chr13:47155438-47155450(-) TTAAGGAAGTCA >mm10_chr13:47162135-47162146(-)::chr13:47162134-47162146(-) ACAAGGAAGTCA >mm10_chr13:47162344-47162355(-)::chr13:47162343-47162355(-) ACAAGGAAACAA >mm10_chr13:47168425-47168436(-)::chr13:47168424-47168436(-) AGGAGGAAGGAG >mm10_chr13:47168450-47168461(+)::chr13:47168449-47168461(+) CGCAGGAAGACG >mm10_chr13:47173383-47173394(+)::chr13:47173382-47173394(+) agaaggaagtct >mm10_chr13:47173427-47173438(-)::chr13:47173426-47173438(-) ttaaggaagtgg >mm10_chr13:47178897-47178908(-)::chr13:47178896-47178908(-) aggaggaAGCAG >mm10_chr13:47178948-47178959(-)::chr13:47178947-47178959(-) aggaggaagagg >mm10_chr13:47178960-47178971(-)::chr13:47178959-47178971(-) aagaggaagaca >mm10_chr13:47178972-47178983(-)::chr13:47178971-47178983(-) aggaggaagaag >mm10_chr13:47193015-47193026(-)::chr13:47193014-47193026(-) GTAAGGAAGCAG >mm10_chr13:47193073-47193084(+)::chr13:47193072-47193084(+) TTCAGGAAGTAC >mm10_chr13:47193797-47193808(+)::chr13:47193796-47193808(+) AGTAGGAAGTGC >mm10_chr13:47198345-47198356(-)::chr13:47198344-47198356(-) AGCAGGAAGGAA >mm10_chr13:47206530-47206541(+)::chr13:47206529-47206541(+) ttaaggaagaac >mm10_chr13:47216386-47216397(-)::chr13:47216385-47216397(-) CCAAGGAAGCAT >mm10_chr13:47216395-47216406(+)::chr13:47216394-47216406(+) TGGAGGAAATAG >mm10_chr13:47217430-47217441(-)::chr13:47217429-47217441(-) GCAAGGAAGAAC >mm10_chr13:47224389-47224400(-)::chr13:47224388-47224400(-) GGAAGGAAGCTC >mm10_chr13:47237559-47237570(-)::chr13:47237558-47237570(-) TACAGGAAGACT >mm10_chr13:47251356-47251367(+)::chr13:47251355-47251367(+) agcaggaagtca >mm10_chr13:47252387-47252398(+)::chr13:47252386-47252398(+) agcaggaaggta >mm10_chr13:47252415-47252426(+)::chr13:47252414-47252426(+) ttcaggaagtcc >mm10_chr13:47267766-47267777(-)::chr13:47267765-47267777(-) AGAAGGAAAAGC >mm10_chr13:47282890-47282901(+)::chr13:47282889-47282901(+) TCCAGGAAGTAG >mm10_chr13:47472100-47472111(-)::chr13:47472099-47472111(-) GGCAGGAAGAGC >mm10_chr13:47563119-47563130(-)::chr13:47563118-47563130(-) CACAGGAAGTTA >mm10_chr13:47563154-47563165(-)::chr13:47563153-47563165(-) GAAAGGAAGCTA >mm10_chr13:47563190-47563201(-)::chr13:47563189-47563201(-) ATTAGGAAGGAA >mm10_chr13:47664176-47664187(-)::chr13:47664175-47664187(-) ACAAGGAAGAGA >mm10_chr13:47788796-47788807(-)::chr13:47788795-47788807(-) AGAAGGAAGCAA >mm10_chr13:47848114-47848125(-)::chr13:47848113-47848125(-) ACCAGGAAGATC >mm10_chr13:47848127-47848138(-)::chr13:47848126-47848138(-) AGCAGGAAATAA >mm10_chr13:47935893-47935904(-)::chr13:47935892-47935904(-) aacaggaagtct >mm10_chr13:47942543-47942554(+)::chr13:47942542-47942554(+) GCAGGGAAGTCG >mm10_chr13:48317347-48317358(-)::chr13:48317346-48317358(-) AGGAGGAAATTA >mm10_chr13:48317608-48317619(+)::chr13:48317607-48317619(+) GGAAGGAAATGC >mm10_chr13:48513433-48513444(+)::chr13:48513432-48513444(+) ATGCGGAAGTAC >mm10_chr13:48528757-48528768(+)::chr13:48528756-48528768(+) atgaggaagcca >mm10_chr13:48545984-48545995(-)::chr13:48545983-48545995(-) GGACGGAAGTCC >mm10_chr13:48552223-48552234(-)::chr13:48552222-48552234(-) ACAGGGAAGTGG >mm10_chr13:48552292-48552303(-)::chr13:48552291-48552303(-) CGGAGGAAGCTG >mm10_chr13:48566677-48566688(+)::chr13:48566676-48566688(+) AGCAGGAAGCCA >mm10_chr13:48569889-48569900(+)::chr13:48569888-48569900(+) AAGAGGAAGTAA >mm10_chr13:48569912-48569923(+)::chr13:48569911-48569923(+) AGGAGGAAGAAG >mm10_chr13:48569919-48569930(+)::chr13:48569918-48569930(+) AGAAGGAAGGTG >mm10_chr13:48571577-48571588(-)::chr13:48571576-48571588(-) actaggaagtag >mm10_chr13:48831637-48831648(+)::chr13:48831636-48831648(+) ACAAGGATGTAG >mm10_chr13:48831644-48831655(+)::chr13:48831643-48831655(+) TGTAGGAAGTAG >mm10_chr13:48831914-48831925(+)::chr13:48831913-48831925(+) AGACGGAAGGAG >mm10_chr13:48842447-48842458(-)::chr13:48842446-48842458(-) agcaggaagaag >mm10_chr13:48842464-48842475(-)::chr13:48842463-48842475(-) ttgaggaagtga >mm10_chr13:48865144-48865155(+)::chr13:48865143-48865155(+) AGAAGGAAAGGA >mm10_chr13:48865149-48865160(+)::chr13:48865148-48865160(+) GAAAGGAAGTGG >mm10_chr13:48869815-48869826(+)::chr13:48869814-48869826(+) AGTAGGAAGGGA >mm10_chr13:48869928-48869939(-)::chr13:48869927-48869939(-) TTAAGGAAGATG >mm10_chr13:48921626-48921637(+)::chr13:48921625-48921637(+) TCAAGGAAGCCT >mm10_chr13:48922834-48922845(+)::chr13:48922833-48922845(+) AACAGGAAATCC >mm10_chr13:48924442-48924453(+)::chr13:48924441-48924453(+) TTAAGGAAATGG >mm10_chr13:48924455-48924466(+)::chr13:48924454-48924466(+) ACTAGGAAGAGG >mm10_chr13:48929707-48929718(-)::chr13:48929706-48929718(-) AACAGGAAGTGA >mm10_chr13:48930482-48930493(-)::chr13:48930481-48930493(-) AGCAGGAAGATG >mm10_chr13:48931871-48931882(+)::chr13:48931870-48931882(+) aggaggaagaga >mm10_chr13:48931883-48931894(+)::chr13:48931882-48931894(+) agaaggaagaag >mm10_chr13:48931899-48931910(+)::chr13:48931898-48931910(+) aagaggaagcag >mm10_chr13:48939768-48939779(-)::chr13:48939767-48939779(-) AGCAGGAAGTAT >mm10_chr13:48945009-48945020(+)::chr13:48945008-48945020(+) AGCAGGAAGGAA >mm10_chr13:48958806-48958817(+)::chr13:48958805-48958817(+) AGCAGGAAGAAT >mm10_chr13:48958819-48958830(-)::chr13:48958818-48958830(-) AGGAGGAAGTAG >mm10_chr13:48965892-48965903(+)::chr13:48965891-48965903(+) GTCAGGAAGAGG >mm10_chr13:48981369-48981380(+)::chr13:48981368-48981380(+) tgaaggaagtga >mm10_chr13:49002007-49002018(+)::chr13:49002006-49002018(+) CAAAGGAAGCAA >mm10_chr13:49013658-49013669(+)::chr13:49013657-49013669(+) AACAGGAAGTCC >mm10_chr13:49026585-49026596(+)::chr13:49026584-49026596(+) ccaaggaagtca >mm10_chr13:49028343-49028354(-)::chr13:49028342-49028354(-) ATGAGGAAGTCA >mm10_chr13:49070228-49070239(-)::chr13:49070227-49070239(-) ggaaggaagtgt >mm10_chr13:49070232-49070243(-)::chr13:49070231-49070243(-) gcaaggaaggaa >mm10_chr13:49093853-49093864(+)::chr13:49093852-49093864(+) GCCAGGAAGAGA >mm10_chr13:49100585-49100596(-)::chr13:49100584-49100596(-) AGGAGGAAGTAG >mm10_chr13:49102339-49102350(+)::chr13:49102338-49102350(+) ataaggatgtaa >mm10_chr13:49102381-49102392(+)::chr13:49102380-49102392(+) atagggaagtag >mm10_chr13:49131635-49131646(-)::chr13:49131634-49131646(-) AGCAGGAACTAC >mm10_chr13:49132506-49132517(+)::chr13:49132505-49132517(+) CAGAGGAAGTGG >mm10_chr13:49159156-49159167(-)::chr13:49159155-49159167(-) TGCAGGAAGTAG >mm10_chr13:49169226-49169237(-)::chr13:49169225-49169237(-) ACAAGGAAGATT >mm10_chr13:49173110-49173121(+)::chr13:49173109-49173121(+) aaaaggaaggaa >mm10_chr13:49173114-49173125(+)::chr13:49173113-49173125(+) ggaaggaagtcc >mm10_chr13:49179327-49179338(+)::chr13:49179326-49179338(+) AACAGGAAGAAG >mm10_chr13:49216041-49216052(-)::chr13:49216040-49216052(-) ATAGGGAAGAGG >mm10_chr13:49240202-49240213(+)::chr13:49240201-49240213(+) ATAAGGAAGTaa >mm10_chr13:49240206-49240217(+)::chr13:49240205-49240217(+) GGAAGTaagtag >mm10_chr13:49243494-49243505(-)::chr13:49243493-49243505(-) AGGAGGAAGGGC >mm10_chr13:49243541-49243552(-)::chr13:49243540-49243552(-) TAAAGGAAGTTG >mm10_chr13:49243561-49243572(+)::chr13:49243560-49243572(+) TAAAGGAAGCAC >mm10_chr13:49271984-49271995(+)::chr13:49271983-49271995(+) GGCAGGAAGCAG >mm10_chr13:49272040-49272051(-)::chr13:49272039-49272051(-) AGAGGGAAATAA >mm10_chr13:49279674-49279685(-)::chr13:49279673-49279685(-) TACAGGAAGAGA >mm10_chr13:49279718-49279729(-)::chr13:49279717-49279729(-) GAAAGGAAGGAG >mm10_chr13:49280017-49280028(+)::chr13:49280016-49280028(+) ATGAGGAAGTTC >mm10_chr13:49280050-49280061(+)::chr13:49280049-49280061(+) ACCAGGAAGAGG >mm10_chr13:49288913-49288924(-)::chr13:49288912-49288924(-) ATGAGGAAGTGG >mm10_chr13:49295598-49295609(+)::chr13:49295597-49295609(+) ctaaggaaggaa >mm10_chr13:49295602-49295613(+)::chr13:49295601-49295613(+) ggaaggaagatc >mm10_chr13:49298617-49298628(-)::chr13:49298616-49298628(-) AGGAGGAAGTGA >mm10_chr13:49309032-49309043(-)::chr13:49309031-49309043(-) AGCAGGAAGTTG >mm10_chr13:49313861-49313872(+)::chr13:49313860-49313872(+) aggaggaagcag >mm10_chr13:49323238-49323249(-)::chr13:49323237-49323249(-) gGAAGGAAGGAA >mm10_chr13:49323242-49323253(-)::chr13:49323241-49323253(-) aaaagGAAGGAA >mm10_chr13:49323267-49323278(-)::chr13:49323266-49323278(-) ataaggaagagg >mm10_chr13:49323286-49323297(-)::chr13:49323285-49323297(-) aggaggaagggg >mm10_chr13:49367708-49367719(+)::chr13:49367707-49367719(+) TACAGGAAGTAG >mm10_chr13:49370407-49370418(+)::chr13:49370406-49370418(+) AGCAGGAAGTCA >mm10_chr13:49381323-49381334(-)::chr13:49381322-49381334(-) GAGAGGAAGGAA >mm10_chr13:49402395-49402406(-)::chr13:49402394-49402406(-) AGAGGGAAGTTA >mm10_chr13:49402446-49402457(-)::chr13:49402445-49402457(-) AAGAGGAAGAAA >mm10_chr13:49421027-49421038(+)::chr13:49421026-49421038(+) GCCAGGAAGCCG >mm10_chr13:49421181-49421192(+)::chr13:49421180-49421192(+) ACCCGGAAGTTG >mm10_chr13:49479506-49479517(+)::chr13:49479505-49479517(+) TAAAGGAATTTA >mm10_chr13:49479567-49479578(-)::chr13:49479566-49479578(-) ACAAGGAACGGC >mm10_chr13:49653223-49653234(+)::chr13:49653222-49653234(+) CGGAGGAAATAA >mm10_chr13:49684125-49684136(+)::chr13:49684124-49684136(+) aaaaggaaaTGA >mm10_chr13:49684145-49684156(+)::chr13:49684144-49684156(+) AGGAGGAAGCAG >mm10_chr13:49684185-49684196(+)::chr13:49684184-49684196(+) ATAAGGAAGAAA >mm10_chr13:49684433-49684444(-)::chr13:49684432-49684444(-) TGTAGGAAGAAC >mm10_chr13:49710954-49710965(-)::chr13:49710953-49710965(-) AACAGGAAGCAG >mm10_chr13:49842879-49842890(+)::chr13:49842878-49842890(+) AGAAGAAAGTGA >mm10_chr13:50949642-50949653(-)::chr13:50949641-50949653(-) AAAGGGAAGTTA >mm10_chr13:50958471-50958482(-)::chr13:50958470-50958482(-) GAAAGGAAATCG >mm10_chr13:50980362-50980373(+)::chr13:50980361-50980373(+) GAAAGGATGTTG >mm10_chr13:50982653-50982664(-)::chr13:50982652-50982664(-) aagaggaagagg >mm10_chr13:50982659-50982670(-)::chr13:50982658-50982670(-) gaaaggaagagg >mm10_chr13:51016392-51016403(-)::chr13:51016391-51016403(-) ATCAGGACGTAT >mm10_chr13:51026143-51026154(-)::chr13:51026142-51026154(-) AGGAGGAAGTCT >mm10_chr13:51026748-51026759(+)::chr13:51026747-51026759(+) GCCAGGAAGTGT >mm10_chr13:51051917-51051928(+)::chr13:51051916-51051928(+) aggaggaagaaa >mm10_chr13:51063298-51063309(-)::chr13:51063297-51063309(-) AGAAGGAAGCCA >mm10_chr13:51099263-51099274(+)::chr13:51099262-51099274(+) ataaggaagttt >mm10_chr13:51099568-51099579(+)::chr13:51099567-51099579(+) AAACGGAAGTGT >mm10_chr13:51099599-51099610(-)::chr13:51099598-51099610(-) ATAGGGAAGGAG >mm10_chr13:51099614-51099625(-)::chr13:51099613-51099625(-) ACAAGGAAGGGT >mm10_chr13:51120926-51120937(-)::chr13:51120925-51120937(-) ATCAGGAAATGC >mm10_chr13:51137030-51137041(+)::chr13:51137029-51137041(+) accagtaagtag >mm10_chr13:51137706-51137717(-)::chr13:51137705-51137717(-) GCCAGGAAATAA >mm10_chr13:51137969-51137980(-)::chr13:51137968-51137980(-) ATGAGGAAGGAC >mm10_chr13:51265531-51265542(-)::chr13:51265530-51265542(-) tggaggaagagc >mm10_chr13:51265540-51265551(-)::chr13:51265539-51265551(-) gcaaggatgtgg >mm10_chr13:51406626-51406637(+)::chr13:51406625-51406637(+) ttCAGGAAGTAT >mm10_chr13:51469180-51469191(+)::chr13:51469179-51469191(+) GGCAGGAAGACT >mm10_chr13:51594586-51594597(-)::chr13:51594585-51594597(-) ATACGGAAGCCA >mm10_chr13:51639143-51639154(-)::chr13:51639142-51639154(-) agaagtaagtaa >mm10_chr13:51639150-51639161(-)::chr13:51639149-51639161(-) aagaggaagaag >mm10_chr13:51651650-51651661(-)::chr13:51651649-51651661(-) TGCCGGAAGTGC >mm10_chr13:51651690-51651701(-)::chr13:51651689-51651701(-) GCCAGGACGTAC >mm10_chr13:51651709-51651720(+)::chr13:51651708-51651720(+) CGCAGGAAGGCG >mm10_chr13:51683437-51683448(-)::chr13:51683436-51683448(-) AGAAGGAAGTCT >mm10_chr13:51710898-51710909(+)::chr13:51710897-51710909(+) TGCAGGAAATGG >mm10_chr13:51728848-51728859(-)::chr13:51728847-51728859(-) ATGAGGAAGGAG >mm10_chr13:51744437-51744448(-)::chr13:51744436-51744448(-) TCCAGGAAGTGG >mm10_chr13:51745791-51745802(+)::chr13:51745790-51745802(+) ACCAGGAAGAGC >mm10_chr13:51745813-51745824(+)::chr13:51745812-51745824(+) TCAAGGAACTTA >mm10_chr13:51756802-51756813(-)::chr13:51756801-51756813(-) aACAGGAAGTCA >mm10_chr13:51763495-51763506(+)::chr13:51763494-51763506(+) AACAGGAAGGTG >mm10_chr13:51767667-51767678(+)::chr13:51767666-51767678(+) AACAGGAAGTTC >mm10_chr13:51768208-51768219(+)::chr13:51768207-51768219(+) AGCAGGAAGACC >mm10_chr13:51771870-51771881(-)::chr13:51771869-51771881(-) AGGAGGAAGGAA >mm10_chr13:51776194-51776205(+)::chr13:51776193-51776205(+) AACAGGAAATGG >mm10_chr13:51776247-51776258(-)::chr13:51776246-51776258(-) TGAAGGAAGATT >mm10_chr13:51792408-51792419(-)::chr13:51792407-51792419(-) AAACGGAAGTTT >mm10_chr13:51794759-51794770(-)::chr13:51794758-51794770(-) TTAAGGAACTGG >mm10_chr13:51816144-51816155(-)::chr13:51816143-51816155(-) ATAAGGAagttg >mm10_chr13:51817943-51817954(+)::chr13:51817942-51817954(+) ACAAGGAACTCG >mm10_chr13:51818602-51818613(-)::chr13:51818601-51818613(-) AGGAGGAAGTAC >mm10_chr13:51828921-51828932(-)::chr13:51828920-51828932(-) tacaggaagGTG >mm10_chr13:51828930-51828941(-)::chr13:51828929-51828941(-) tacaggaagtac >mm10_chr13:51828952-51828963(-)::chr13:51828951-51828963(-) tacaggaagtac >mm10_chr13:51839392-51839403(+)::chr13:51839391-51839403(+) TGAAGGAAGAGG >mm10_chr13:51839398-51839409(+)::chr13:51839397-51839409(+) AAGAGGAAGAGG >mm10_chr13:51839418-51839429(+)::chr13:51839417-51839429(+) AGAAGGAAGTGT >mm10_chr13:51842425-51842436(-)::chr13:51842424-51842436(-) AGGAGGAAGAGC >mm10_chr13:51842439-51842450(-)::chr13:51842438-51842450(-) GGAGGGAAGTGG >mm10_chr13:51842711-51842722(+)::chr13:51842710-51842722(+) ACCAGGAAGAGG >mm10_chr13:51849607-51849618(-)::chr13:51849606-51849618(-) TACAGGAAGCGT >mm10_chr13:51853790-51853801(+)::chr13:51853789-51853801(+) AACAGGAAGTCT >mm10_chr13:51857019-51857030(-)::chr13:51857018-51857030(-) ACAAGGAAGGGA >mm10_chr13:51872547-51872558(-)::chr13:51872546-51872558(-) CCAAGGAAGTAT >mm10_chr13:51872865-51872876(+)::chr13:51872864-51872876(+) AAGAGGAAGGAG >mm10_chr13:51872872-51872883(+)::chr13:51872871-51872883(+) AGGAGGAAGAGA >mm10_chr13:51896689-51896700(-)::chr13:51896688-51896700(-) acaaggaagaaa >mm10_chr13:51929946-51929957(+)::chr13:51929945-51929957(+) ACCAGGAAGCTG >mm10_chr13:51946410-51946421(-)::chr13:51946409-51946421(-) TGTAGGAAGTAC >mm10_chr13:51964446-51964457(+)::chr13:51964445-51964457(+) TGGAGGAAGGGC >mm10_chr13:51970179-51970190(-)::chr13:51970178-51970190(-) GAAAGGATGTGA >mm10_chr13:51976967-51976978(-)::chr13:51976966-51976978(-) ACAAGGAAGACT >mm10_chr13:51983057-51983068(-)::chr13:51983056-51983068(-) ATAAGGAAATGC >mm10_chr13:52037653-52037664(-)::chr13:52037652-52037664(-) AAGAGGAAATGT >mm10_chr13:52042049-52042060(-)::chr13:52042048-52042060(-) AAGAGGAAGGAG >mm10_chr13:52061610-52061621(-)::chr13:52061609-52061621(-) AGCAGGAAGAGG >mm10_chr13:52061682-52061693(+)::chr13:52061681-52061693(+) TACAGGAAGTGC >mm10_chr13:52095252-52095263(-)::chr13:52095251-52095263(-) TCAAGGAAATGA >mm10_chr13:52108911-52108922(+)::chr13:52108910-52108922(+) GGTAGGAAGAGC >mm10_chr13:52108922-52108933(-)::chr13:52108921-52108933(-) AGGAGGAAGCAG >mm10_chr13:52109493-52109504(-)::chr13:52109492-52109504(-) AGGAGGAAATTA >mm10_chr13:52109509-52109520(-)::chr13:52109508-52109520(-) ATAAGGAAGTGG >mm10_chr13:52114598-52114609(+)::chr13:52114597-52114609(+) GCCAGGAAGATG >mm10_chr13:52137285-52137296(+)::chr13:52137284-52137296(+) AGCAGGAAGGCT >mm10_chr13:52236394-52236405(+)::chr13:52236393-52236405(+) CTGAGGAAGTCA >mm10_chr13:52268573-52268584(+)::chr13:52268572-52268584(+) TGAAGGAAGAAG >mm10_chr13:52296074-52296085(-)::chr13:52296073-52296085(-) ATGAGGAAGCAC >mm10_chr13:52296104-52296115(-)::chr13:52296103-52296115(-) AGGAGGAAGCAC >mm10_chr13:52296709-52296720(+)::chr13:52296708-52296720(+) AGAAGGAAGCTG >mm10_chr13:52296764-52296775(+)::chr13:52296763-52296775(+) TGAAGGAAGGCT >mm10_chr13:52324426-52324437(+)::chr13:52324425-52324437(+) TTCAGGAAGTTC >mm10_chr13:52430015-52430026(+)::chr13:52430014-52430026(+) TTAAGGAAGACC >mm10_chr13:52430039-52430050(-)::chr13:52430038-52430050(-) AGCAGGAAATGG >mm10_chr13:52583069-52583080(+)::chr13:52583068-52583080(+) CAGAGGAAGCGA >mm10_chr13:52583099-52583110(+)::chr13:52583098-52583110(+) TTGAGGAAGTTC >mm10_chr13:52583116-52583127(+)::chr13:52583115-52583127(+) AGGAGGAAGCGG >mm10_chr13:52588155-52588166(-)::chr13:52588154-52588166(-) GGAAGGAGGTAG >mm10_chr13:52588159-52588170(-)::chr13:52588158-52588170(-) ACAAGGAAGGAG >mm10_chr13:52658682-52658693(-)::chr13:52658681-52658693(-) AGGAGGAAGCAG >mm10_chr13:52706160-52706171(+)::chr13:52706159-52706171(+) ACAAGGAAAATA >mm10_chr13:52706198-52706209(-)::chr13:52706197-52706209(-) TGAAGGAAGCAG >mm10_chr13:52726365-52726376(+)::chr13:52726364-52726376(+) aaaaggaagaaa >mm10_chr13:52765116-52765127(+)::chr13:52765115-52765127(+) AACAGGAAGGAG >mm10_chr13:52765120-52765131(+)::chr13:52765119-52765131(+) GGAAGGAGGTGG >mm10_chr13:52768451-52768462(-)::chr13:52768450-52768462(-) GAAAGGAAGTTG >mm10_chr13:52801622-52801633(-)::chr13:52801621-52801633(-) aataggaagagg >mm10_chr13:52807132-52807143(+)::chr13:52807131-52807143(+) aggaggaagagg >mm10_chr13:52807138-52807149(+)::chr13:52807137-52807149(+) aagaggaagaag >mm10_chr13:52807165-52807176(+)::chr13:52807164-52807176(+) gggaggaagagg >mm10_chr13:52807187-52807198(+)::chr13:52807186-52807198(+) aaaaggaagggg >mm10_chr13:52820457-52820468(+)::chr13:52820456-52820468(+) GAAAGGAAGCCT >mm10_chr13:52821141-52821152(+)::chr13:52821140-52821152(+) ATGAGGAAGACA >mm10_chr13:52892217-52892228(+)::chr13:52892216-52892228(+) TCCAGGAAGTGA >mm10_chr13:52920568-52920579(+)::chr13:52920567-52920579(+) CAAAGGAAGATG >mm10_chr13:52920619-52920630(+)::chr13:52920618-52920630(+) AGAAGGAAGAGC >mm10_chr13:52932482-52932493(-)::chr13:52932481-52932493(-) atgaggaaatca >mm10_chr13:52999562-52999573(+)::chr13:52999561-52999573(+) accaggaagcag >mm10_chr13:53008726-53008737(+)::chr13:53008725-53008737(+) AACAGGAAGTCC >mm10_chr13:53008755-53008766(-)::chr13:53008754-53008766(-) GGTAGGAAGGGC >mm10_chr13:53095357-53095368(+)::chr13:53095356-53095368(+) GGTAGGAAGGAG >mm10_chr13:53095425-53095436(-)::chr13:53095424-53095436(-) ACACGGAAGTGA >mm10_chr13:53142271-53142282(+)::chr13:53142270-53142282(+) ACCAGGAAGGTC >mm10_chr13:53316851-53316862(+)::chr13:53316850-53316862(+) GCAAGGAAGGTG >mm10_chr13:53377361-53377372(-)::chr13:53377360-53377372(-) TGCCGGAAGTCG >mm10_chr13:53431131-53431142(-)::chr13:53431130-53431142(-) AGCAGGAAGAGC >mm10_chr13:53462010-53462021(+)::chr13:53462009-53462021(+) GGGAGGAAGAGG >mm10_chr13:53481938-53481949(+)::chr13:53481937-53481949(+) tacaggaagagt >mm10_chr13:53592902-53592913(-)::chr13:53592901-53592913(-) AGAAGGAAATGG >mm10_chr13:53592909-53592920(-)::chr13:53592908-53592920(-) AGGAGGAAGAAG >mm10_chr13:53607932-53607943(+)::chr13:53607931-53607943(+) ACCAGGAAGCTA >mm10_chr13:53608000-53608011(-)::chr13:53607999-53608011(-) AAGAGGAAGTCC >mm10_chr13:53659841-53659852(+)::chr13:53659840-53659852(+) CTAAGGAAGTCT >mm10_chr13:53659909-53659920(+)::chr13:53659908-53659920(+) GCAGGGAAGTGA >mm10_chr13:53659925-53659936(-)::chr13:53659924-53659936(-) ATAAGGAAGGAA >mm10_chr13:53662901-53662912(+)::chr13:53662900-53662912(+) ATCAGGAAGTTA >mm10_chr13:53671704-53671715(+)::chr13:53671703-53671715(+) TCAAGGAAGACA >mm10_chr13:53671726-53671737(+)::chr13:53671725-53671737(+) AAGAGGAAGAGG >mm10_chr13:53680050-53680061(-)::chr13:53680049-53680061(-) aagaggaaggga >mm10_chr13:53680056-53680067(-)::chr13:53680055-53680067(-) agaaggaagagg >mm10_chr13:53680071-53680082(-)::chr13:53680070-53680082(-) aagaggaaggag >mm10_chr13:53680083-53680094(-)::chr13:53680082-53680094(-) aggaggaagaag >mm10_chr13:53680098-53680109(-)::chr13:53680097-53680109(-) aggaggaagaga >mm10_chr13:53920008-53920019(+)::chr13:53920007-53920019(+) AACAGGAAGGCT >mm10_chr13:54057452-54057463(+)::chr13:54057451-54057463(+) ACAAGGAAGCTG >mm10_chr13:54223363-54223374(-)::chr13:54223362-54223374(-) AGCAGGAAGGCC >mm10_chr13:54223395-54223406(-)::chr13:54223394-54223406(-) TTGAGGAAGTGA >mm10_chr13:54262394-54262405(-)::chr13:54262393-54262405(-) AAGAGGAAGAGG >mm10_chr13:54262400-54262411(-)::chr13:54262399-54262411(-) AACAGGAAGAGG >mm10_chr13:54262417-54262428(+)::chr13:54262416-54262428(+) CTGAGGAAGTTC >mm10_chr13:54282962-54282973(-)::chr13:54282961-54282973(-) TGAAGGAAATAA >mm10_chr13:54333245-54333256(-)::chr13:54333244-54333256(-) AGGAGGAAATGG >mm10_chr13:54357566-54357577(+)::chr13:54357565-54357577(+) ACAAGGAAGTAT >mm10_chr13:54376391-54376402(-)::chr13:54376390-54376402(-) AACAGGAAATGA >mm10_chr13:54377992-54378003(+)::chr13:54377991-54378003(+) ttaaggaagggg >mm10_chr13:54439494-54439505(+)::chr13:54439493-54439505(+) acagggaagtaa >mm10_chr13:54455411-54455422(-)::chr13:54455410-54455422(-) aagaggaagaag >mm10_chr13:54455432-54455443(-)::chr13:54455431-54455443(-) agaaggaagagg >mm10_chr13:54455599-54455610(-)::chr13:54455598-54455610(-) aggaggaagaaa >mm10_chr13:54455632-54455643(-)::chr13:54455631-54455643(-) aggaggaagaga >mm10_chr13:54455659-54455670(-)::chr13:54455658-54455670(-) aggaggaagaaa >mm10_chr13:54455673-54455684(-)::chr13:54455672-54455684(-) aggaggaaggga >mm10_chr13:54468981-54468992(-)::chr13:54468980-54468992(-) TTAAGGAAGAAT >mm10_chr13:54580995-54581006(-)::chr13:54580994-54581006(-) GAGAGGAAGCGT >mm10_chr13:54585958-54585969(-)::chr13:54585957-54585969(-) AACAGGAAATCC >mm10_chr13:54661029-54661040(-)::chr13:54661028-54661040(-) ACAGGGAAGTAC >mm10_chr13:54676073-54676084(+)::chr13:54676072-54676084(+) ATGGGGAAGTGA >mm10_chr13:54747266-54747277(+)::chr13:54747265-54747277(+) AGCAGGAAGTGA >mm10_chr13:54747266-54747277(+)::chr13:54747265-54747277(+) AGCAGGAAGTGA >mm10_chr13:54789648-54789659(-)::chr13:54789647-54789659(-) AACAGGAAGTAT >mm10_chr13:54868556-54868567(+)::chr13:54868555-54868567(+) AACAGGAAGGGA >mm10_chr13:54868610-54868621(-)::chr13:54868609-54868621(-) aggaggaagagg >mm10_chr13:54868625-54868636(-)::chr13:54868624-54868636(-) aagaggaagaag >mm10_chr13:54868631-54868642(-)::chr13:54868630-54868642(-) aaaaggaagagg >mm10_chr13:54949897-54949908(-)::chr13:54949896-54949908(-) ACCAGGAAGCTG >mm10_chr13:54960704-54960715(-)::chr13:54960703-54960715(-) AGGAGGAAATAA >mm10_chr13:54983189-54983200(+)::chr13:54983188-54983200(+) TAGAGGAAGTGT >mm10_chr13:54983219-54983230(+)::chr13:54983218-54983230(+) TTGAGGAAGTAA >mm10_chr13:55060897-55060908(-)::chr13:55060896-55060908(-) ATAAGGAAGTCA >mm10_chr13:55062378-55062389(+)::chr13:55062377-55062389(+) ATAAGGAAAATC >mm10_chr13:55062430-55062441(+)::chr13:55062429-55062441(+) AACAGGAAGTGA >mm10_chr13:55088574-55088585(-)::chr13:55088573-55088585(-) agcaggaagttg >mm10_chr13:55155149-55155160(-)::chr13:55155148-55155160(-) GGAAGGAAGCAG >mm10_chr13:55173017-55173028(+)::chr13:55173016-55173028(+) ACAAGGAAGAAA >mm10_chr13:55173025-55173036(+)::chr13:55173024-55173036(+) GAAAGGAAGCCA >mm10_chr13:55181347-55181358(+)::chr13:55181346-55181358(+) ATAAGGAAGGAG >mm10_chr13:55181354-55181365(+)::chr13:55181353-55181365(+) AGGAGGAAGCCG >mm10_chr13:55181415-55181426(-)::chr13:55181414-55181426(-) GAAAGGAAACGC >mm10_chr13:55182509-55182520(-)::chr13:55182508-55182520(-) AGAGGGAAGTGG >mm10_chr13:55194654-55194665(-)::chr13:55194653-55194665(-) ACCAGGAAGAGG >mm10_chr13:55194696-55194707(-)::chr13:55194695-55194707(-) GCTAGGAAGTTG >mm10_chr13:55220741-55220752(-)::chr13:55220740-55220752(-) aggaggaagagg >mm10_chr13:55220753-55220764(-)::chr13:55220752-55220764(-) aggaggaagaga >mm10_chr13:55315153-55315164(+)::chr13:55315152-55315164(+) AACAGGAAGTGC >mm10_chr13:55362607-55362618(-)::chr13:55362606-55362618(-) GGGCGGAAGTGG >mm10_chr13:55362621-55362632(-)::chr13:55362620-55362632(-) AGGCGGAAGTCT >mm10_chr13:55362628-55362639(-)::chr13:55362627-55362639(-) ACCCGGAAGGCG >mm10_chr13:55385150-55385161(+)::chr13:55385149-55385161(+) AGAAGGAAGGAG >mm10_chr13:55419420-55419431(+)::chr13:55419419-55419431(+) aagaggaagagg >mm10_chr13:55419447-55419458(+)::chr13:55419446-55419458(+) aggaggaagagg >mm10_chr13:55419453-55419464(+)::chr13:55419452-55419464(+) aagaggaagagg >mm10_chr13:55419459-55419470(+)::chr13:55419458-55419470(+) aagaggaagagg >mm10_chr13:55419465-55419476(+)::chr13:55419464-55419476(+) aagaggaagagg >mm10_chr13:55428826-55428837(+)::chr13:55428825-55428837(+) TCCAGGAAGAGA >mm10_chr13:55431763-55431774(-)::chr13:55431762-55431774(-) GGAGGGAAGTAG >mm10_chr13:55431806-55431817(-)::chr13:55431805-55431817(-) aagaggaagagg >mm10_chr13:55431812-55431823(-)::chr13:55431811-55431823(-) aagaggaagagg >mm10_chr13:55431818-55431829(-)::chr13:55431817-55431829(-) aggaggaagagg >mm10_chr13:55452365-55452376(-)::chr13:55452364-55452376(-) TCCAGGAAGGAC >mm10_chr13:55458992-55459003(-)::chr13:55458991-55459003(-) AACAGGAAGCGG >mm10_chr13:55465993-55466004(+)::chr13:55465992-55466004(+) GTGAGGAAGTTC >mm10_chr13:55506846-55506857(+)::chr13:55506845-55506857(+) AGAAGGAAGGCA >mm10_chr13:55506850-55506861(+)::chr13:55506849-55506861(+) GGAAGGCAGTGT >mm10_chr13:55543225-55543236(-)::chr13:55543224-55543236(-) CAAAGGAAGTTC >mm10_chr13:55583289-55583300(-)::chr13:55583288-55583300(-) ttcaggaagtaa >mm10_chr13:55583313-55583324(-)::chr13:55583312-55583324(-) tggaggaagaag >mm10_chr13:55593474-55593485(-)::chr13:55593473-55593485(-) AAAAGGAAGATG >mm10_chr13:55600878-55600889(-)::chr13:55600877-55600889(-) ACCAGGAAGAGA >mm10_chr13:55622754-55622765(-)::chr13:55622753-55622765(-) GCCAGGAAGCAA >mm10_chr13:55634963-55634974(-)::chr13:55634962-55634974(-) GGAAGGAAGCGG >mm10_chr13:55634980-55634991(-)::chr13:55634979-55634991(-) GGAAGGAAGGAG >mm10_chr13:55759281-55759292(+)::chr13:55759280-55759292(+) AGAAGCAAGTAG >mm10_chr13:55786059-55786070(-)::chr13:55786058-55786070(-) ACAAGGAAATAC >mm10_chr13:55786073-55786084(+)::chr13:55786072-55786084(+) TTAAGGAAACGT >mm10_chr13:55786364-55786375(+)::chr13:55786363-55786375(+) AGGAGGAAGGAT >mm10_chr13:55867937-55867948(-)::chr13:55867936-55867948(-) AGCAGGAACTCG >mm10_chr13:55868158-55868169(-)::chr13:55868157-55868169(-) TCAAGGAAGTCA >mm10_chr13:55925941-55925952(+)::chr13:55925940-55925952(+) ATAAGGAAGACT >mm10_chr13:55926003-55926014(-)::chr13:55926002-55926014(-) ACCAGGAAGAGG >mm10_chr13:55939986-55939997(-)::chr13:55939985-55939997(-) aacaggaaggtg >mm10_chr13:55940018-55940029(+)::chr13:55940017-55940029(+) AGCAGGAAGTGC >mm10_chr13:56014176-56014187(+)::chr13:56014175-56014187(+) aggaggaagttg >mm10_chr13:56014188-56014199(+)::chr13:56014187-56014199(+) aagaggaagtgg >mm10_chr13:56014203-56014214(+)::chr13:56014202-56014214(+) agaaggaagttg >mm10_chr13:56014218-56014229(+)::chr13:56014217-56014229(+) aagaggaagtag >mm10_chr13:56014233-56014244(+)::chr13:56014232-56014244(+) agaaggaagagg >mm10_chr13:56014239-56014250(+)::chr13:56014238-56014250(+) aagaggaagtgg >mm10_chr13:56102387-56102398(+)::chr13:56102386-56102398(+) AGTAGGAAGAGC >mm10_chr13:56113760-56113771(-)::chr13:56113759-56113771(-) TAAGGGAAGTCC >mm10_chr13:56165771-56165782(-)::chr13:56165770-56165782(-) atgaggaagcga >mm10_chr13:56309126-56309137(+)::chr13:56309125-56309137(+) AAGAGGAAGGGG >mm10_chr13:56389463-56389474(+)::chr13:56389462-56389474(+) GGGAGGAAGCGG >mm10_chr13:56434543-56434554(+)::chr13:56434542-56434554(+) ACAAGGAACCGG >mm10_chr13:56444942-56444953(+)::chr13:56444941-56444953(+) TTAGGGAAGTGA >mm10_chr13:56444960-56444971(-)::chr13:56444959-56444971(-) TGCAGGAAGCAA >mm10_chr13:56448375-56448386(+)::chr13:56448374-56448386(+) GGCAGGAAGTAT >mm10_chr13:56448418-56448429(+)::chr13:56448417-56448429(+) TGGAGGAAGTGA >mm10_chr13:56448429-56448440(+)::chr13:56448428-56448440(+) ACCAGGAAGGTA >mm10_chr13:56453420-56453431(+)::chr13:56453419-56453431(+) AAAAGGAAGTGA >mm10_chr13:56453458-56453469(+)::chr13:56453457-56453469(+) TGGAGGAAGGAG >mm10_chr13:56457985-56457996(+)::chr13:56457984-56457996(+) TGGAGGAAGAAA >mm10_chr13:56472201-56472212(+)::chr13:56472200-56472212(+) TGGAGGAAGTTT >mm10_chr13:56477443-56477454(+)::chr13:56477442-56477454(+) CAGAGGAAGTAG >mm10_chr13:56571989-56572000(+)::chr13:56571988-56572000(+) AAACGGAAGGAT >mm10_chr13:56572153-56572164(-)::chr13:56572152-56572164(-) AACAGGAAGGGA >mm10_chr13:56604453-56604464(+)::chr13:56604452-56604464(+) CTAAGGAAGTTA >mm10_chr13:56604462-56604473(-)::chr13:56604461-56604473(-) AAGAGGAAGTAA >mm10_chr13:56626701-56626712(+)::chr13:56626700-56626712(+) aggaggaagcag >mm10_chr13:56631509-56631520(+)::chr13:56631508-56631520(+) AAGAGGAAGCGG >mm10_chr13:56631550-56631561(-)::chr13:56631549-56631561(-) GAAAGGAAGTGT >mm10_chr13:56682770-56682781(+)::chr13:56682769-56682781(+) AAGAGGAAGCAA >mm10_chr13:56699096-56699107(-)::chr13:56699095-56699107(-) aggaggaagaga >mm10_chr13:56699105-56699116(-)::chr13:56699104-56699116(-) aggaggaagagg >mm10_chr13:56699124-56699135(-)::chr13:56699123-56699135(-) aggaggaaggag >mm10_chr13:56707421-56707432(+)::chr13:56707420-56707432(+) AGAAGGAACATA >mm10_chr13:56707469-56707480(-)::chr13:56707468-56707480(-) AATAGGAAGATG >mm10_chr13:56748037-56748048(+)::chr13:56748036-56748048(+) AGGAggaaatgg >mm10_chr13:56748133-56748144(+)::chr13:56748132-56748144(+) tccaggaagagg >mm10_chr13:56748144-56748155(+)::chr13:56748143-56748155(+) gtgaggaagTTG >mm10_chr13:57373830-57373841(-)::chr13:57373829-57373841(-) GTCAGGAAGCAA >mm10_chr13:57373864-57373875(-)::chr13:57373863-57373875(-) AACAGGAAGGCG >mm10_chr13:57800156-57800167(-)::chr13:57800155-57800167(-) tagaggaagagg >mm10_chr13:57800165-57800176(-)::chr13:57800164-57800176(-) aaggggaagtag >mm10_chr13:57800190-57800201(-)::chr13:57800189-57800201(-) aagaggaagtag >mm10_chr13:57800196-57800207(-)::chr13:57800195-57800207(-) aggaggaagagg >mm10_chr13:57806902-57806913(-)::chr13:57806901-57806913(-) GGCAGGAAGTGC >mm10_chr13:57968904-57968915(-)::chr13:57968903-57968915(-) agaaggaattgt >mm10_chr13:58067648-58067659(-)::chr13:58067647-58067659(-) AAAAGGAAGCAA >mm10_chr13:58067668-58067679(+)::chr13:58067667-58067679(+) AAGAGGAAATGC >mm10_chr13:58073101-58073112(-)::chr13:58073100-58073112(-) acaaggaagctg >mm10_chr13:58073142-58073153(-)::chr13:58073141-58073153(-) accaggacgtgt >mm10_chr13:58073168-58073179(-)::chr13:58073167-58073179(-) atcaggaagact >mm10_chr13:58115503-58115514(-)::chr13:58115502-58115514(-) AAAGGGAAGTCA >mm10_chr13:58115572-58115583(+)::chr13:58115571-58115583(+) AGAAGGAAATCC >mm10_chr13:58205858-58205869(-)::chr13:58205857-58205869(-) ACAAGGAAGAGC >mm10_chr13:58215596-58215607(-)::chr13:58215595-58215607(-) AGGAGGAAGCGG >mm10_chr13:58217706-58217717(+)::chr13:58217705-58217717(+) gggaggaagggt >mm10_chr13:58263710-58263721(+)::chr13:58263709-58263721(+) ACCAGGAAGCTG >mm10_chr13:58281097-58281108(+)::chr13:58281096-58281108(+) ATATGGAAGTCT >mm10_chr13:58281104-58281115(-)::chr13:58281103-58281115(-) aGCAGGAAGACT >mm10_chr13:58281111-58281122(-)::chr13:58281110-58281122(-) ataaggaaGCAG >mm10_chr13:58311132-58311143(-)::chr13:58311131-58311143(-) AGAAGGAAGCCC >mm10_chr13:58311171-58311182(-)::chr13:58311170-58311182(-) TGGAGGAAGATC >mm10_chr13:58372975-58372986(-)::chr13:58372974-58372986(-) GTCAGGAAGGAC >mm10_chr13:58385205-58385216(-)::chr13:58385204-58385216(-) ACCCGGAAGTGG >mm10_chr13:58402426-58402437(-)::chr13:58402425-58402437(-) GCACGGACGTCG >mm10_chr13:58455020-58455031(+)::chr13:58455019-58455031(+) ATGAGGAAATGT >mm10_chr13:58457133-58457144(+)::chr13:58457132-58457144(+) AGAAGGAAGCTG >mm10_chr13:58478132-58478143(+)::chr13:58478131-58478143(+) gtcaggaagttt >mm10_chr13:58478550-58478561(-)::chr13:58478549-58478561(-) GGGAGGAAATGC >mm10_chr13:58509339-58509350(-)::chr13:58509338-58509350(-) CGGAGGAAGGCT >mm10_chr13:58534719-58534730(+)::chr13:58534718-58534730(+) ATGAGGAAGCAG >mm10_chr13:58544436-58544447(+)::chr13:58544435-58544447(+) GTGAGGAAGTTC >mm10_chr13:58544452-58544463(+)::chr13:58544451-58544463(+) AGCAGGAAATGG >mm10_chr13:58586373-58586384(+)::chr13:58586372-58586384(+) agaaggaagctt >mm10_chr13:58586445-58586456(-)::chr13:58586444-58586456(-) ctgaggaagtag >mm10_chr13:58588803-58588814(-)::chr13:58588802-58588814(-) AGCGGGAAGTGT >mm10_chr13:58598743-58598754(+)::chr13:58598742-58598754(+) agcaggaagaga >mm10_chr13:58601491-58601502(+)::chr13:58601490-58601502(+) AAGAGGAAGTGG >mm10_chr13:58608271-58608282(-)::chr13:58608270-58608282(-) ATGAGGAAGATA >mm10_chr13:58614732-58614743(-)::chr13:58614731-58614743(-) ACAAGGAAAAGG >mm10_chr13:58616705-58616716(-)::chr13:58616704-58616716(-) TAAGGGAAGTCA >mm10_chr13:58627881-58627892(-)::chr13:58627880-58627892(-) ATGAGGAAGTGG >mm10_chr13:58627900-58627911(-)::chr13:58627899-58627911(-) GGAAAGAAGTGA >mm10_chr13:58628750-58628761(-)::chr13:58628749-58628761(-) TCGAGGAAGACC >mm10_chr13:58633610-58633621(+)::chr13:58633609-58633621(+) ACCGGGAAGTCG >mm10_chr13:58657938-58657949(-)::chr13:58657937-58657949(-) ATCAGGAAGAGG >mm10_chr13:58689881-58689892(+)::chr13:58689880-58689892(+) TCAAGGAAGAAG >mm10_chr13:58690188-58690199(+)::chr13:58690187-58690199(+) gcacggaagaag >mm10_chr13:58792449-58792460(+)::chr13:58792448-58792460(+) TAAAGGAAGGAG >mm10_chr13:58792456-58792467(+)::chr13:58792455-58792467(+) AGGAGGAAGGTT >mm10_chr13:58832599-58832610(-)::chr13:58832598-58832610(-) agaaggaaggga >mm10_chr13:58832606-58832617(-)::chr13:58832605-58832617(-) agaaggaagaag >mm10_chr13:58841055-58841066(-)::chr13:58841054-58841066(-) AGAAGGAAGAAA >mm10_chr13:58841062-58841073(-)::chr13:58841061-58841073(-) AGGAGGAAGAAG >mm10_chr13:58841433-58841444(-)::chr13:58841432-58841444(-) AGAAGGAAAAAG >mm10_chr13:58929605-58929616(+)::chr13:58929604-58929616(+) AAAAGGAAGGAA >mm10_chr13:58929609-58929620(+)::chr13:58929608-58929620(+) GGAAGGAAGTGA >mm10_chr13:58930309-58930320(+)::chr13:58930308-58930320(+) GGAAGGAATTCA >mm10_chr13:58940794-58940805(-)::chr13:58940793-58940805(-) AAGAGGAAGACA >mm10_chr13:58940822-58940833(-)::chr13:58940821-58940833(-) AGGAGGAAGAGG >mm10_chr13:58995106-58995117(-)::chr13:58995105-58995117(-) GTAAGGAAGGGC >mm10_chr13:58996590-58996601(-)::chr13:58996589-58996601(-) ACAAGGAAGTGA >mm10_chr13:58997876-58997887(+)::chr13:58997875-58997887(+) TACAGGAAGCCA >mm10_chr13:59003973-59003984(-)::chr13:59003972-59003984(-) CAGAGGAAGTTG >mm10_chr13:59010485-59010496(-)::chr13:59010484-59010496(-) agaaggaagaag >mm10_chr13:59010498-59010509(-)::chr13:59010497-59010509(-) aggaggaaggga >mm10_chr13:59010505-59010516(-)::chr13:59010504-59010516(-) agacggaaggag >mm10_chr13:59010558-59010569(-)::chr13:59010557-59010569(-) AACAGGAAGAAA >mm10_chr13:59180998-59181009(-)::chr13:59180997-59181009(-) aggaggaaggct >mm10_chr13:59208737-59208748(-)::chr13:59208736-59208748(-) AAGAGGAAGGAG >mm10_chr13:59216443-59216454(-)::chr13:59216442-59216454(-) gagaggaagaga >mm10_chr13:59216451-59216462(-)::chr13:59216450-59216462(-) aagaggaagaga >mm10_chr13:59216457-59216468(-)::chr13:59216456-59216468(-) acaaggaagagg >mm10_chr13:59228248-59228259(+)::chr13:59228247-59228259(+) AGCAGGAAGAAG >mm10_chr13:59228255-59228266(+)::chr13:59228254-59228266(+) AGAAGGAAGTAA >mm10_chr13:59242863-59242874(-)::chr13:59242862-59242874(-) gaaaggaagcct >mm10_chr13:59244861-59244872(+)::chr13:59244860-59244872(+) TTAAGGAAGGAA >mm10_chr13:59246335-59246346(-)::chr13:59246334-59246346(-) ATGCGGAAGTAG >mm10_chr13:59250041-59250052(+)::chr13:59250040-59250052(+) acaaggaaggaa >mm10_chr13:59250045-59250056(+)::chr13:59250044-59250056(+) ggaaggaaggtg >mm10_chr13:59358186-59358197(+)::chr13:59358185-59358197(+) aggaggaagaat >mm10_chr13:59358234-59358245(+)::chr13:59358233-59358245(+) AAAAGGAAGAAA >mm10_chr13:59358245-59358256(+)::chr13:59358244-59358256(+) AGGAGGAAATGA >mm10_chr13:59392306-59392317(+)::chr13:59392305-59392317(+) ttagggaagtcc >mm10_chr13:59393215-59393226(+)::chr13:59393214-59393226(+) AAGAGGAAGTCA >mm10_chr13:59393254-59393265(+)::chr13:59393253-59393265(+) CCAAGGAAGTCT >mm10_chr13:59420594-59420605(+)::chr13:59420593-59420605(+) GGAGGGAAGCGA >mm10_chr13:59426518-59426529(+)::chr13:59426517-59426529(+) agaaggaagcta >mm10_chr13:59439298-59439309(-)::chr13:59439297-59439309(-) acaaggaaggga >mm10_chr13:59439361-59439372(-)::chr13:59439360-59439372(-) TCGAGGAAGACT >mm10_chr13:59456302-59456313(+)::chr13:59456301-59456313(+) ATGAGGAAGTGA >mm10_chr13:59456316-59456327(+)::chr13:59456315-59456327(+) AACAGGAAATAG >mm10_chr13:59469886-59469897(+)::chr13:59469885-59469897(+) ACACGGAAGAGG >mm10_chr13:59469917-59469928(+)::chr13:59469916-59469928(+) ATGAGGAAGTCC >mm10_chr13:59490200-59490211(+)::chr13:59490199-59490211(+) aggaggaagggg >mm10_chr13:59490213-59490224(+)::chr13:59490212-59490224(+) agaaggaagagg >mm10_chr13:59490233-59490244(+)::chr13:59490232-59490244(+) aggaggaagggg >mm10_chr13:59490275-59490286(+)::chr13:59490274-59490286(+) aggaggaagagg >mm10_chr13:59490281-59490292(+)::chr13:59490280-59490292(+) aagaggaagaag >mm10_chr13:59500361-59500372(-)::chr13:59500360-59500372(-) ATGAGGAAGGAC >mm10_chr13:59510140-59510151(-)::chr13:59510139-59510151(-) GGAAGGAAGGAG >mm10_chr13:59510144-59510155(-)::chr13:59510143-59510155(-) AGGAGGAAGGAA >mm10_chr13:59510157-59510168(-)::chr13:59510156-59510168(-) AACAGGAAGTAA >mm10_chr13:59614038-59614049(+)::chr13:59614037-59614049(+) GGAAGGAAGGGA >mm10_chr13:59614046-59614057(+)::chr13:59614045-59614057(+) GGGAGGAAGGAG >mm10_chr13:59658352-59658363(+)::chr13:59658351-59658363(+) GAAAGGAAGTCA >mm10_chr13:59669013-59669024(-)::chr13:59669012-59669024(-) TTAAGGAAGTGA >mm10_chr13:59669032-59669043(+)::chr13:59669031-59669043(+) ACCAGGAAGTAC >mm10_chr13:59669050-59669061(-)::chr13:59669049-59669061(-) GAGAGGAAGGTA >mm10_chr13:59669060-59669071(-)::chr13:59669059-59669071(-) GGAAGTAAGTGA >mm10_chr13:59669064-59669075(-)::chr13:59669063-59669075(-) ACGAGGAAGTAA >mm10_chr13:59675603-59675614(+)::chr13:59675602-59675614(+) acgaggaaggga >mm10_chr13:59704697-59704708(-)::chr13:59704696-59704708(-) ATGAGGAAATCA >mm10_chr13:59704725-59704736(+)::chr13:59704724-59704736(+) GGAAGGAAACGG >mm10_chr13:59716058-59716069(+)::chr13:59716057-59716069(+) ACAAGAAAGTGA >mm10_chr13:59716071-59716082(-)::chr13:59716070-59716082(-) ATCAGGAAATCA >mm10_chr13:59716109-59716120(+)::chr13:59716108-59716120(+) aggaggaagagg >mm10_chr13:59716807-59716818(-)::chr13:59716806-59716818(-) TCCAGGAAGGAT >mm10_chr13:59745669-59745680(+)::chr13:59745668-59745680(+) ggcaggaagagt >mm10_chr13:59745705-59745716(+)::chr13:59745704-59745716(+) ggcaggaagcag >mm10_chr13:59745712-59745723(+)::chr13:59745711-59745723(+) agcaggaagcag >mm10_chr13:59769947-59769958(+)::chr13:59769946-59769958(+) TAGCGGAAGTGG >mm10_chr13:59769964-59769975(-)::chr13:59769963-59769975(-) ATACGGAAGGAG >mm10_chr13:59771468-59771479(+)::chr13:59771467-59771479(+) GAAAGGAAGCAG >mm10_chr13:59771499-59771510(+)::chr13:59771498-59771510(+) GAAAGGAAGCAG >mm10_chr13:59794535-59794546(+)::chr13:59794534-59794546(+) ATCAGGAAGCAa >mm10_chr13:59800238-59800249(-)::chr13:59800237-59800249(-) AGAAGGAAGACC >mm10_chr13:59800249-59800260(-)::chr13:59800248-59800260(-) ACGAGGAAGTCA >mm10_chr13:59800263-59800274(-)::chr13:59800262-59800274(-) AACAGGAAGTGA >mm10_chr13:59815705-59815716(-)::chr13:59815704-59815716(-) AGGAGGAAGAAT >mm10_chr13:59821100-59821111(-)::chr13:59821099-59821111(-) aagaggaagTTG >mm10_chr13:59822820-59822831(+)::chr13:59822819-59822831(+) AATAGGAAGCAG >mm10_chr13:59822827-59822838(+)::chr13:59822826-59822838(+) AGCAGGAAGCGC >mm10_chr13:59823102-59823113(-)::chr13:59823101-59823113(-) GACAGGAAGCGG >mm10_chr13:59823148-59823159(-)::chr13:59823147-59823159(-) CGCCGGAAGTGG >mm10_chr13:59868172-59868183(-)::chr13:59868171-59868183(-) ACAAGGATGTTT >mm10_chr13:59868210-59868221(-)::chr13:59868209-59868221(-) AGAAGGAAGACT >mm10_chr13:59875805-59875816(-)::chr13:59875804-59875816(-) AACAGGAAGTCT >mm10_chr13:59925884-59925895(+)::chr13:59925883-59925895(+) AGGAGGAAATAG >mm10_chr13:59925934-59925945(-)::chr13:59925933-59925945(-) AACCGGAAGTTT >mm10_chr13:59927705-59927716(-)::chr13:59927704-59927716(-) GCAAGGAAGGCT >mm10_chr13:59960862-59960873(+)::chr13:59960861-59960873(+) AGGAGGAAGTGG >mm10_chr13:59987407-59987418(-)::chr13:59987406-59987418(-) ACCAGGAAGGGC >mm10_chr13:60069613-60069624(-)::chr13:60069612-60069624(-) AGAAGGAAGAGA >mm10_chr13:60069646-60069657(-)::chr13:60069645-60069657(-) TCAAGGAAATGG >mm10_chr13:60123473-60123484(+)::chr13:60123472-60123484(+) GAGAGGAAGGAG >mm10_chr13:60123480-60123491(+)::chr13:60123479-60123491(+) AGGAGGAAGCCA >mm10_chr13:60178701-60178712(-)::chr13:60178700-60178712(-) GAGAGGAAGGAG >mm10_chr13:60206143-60206154(-)::chr13:60206142-60206154(-) ATAAGGAAGGAG >mm10_chr13:60209560-60209571(-)::chr13:60209559-60209571(-) agcaggaagtga >mm10_chr13:60209577-60209588(-)::chr13:60209576-60209588(-) agcaggaaatgt >mm10_chr13:60209594-60209605(-)::chr13:60209593-60209605(-) aacaggaagtgg >mm10_chr13:60267764-60267775(-)::chr13:60267763-60267775(-) GAAAGGAAGTGG >mm10_chr13:60267769-60267780(-)::chr13:60267768-60267780(-) ATAAGGAAAGGA >mm10_chr13:60318788-60318799(-)::chr13:60318787-60318799(-) tagaggaagagg >mm10_chr13:60447141-60447152(+)::chr13:60447140-60447152(+) AGGAGGAAGAAG >mm10_chr13:60447148-60447159(+)::chr13:60447147-60447159(+) AGAAGGAAGTAA >mm10_chr13:60476543-60476554(+)::chr13:60476542-60476554(+) AGCAGGAAGCCC >mm10_chr13:60481203-60481214(+)::chr13:60481202-60481214(+) AGCAGGAAGGAT >mm10_chr13:60520084-60520095(+)::chr13:60520083-60520095(+) TACAGGAAGTTA >mm10_chr13:60545373-60545384(-)::chr13:60545372-60545384(-) ATCAGGAAGATG >mm10_chr13:60548654-60548665(-)::chr13:60548653-60548665(-) AACAGGAAGCCC >mm10_chr13:60558734-60558745(+)::chr13:60558733-60558745(+) GAAAGGAAGATG >mm10_chr13:60558770-60558781(+)::chr13:60558769-60558781(+) CCAAGGAAGTCA >mm10_chr13:60594369-60594380(-)::chr13:60594368-60594380(-) tccaggaagtcc >mm10_chr13:60594377-60594388(+)::chr13:60594376-60594388(+) tggaggaagtgt >mm10_chr13:60602581-60602592(+)::chr13:60602580-60602592(+) GCGAGGAACTGG >mm10_chr13:60602599-60602610(+)::chr13:60602598-60602610(+) ACCAGGAAGGGG >mm10_chr13:60602611-60602622(+)::chr13:60602610-60602622(+) TGACGGAAGCGC >mm10_chr13:60615230-60615241(-)::chr13:60615229-60615241(-) ACAAGGAAGTCA >mm10_chr13:60670752-60670763(-)::chr13:60670751-60670763(-) GAAATGAAGTGA >mm10_chr13:60670757-60670768(-)::chr13:60670756-60670768(-) TACAGGAAATGA >mm10_chr13:60670796-60670807(+)::chr13:60670795-60670807(+) AATAGGAAGCAT >mm10_chr13:60670825-60670836(+)::chr13:60670824-60670836(+) AAGAGGAAGTAG >mm10_chr13:60683642-60683653(-)::chr13:60683641-60683653(-) accaggaagcag >mm10_chr13:60698373-60698384(+)::chr13:60698372-60698384(+) CTGAGGAAGTTC >mm10_chr13:60698397-60698408(+)::chr13:60698396-60698408(+) TGCAGGAAGTGG >mm10_chr13:60698408-60698419(+)::chr13:60698407-60698419(+) GGAAGGAAGGTT >mm10_chr13:60712432-60712443(+)::chr13:60712431-60712443(+) AGGAGGAAGTCA >mm10_chr13:60714233-60714244(+)::chr13:60714232-60714244(+) AGCAGGAAGAAG >mm10_chr13:60714240-60714251(+)::chr13:60714239-60714251(+) AGAAGGAAGGGT >mm10_chr13:60722881-60722892(-)::chr13:60722880-60722892(-) TTAGGGAAGTGT >mm10_chr13:60728550-60728561(-)::chr13:60728549-60728561(-) ACAAGGAACTGA >mm10_chr13:60773078-60773089(-)::chr13:60773077-60773089(-) TACAGGAAGAAG >mm10_chr13:60866389-60866400(-)::chr13:60866388-60866400(-) ACAAGGAAGTGG >mm10_chr13:60866466-60866477(-)::chr13:60866465-60866477(-) ggaaggaagacc >mm10_chr13:60866470-60866481(-)::chr13:60866469-60866481(-) tgaaggaaggaa >mm10_chr13:61264380-61264391(+)::chr13:61264379-61264391(+) gtcaggaagaca >mm10_chr13:61264441-61264452(-)::chr13:61264440-61264452(-) aaaaggaagaag >mm10_chr13:61290874-61290885(-)::chr13:61290873-61290885(-) ATCAGGAAATAG >mm10_chr13:61290897-61290908(+)::chr13:61290896-61290908(+) TAAAGGAAGTTC >mm10_chr13:62818956-62818967(+)::chr13:62818955-62818967(+) GGTAGGAAGTGT >mm10_chr13:62862338-62862349(+)::chr13:62862337-62862349(+) aggaggaaggaa >mm10_chr13:62862342-62862353(+)::chr13:62862341-62862353(+) ggaaggaaggtt >mm10_chr13:62862379-62862390(-)::chr13:62862378-62862390(-) gaaaggaaggga >mm10_chr13:62889311-62889322(+)::chr13:62889310-62889322(+) agaaggatgata >mm10_chr13:62898044-62898055(-)::chr13:62898043-62898055(-) ATGAGGAAGTGT >mm10_chr13:62916898-62916909(-)::chr13:62916897-62916909(-) tcggggaagtaa >mm10_chr13:62943615-62943626(-)::chr13:62943614-62943626(-) AGGAGGAACTAT >mm10_chr13:62943622-62943633(-)::chr13:62943621-62943633(-) GACAGGAAGGAG >mm10_chr13:63016492-63016503(+)::chr13:63016491-63016503(+) ACCAGGAAGATG >mm10_chr13:63063748-63063759(+)::chr13:63063747-63063759(+) AGAAGGAAATGA >mm10_chr13:63090881-63090892(+)::chr13:63090880-63090892(+) AGTAGGAAGTGA >mm10_chr13:63114113-63114124(+)::chr13:63114112-63114124(+) ATCAGGAAGTGT >mm10_chr13:63114125-63114136(-)::chr13:63114124-63114136(-) GAAGGGAAGTAA >mm10_chr13:63138661-63138672(+)::chr13:63138660-63138672(+) ACAAGGAACTTC >mm10_chr13:63138666-63138677(-)::chr13:63138665-63138677(-) ACAGGGAAGTTC >mm10_chr13:63148258-63148269(-)::chr13:63148257-63148269(-) AGCAGGAAGCTA >mm10_chr13:63148303-63148314(-)::chr13:63148302-63148314(-) AAAAGGAAGTTC >mm10_chr13:63152758-63152769(+)::chr13:63152757-63152769(+) TGGAGGAAGAAG >mm10_chr13:63152765-63152776(+)::chr13:63152764-63152776(+) AGAAGGAAGCAG >mm10_chr13:63241852-63241863(+)::chr13:63241851-63241863(+) ACAAGGAAGGCA >mm10_chr13:63257036-63257047(+)::chr13:63257035-63257047(+) AGGAGGAAATTG >mm10_chr13:63269006-63269017(-)::chr13:63269005-63269017(-) tcaaggaagtgg >mm10_chr13:63269053-63269064(-)::chr13:63269052-63269064(-) tggaggaagaag >mm10_chr13:63278886-63278897(+)::chr13:63278885-63278897(+) AAAAGGAAATGG >mm10_chr13:63278903-63278914(+)::chr13:63278902-63278914(+) GGGAGGAAGCAT >mm10_chr13:63294808-63294819(+)::chr13:63294807-63294819(+) ggcaggaagtgc >mm10_chr13:63336239-63336250(-)::chr13:63336238-63336250(-) GCCAGGAAGTGT >mm10_chr13:63404421-63404432(+)::chr13:63404420-63404432(+) AACAGGAAGCTA >mm10_chr13:63431799-63431810(+)::chr13:63431798-63431810(+) TGGAGGAAGTGG >mm10_chr13:63504696-63504707(-)::chr13:63504695-63504707(-) TGCAGGAAATGC >mm10_chr13:63548437-63548448(-)::chr13:63548436-63548448(-) GCAAGGAAGAGA >mm10_chr13:63564546-63564557(+)::chr13:63564545-63564557(+) ACAAGGAAGAGG >mm10_chr13:63603457-63603468(-)::chr13:63603456-63603468(-) aggaggaAGTGG >mm10_chr13:63603469-63603480(-)::chr13:63603468-63603480(-) agaaggaagaga >mm10_chr13:63642878-63642889(-)::chr13:63642877-63642889(-) CAGAGGAAGTTC >mm10_chr13:63642912-63642923(-)::chr13:63642911-63642923(-) AAAAGGAAATGC >mm10_chr13:63643100-63643111(+)::chr13:63643099-63643111(+) AGCAGGAAGAGT >mm10_chr13:63643122-63643133(-)::chr13:63643121-63643133(-) GGCAGGAAGTGC >mm10_chr13:63666818-63666829(+)::chr13:63666817-63666829(+) aaaaggaagagg >mm10_chr13:63666830-63666841(+)::chr13:63666829-63666841(+) aggaggaagagg >mm10_chr13:63666872-63666883(+)::chr13:63666871-63666883(+) aggaggaAGGCA >mm10_chr13:63815630-63815641(+)::chr13:63815629-63815641(+) CGCGGGAAGTCC >mm10_chr13:63842897-63842908(+)::chr13:63842896-63842908(+) TTAAGGAAGTAG >mm10_chr13:63877175-63877186(+)::chr13:63877174-63877186(+) agcaggaaggca >mm10_chr13:63877273-63877284(+)::chr13:63877272-63877284(+) TAGAGGAAATAA >mm10_chr13:63901273-63901284(-)::chr13:63901272-63901284(-) CACCGGAAGTAC >mm10_chr13:63901892-63901903(+)::chr13:63901891-63901903(+) GGAAGGAAGTGG >mm10_chr13:63906602-63906613(-)::chr13:63906601-63906613(-) GGAAGGAACTTG >mm10_chr13:63906606-63906617(-)::chr13:63906605-63906617(-) GGCAGGAAGGAA >mm10_chr13:63919485-63919496(-)::chr13:63919484-63919496(-) GCCAGGAAATGT >mm10_chr13:63922029-63922040(+)::chr13:63922028-63922040(+) aggaggaaggga >mm10_chr13:63922830-63922841(-)::chr13:63922829-63922841(-) TAGAGGAAGCAA >mm10_chr13:63922850-63922861(-)::chr13:63922849-63922861(-) AGTAGGAAGTAC >mm10_chr13:63969206-63969217(-)::chr13:63969205-63969217(-) tacaggaaatac >mm10_chr13:63969245-63969256(-)::chr13:63969244-63969256(-) taagggaagtct >mm10_chr13:63971979-63971990(+)::chr13:63971978-63971990(+) AGAAGGAAGCAT >mm10_chr13:63972014-63972025(+)::chr13:63972013-63972025(+) AGCAGGAAGCAG >mm10_chr13:63972021-63972032(+)::chr13:63972020-63972032(+) AGCAGGAAGGCT >mm10_chr13:63976802-63976813(-)::chr13:63976801-63976813(-) ATAAGGAAGCTG >mm10_chr13:63981805-63981816(-)::chr13:63981804-63981816(-) ACAAGGAAAATG >mm10_chr13:63981846-63981857(-)::chr13:63981845-63981857(-) GGGAGGAAGGTA >mm10_chr13:63986030-63986041(+)::chr13:63986029-63986041(+) AGGAGGAAGCTG >mm10_chr13:63986850-63986861(+)::chr13:63986849-63986861(+) AACAGGAAATCA >mm10_chr13:64015023-64015034(+)::chr13:64015022-64015034(+) ACAAGGAAACTA >mm10_chr13:64015039-64015050(+)::chr13:64015038-64015050(+) ATCAGGAAGGTG >mm10_chr13:64108439-64108450(+)::chr13:64108438-64108450(+) AACAGGAAGAGG >mm10_chr13:64109422-64109433(+)::chr13:64109421-64109433(+) acaaggaagcag >mm10_chr13:64306278-64306289(+)::chr13:64306277-64306289(+) TCATGGAAGTAA >mm10_chr13:64344218-64344229(-)::chr13:64344217-64344229(-) AGCAGGAAGCCC >mm10_chr13:64346010-64346021(+)::chr13:64346009-64346021(+) ATAAGGAAAAAG >mm10_chr13:64376724-64376735(-)::chr13:64376723-64376735(-) TCCAGGAAGTTT >mm10_chr13:64403674-64403685(+)::chr13:64403673-64403685(+) ctgaggaagtag >mm10_chr13:64410769-64410780(-)::chr13:64410768-64410780(-) GCGAGGAACTAG >mm10_chr13:64421456-64421467(+)::chr13:64421455-64421467(+) ACAAGGAAGGAA >mm10_chr13:64424751-64424762(-)::chr13:64424750-64424762(-) gacaggaaataa >mm10_chr13:64440432-64440443(+)::chr13:64440431-64440443(+) ACAAGGAAGAGC >mm10_chr13:64758323-64758334(+)::chr13:64758322-64758334(+) AAAAGGAAGTGC >mm10_chr13:65112167-65112178(+)::chr13:65112166-65112178(+) AGGAGGAAGTAT >mm10_chr13:66932806-66932817(+)::chr13:66932805-66932817(+) CGCAGGAAGCCG >mm10_chr13:66952843-66952854(+)::chr13:66952842-66952854(+) ACTAGGAAATGA >mm10_chr13:66952886-66952897(-)::chr13:66952885-66952897(-) AGGAGGAAATGG >mm10_chr13:66952893-66952904(-)::chr13:66952892-66952904(-) AATAGGAAGGAG >mm10_chr13:67061163-67061174(+)::chr13:67061162-67061174(+) ACACGGAAGCGC >mm10_chr13:67108756-67108767(+)::chr13:67108755-67108767(+) GGAAGGAAATCA >mm10_chr13:67109047-67109058(+)::chr13:67109046-67109058(+) AAAAGGAATTGG >mm10_chr13:67113955-67113966(-)::chr13:67113954-67113966(-) TGGAGGAAGTCT >mm10_chr13:67129117-67129128(+)::chr13:67129116-67129128(+) AGGAGGAAATAT >mm10_chr13:67194708-67194719(+)::chr13:67194707-67194719(+) GAACGGAAGAAA >mm10_chr13:67195245-67195256(+)::chr13:67195244-67195256(+) AGAGGGAAGTCA >mm10_chr13:67278584-67278595(+)::chr13:67278583-67278595(+) CGAAGGAATTCC >mm10_chr13:67330705-67330716(+)::chr13:67330704-67330716(+) aagaggaagagg >mm10_chr13:67330711-67330722(+)::chr13:67330710-67330722(+) aagaggaagagg >mm10_chr13:67330717-67330728(+)::chr13:67330716-67330728(+) aagaggaagagg >mm10_chr13:67330723-67330734(+)::chr13:67330722-67330734(+) aagaggaagGAA >mm10_chr13:67416222-67416233(-)::chr13:67416221-67416233(-) ACAAGGAAGCCA >mm10_chr13:67416282-67416293(-)::chr13:67416281-67416293(-) ATAAGGAATTGT >mm10_chr13:67421482-67421493(-)::chr13:67421481-67421493(-) TCCAGGAAGTTC >mm10_chr13:67524786-67524797(+)::chr13:67524785-67524797(+) ACTAGGAAGTCT >mm10_chr13:67526159-67526170(+)::chr13:67526158-67526170(+) ATGCGGAAGTGC >mm10_chr13:67609644-67609655(+)::chr13:67609643-67609655(+) ATAAGGAAGTTC >mm10_chr13:67637742-67637753(+)::chr13:67637741-67637753(+) TGAAGGAAGAAT >mm10_chr13:67637752-67637763(+)::chr13:67637751-67637763(+) ATAAGGAAGTTC >mm10_chr13:67761545-67761556(+)::chr13:67761544-67761556(+) ataaggaagttc >mm10_chr13:67761569-67761580(-)::chr13:67761568-67761580(-) tcaaggaagatg >mm10_chr13:67804196-67804207(+)::chr13:67804195-67804207(+) TTAAGGAAGGTC >mm10_chr13:67804217-67804228(+)::chr13:67804216-67804228(+) ACAAGGAAGCAA >mm10_chr13:68583887-68583898(-)::chr13:68583886-68583898(-) CAAAGGAAGTGA >mm10_chr13:68583896-68583907(+)::chr13:68583895-68583907(+) TTGAGGAAGTGC >mm10_chr13:68608264-68608275(-)::chr13:68608263-68608275(-) GCCAGGAAGGGA >mm10_chr13:68868597-68868608(+)::chr13:68868596-68868608(+) CTAAGGAAGAAG >mm10_chr13:68963272-68963283(+)::chr13:68963271-68963283(+) TTAAGGAAATAC >mm10_chr13:68996003-68996014(-)::chr13:68996002-68996014(-) atcaggaaatac >mm10_chr13:68996015-68996026(-)::chr13:68996014-68996026(-) atgaggaagagt >mm10_chr13:68996946-68996957(+)::chr13:68996945-68996957(+) TTAAGGAAATAC >mm10_chr13:69236345-69236356(-)::chr13:69236344-69236356(-) GACAGGAAGGAT >mm10_chr13:69236402-69236413(-)::chr13:69236401-69236413(-) GAAGGGAAGTAA >mm10_chr13:69517624-69517635(+)::chr13:69517623-69517635(+) AGAAGGAAGTGG >mm10_chr13:69526547-69526558(+)::chr13:69526546-69526558(+) AAAAGGAAGAAG >mm10_chr13:69536151-69536162(-)::chr13:69536150-69536162(-) agcaggaagcag >mm10_chr13:69586047-69586058(+)::chr13:69586046-69586058(+) accaggaagtgg >mm10_chr13:69592459-69592470(-)::chr13:69592458-69592470(-) TTAAGGAAGCAG >mm10_chr13:69593081-69593092(-)::chr13:69593080-69593092(-) accaggaagcat >mm10_chr13:69593113-69593124(-)::chr13:69593112-69593124(-) aggaggaagttt >mm10_chr13:69615458-69615469(+)::chr13:69615457-69615469(+) TTGAGGAAGTCC >mm10_chr13:70041557-70041568(+)::chr13:70041556-70041568(+) GCGGGGAAGTTA >mm10_chr13:70041588-70041599(+)::chr13:70041587-70041599(+) AGAAAGAAGTGA >mm10_chr13:70089871-70089882(-)::chr13:70089870-70089882(-) ggcaggaagtta >mm10_chr13:70279896-70279907(-)::chr13:70279895-70279907(-) TGAAGGAAGCAT >mm10_chr13:70346937-70346948(+)::chr13:70346936-70346948(+) AGGAGGAAATGT >mm10_chr13:70346957-70346968(+)::chr13:70346956-70346968(+) ATCAGGAAGTGC >mm10_chr13:70346997-70347008(+)::chr13:70346996-70347008(+) AGGAGGAAATGC >mm10_chr13:70357252-70357263(+)::chr13:70357251-70357263(+) AAGAGGAAGTAC >mm10_chr13:70573118-70573129(-)::chr13:70573117-70573129(-) ACCAGGAAGTAG >mm10_chr13:70641612-70641623(-)::chr13:70641611-70641623(-) tctaggaagtcc >mm10_chr13:70652630-70652641(+)::chr13:70652629-70652641(+) TAGAGGAAGGAA >mm10_chr13:70652669-70652680(-)::chr13:70652668-70652680(-) ATGAGGAAGTCA >mm10_chr13:70682243-70682254(+)::chr13:70682242-70682254(+) ATAAGGAAATGC >mm10_chr13:70828333-70828344(-)::chr13:70828332-70828344(-) GAAAGGAAGAAG >mm10_chr13:70828373-70828384(-)::chr13:70828372-70828384(-) AGGAGGAAGTGG >mm10_chr13:70828393-70828404(-)::chr13:70828392-70828404(-) AACCGGAAGTCC >mm10_chr13:70987984-70987995(+)::chr13:70987983-70987995(+) ACAAGGAACTAG >mm10_chr13:70988004-70988015(+)::chr13:70988003-70988015(+) AACAGGAAGAAG >mm10_chr13:71158039-71158050(-)::chr13:71158038-71158050(-) ACAAGGAAGTTT >mm10_chr13:71158094-71158105(-)::chr13:71158093-71158105(-) AGAAGGAACTCT >mm10_chr13:71164436-71164447(+)::chr13:71164435-71164447(+) AGGAGGAAGCAA >mm10_chr13:71195744-71195755(+)::chr13:71195743-71195755(+) AAAAGGAAGTGA >mm10_chr13:71226218-71226229(-)::chr13:71226217-71226229(-) GGAAGGCAGTGT >mm10_chr13:71226286-71226297(-)::chr13:71226285-71226297(-) ATGAGGAAGAGA >mm10_chr13:71253770-71253781(-)::chr13:71253769-71253781(-) ACAAGGAAGGTG >mm10_chr13:71273786-71273797(+)::chr13:71273785-71273797(+) ACCAGGAAGGGA >mm10_chr13:71274357-71274368(+)::chr13:71274356-71274368(+) AACAGGAAGAAA >mm10_chr13:71284383-71284394(+)::chr13:71284382-71284394(+) TTCAGGAAGTAA >mm10_chr13:71351988-71351999(-)::chr13:71351987-71351999(-) AAAAGGAAAATA >mm10_chr13:71362447-71362458(-)::chr13:71362446-71362458(-) ACAAGGAAGCAC >mm10_chr13:71373327-71373338(-)::chr13:71373326-71373338(-) AAGAGGAAGTGA >mm10_chr13:71407259-71407270(-)::chr13:71407258-71407270(-) AGCAGGAAGGGA >mm10_chr13:71407273-71407284(+)::chr13:71407272-71407284(+) GTCAGGAAGAGG >mm10_chr13:71407311-71407322(-)::chr13:71407310-71407322(-) GGTAGGAAGAAA >mm10_chr13:71470033-71470044(+)::chr13:71470032-71470044(+) GAAAGGAAGCAG >mm10_chr13:71470051-71470062(+)::chr13:71470050-71470062(+) TGAGGGAAGTCT >mm10_chr13:71482986-71482997(-)::chr13:71482985-71482997(-) AGCAGGAAATAG >mm10_chr13:71483302-71483313(-)::chr13:71483301-71483313(-) GGCAGGAAGCAG >mm10_chr13:71483336-71483347(-)::chr13:71483335-71483347(-) GACAGGAAGTGT >mm10_chr13:71535665-71535676(+)::chr13:71535664-71535676(+) TGCAGGAAGTTC >mm10_chr13:71630119-71630130(+)::chr13:71630118-71630130(+) AACAGGAAATGG >mm10_chr13:71630720-71630731(+)::chr13:71630719-71630731(+) GACAGGAAGTGG >mm10_chr13:71922708-71922719(+)::chr13:71922707-71922719(+) AACAGGAAGTGA >mm10_chr13:71923992-71924003(+)::chr13:71923991-71924003(+) CTAAGGAAGTTT >mm10_chr13:71924004-71924015(+)::chr13:71924003-71924015(+) GGAAGGAAGATG >mm10_chr13:71924659-71924670(+)::chr13:71924658-71924670(+) TCCAGGAAGAAA >mm10_chr13:71962002-71962013(-)::chr13:71962001-71962013(-) ACCAGGAAGATC >mm10_chr13:71962690-71962701(-)::chr13:71962689-71962701(-) AAAAGGAACCGG >mm10_chr13:72055740-72055751(+)::chr13:72055739-72055751(+) ATACGGAAGGCA >mm10_chr13:72055803-72055814(+)::chr13:72055802-72055814(+) TCAAGGAAGAAC >mm10_chr13:72071081-72071092(+)::chr13:72071080-72071092(+) ctacggaagtca >mm10_chr13:72076888-72076899(-)::chr13:72076887-72076899(-) GCCAGGAAGAGT >mm10_chr13:72076904-72076915(+)::chr13:72076903-72076915(+) ATAAGGAAGTGT >mm10_chr13:72100238-72100249(-)::chr13:72100237-72100249(-) ATGAGGAAGAAG >mm10_chr13:72100265-72100276(-)::chr13:72100264-72100276(-) GGAAGGAAGACA >mm10_chr13:72100269-72100280(-)::chr13:72100268-72100280(-) ATCAGGAAGGAA >mm10_chr13:72114280-72114291(+)::chr13:72114279-72114291(+) AGGAGGAAGGAA >mm10_chr13:72114284-72114295(+)::chr13:72114283-72114295(+) GGAAGGAAGCTT >mm10_chr13:72114319-72114330(-)::chr13:72114318-72114330(-) AAGAGGAAATGC >mm10_chr13:72141752-72141763(-)::chr13:72141751-72141763(-) TAAAGGAAATGG >mm10_chr13:72164213-72164224(+)::chr13:72164212-72164224(+) ACTAGGAAGAGG >mm10_chr13:72220190-72220201(-)::chr13:72220189-72220201(-) agcaggaagcag >mm10_chr13:72220229-72220240(-)::chr13:72220228-72220240(-) aacaggaagctg >mm10_chr13:72302017-72302028(-)::chr13:72302016-72302028(-) AGGAGGAAGTTC >mm10_chr13:72371817-72371828(-)::chr13:72371816-72371828(-) AGAAGGAAGCTG >mm10_chr13:72380798-72380809(+)::chr13:72380797-72380809(+) GTGAGGAAGTCA >mm10_chr13:72380861-72380872(-)::chr13:72380860-72380872(-) TTAAGGAAATGC >mm10_chr13:72393569-72393580(+)::chr13:72393568-72393580(+) AAGAGGAAATGC >mm10_chr13:72542999-72543010(+)::chr13:72542998-72543010(+) gagaggaagaag >mm10_chr13:72543006-72543017(+)::chr13:72543005-72543017(+) agaaggaagagg >mm10_chr13:72543968-72543979(+)::chr13:72543967-72543979(+) ACAAGGAAGCTA >mm10_chr13:72592011-72592022(+)::chr13:72592010-72592022(+) TAAAGGAAATAG >mm10_chr13:72609143-72609154(-)::chr13:72609142-72609154(-) aggagGAAGCTA >mm10_chr13:72611106-72611117(+)::chr13:72611105-72611117(+) AACAGGAAGGTG >mm10_chr13:72637823-72637834(+)::chr13:72637822-72637834(+) AGGAGGAAGAAT >mm10_chr13:72637865-72637876(+)::chr13:72637864-72637876(+) ATAAGGAAAGGG >mm10_chr13:72648811-72648822(+)::chr13:72648810-72648822(+) TTGAGGAAGTTC >mm10_chr13:72648847-72648858(-)::chr13:72648846-72648858(-) TCAAGGAAATAA >mm10_chr13:72658953-72658964(-)::chr13:72658952-72658964(-) CCAAGGAAGCTA >mm10_chr13:72665627-72665638(+)::chr13:72665626-72665638(+) TGAAGGAAGTTA >mm10_chr13:72666598-72666609(+)::chr13:72666597-72666609(+) AACAGGAAATTC >mm10_chr13:72672803-72672814(-)::chr13:72672802-72672814(-) AGAAGGATGTGC >mm10_chr13:72701602-72701613(-)::chr13:72701601-72701613(-) atgaggaagagc >mm10_chr13:72701620-72701631(-)::chr13:72701619-72701631(-) tggaggaagtgc >mm10_chr13:72702660-72702671(+)::chr13:72702659-72702671(+) ACACGGAAGTCC >mm10_chr13:72714928-72714939(+)::chr13:72714927-72714939(+) TAAAGGAAATGA >mm10_chr13:72716621-72716632(-)::chr13:72716620-72716632(-) ACCAGGAAGTCG >mm10_chr13:72740793-72740804(-)::chr13:72740792-72740804(-) GGCAGGAAGTTT >mm10_chr13:72749152-72749163(+)::chr13:72749151-72749163(+) AGAAAGAAGTGG >mm10_chr13:72782663-72782674(-)::chr13:72782662-72782674(-) TGAAGGAAGTAT >mm10_chr13:72783256-72783267(-)::chr13:72783255-72783267(-) AGCAGGAAATGA >mm10_chr13:72792665-72792676(+)::chr13:72792664-72792676(+) ACAAGGAAATTA >mm10_chr13:72792691-72792702(-)::chr13:72792690-72792702(-) ATGAGGAAATAA >mm10_chr13:72793323-72793334(+)::chr13:72793322-72793334(+) GTCAGGAAGTGA >mm10_chr13:72793337-72793348(+)::chr13:72793336-72793348(+) ATAAGGAACAGG >mm10_chr13:72817112-72817123(+)::chr13:72817111-72817123(+) GGACGGAAGCAG >mm10_chr13:72817143-72817154(-)::chr13:72817142-72817154(-) AGAAGGAAGTCA >mm10_chr13:72897073-72897084(+)::chr13:72897072-72897084(+) ACAAGGAAGAGA >mm10_chr13:72938298-72938309(+)::chr13:72938297-72938309(+) AGAAGGAAGTAG >mm10_chr13:72938321-72938332(+)::chr13:72938320-72938332(+) GAAAGGAAGCCA >mm10_chr13:73065735-73065746(-)::chr13:73065734-73065746(-) agcaggaagctg >mm10_chr13:73070933-73070944(+)::chr13:73070932-73070944(+) GCAAGGAAGCTA >mm10_chr13:73070953-73070964(-)::chr13:73070952-73070964(-) GGAAGGAAAATA >mm10_chr13:73081016-73081027(-)::chr13:73081015-73081027(-) AGCAGGAAGAGA >mm10_chr13:73441801-73441812(+)::chr13:73441800-73441812(+) ACAAGGAAGATC >mm10_chr13:73459349-73459360(+)::chr13:73459348-73459360(+) GACGGGAAGTGT >mm10_chr13:73459362-73459373(+)::chr13:73459361-73459373(+) ATGAGGAAGCAC >mm10_chr13:73459381-73459392(+)::chr13:73459380-73459392(+) GAAAGGAAGCGC >mm10_chr13:73460977-73460988(+)::chr13:73460976-73460988(+) ttaaggaagtag >mm10_chr13:73460989-73461000(+)::chr13:73460988-73461000(+) aggaggaagaaa >mm10_chr13:73604029-73604040(-)::chr13:73604028-73604040(-) TGGCGGAAGTGG >mm10_chr13:73604735-73604746(-)::chr13:73604734-73604746(-) AGCAGGAAGTGA >mm10_chr13:73626900-73626911(-)::chr13:73626899-73626911(-) CGGAGGAAGGGG >mm10_chr13:73672793-73672804(+)::chr13:73672792-73672804(+) ATGAGGAAGATG >mm10_chr13:73673945-73673956(+)::chr13:73673944-73673956(+) AGGAGGAAGAGG >mm10_chr13:73683761-73683772(+)::chr13:73683760-73683772(+) CGAAGGAAGTCT >mm10_chr13:73683816-73683827(-)::chr13:73683815-73683827(-) TAAGGGAAGTAG >mm10_chr13:73710094-73710105(+)::chr13:73710093-73710105(+) GCAAGGAAGCAT >mm10_chr13:73712453-73712464(+)::chr13:73712452-73712464(+) GGCAGGAAGTCT >mm10_chr13:73772833-73772844(+)::chr13:73772832-73772844(+) TGCAGGAAGAAG >mm10_chr13:73881535-73881546(+)::chr13:73881534-73881546(+) CGAGGGAAGGGA >mm10_chr13:73885740-73885751(-)::chr13:73885739-73885751(-) agcaggaagggg >mm10_chr13:73885759-73885770(-)::chr13:73885758-73885770(-) ggaaggaagggg >mm10_chr13:73897744-73897755(+)::chr13:73897743-73897755(+) cacaggaagtca >mm10_chr13:73937826-73937837(-)::chr13:73937825-73937837(-) AGTCGGAAGTAG >mm10_chr13:73962075-73962086(-)::chr13:73962074-73962086(-) GCCAGGAAATGT >mm10_chr13:74090512-74090523(-)::chr13:74090511-74090523(-) AGGAGGAAGTGA >mm10_chr13:74186903-74186914(+)::chr13:74186902-74186914(+) ggaaggaagggg >mm10_chr13:74186924-74186935(+)::chr13:74186923-74186935(+) aggaggaaggga >mm10_chr13:74308473-74308484(-)::chr13:74308472-74308484(-) AAAAGGAAATAT >mm10_chr13:74316531-74316542(-)::chr13:74316530-74316542(-) AAGAGGAAGGTC >mm10_chr13:74317288-74317299(-)::chr13:74317287-74317299(-) CCCAGGAAGTTG >mm10_chr13:74388196-74388207(-)::chr13:74388195-74388207(-) gcaaggaagagg >mm10_chr13:74388245-74388256(-)::chr13:74388244-74388256(-) ataaggaaatag >mm10_chr13:74457323-74457334(-)::chr13:74457322-74457334(-) AACAGGAAGTGC >mm10_chr13:74842633-74842644(+)::chr13:74842632-74842644(+) agaagaaagtga >mm10_chr13:74854513-74854524(+)::chr13:74854512-74854524(+) AGCAGGAAGTCA >mm10_chr13:75090486-75090497(-)::chr13:75090485-75090497(-) TCGAGGAAGAGG >mm10_chr13:75234367-75234378(+)::chr13:75234366-75234378(+) agcaggaagaaa >mm10_chr13:75264262-75264273(-)::chr13:75264261-75264273(-) TCCAGGAAGTTA >mm10_chr13:75304253-75304264(+)::chr13:75304252-75304264(+) ACAAGGAAGTTC >mm10_chr13:75304271-75304282(-)::chr13:75304270-75304282(-) TCCAGGAAGATG >mm10_chr13:75374734-75374745(-)::chr13:75374733-75374745(-) ACCAGGAAGTTA >mm10_chr13:75385042-75385053(+)::chr13:75385041-75385053(+) ACAAGGAAGGAA >mm10_chr13:75459934-75459945(+)::chr13:75459933-75459945(+) AGAAGGAAGATA >mm10_chr13:75460127-75460138(-)::chr13:75460126-75460138(-) AGCAGGAAGGCA >mm10_chr13:75466779-75466790(-)::chr13:75466778-75466790(-) AGAAGGAAGGGG >mm10_chr13:75531799-75531810(+)::chr13:75531798-75531810(+) ACCAGGAAATGG >mm10_chr13:75598796-75598807(+)::chr13:75598795-75598807(+) AAAAGGCAGTAT >mm10_chr13:75696552-75696563(+)::chr13:75696551-75696563(+) acaaagaagtaa >mm10_chr13:75696556-75696567(+)::chr13:75696555-75696567(+) agaagtaagtcc >mm10_chr13:75696598-75696609(+)::chr13:75696597-75696609(+) agaagtaagttc >mm10_chr13:75788418-75788429(+)::chr13:75788417-75788429(+) GCAAGGAAGCCA >mm10_chr13:75797963-75797974(-)::chr13:75797962-75797974(-) GTCAGGAAGTGG >mm10_chr13:75797991-75798002(-)::chr13:75797990-75798002(-) GTCAGGAAGTCA >mm10_chr13:75798561-75798572(+)::chr13:75798560-75798572(+) AAGAGGAAATGA >mm10_chr13:75804249-75804260(-)::chr13:75804248-75804260(-) aaaaggaaatat >mm10_chr13:75818325-75818336(+)::chr13:75818324-75818336(+) ACAAGGAAAGGG >mm10_chr13:75818797-75818808(-)::chr13:75818796-75818808(-) TTCAGGAAGTAA >mm10_chr13:75820154-75820165(-)::chr13:75820153-75820165(-) AAGAGGAAGAGA >mm10_chr13:75824777-75824788(+)::chr13:75824776-75824788(+) ACTAGGAAGGGC >mm10_chr13:75824801-75824812(-)::chr13:75824800-75824812(-) AGCAGGAAGCAA >mm10_chr13:75825173-75825184(-)::chr13:75825172-75825184(-) AGAAGGAAGCAA >mm10_chr13:75840882-75840893(-)::chr13:75840881-75840893(-) AGGAGGAAGGTG >mm10_chr13:75844373-75844384(+)::chr13:75844372-75844384(+) AAGAGGAAGAAA >mm10_chr13:75846035-75846046(-)::chr13:75846034-75846046(-) AGGAGGAAGTGC >mm10_chr13:75875260-75875271(-)::chr13:75875259-75875271(-) AATAGGAAGGAA >mm10_chr13:75877083-75877094(+)::chr13:75877082-75877094(+) TGTAGGAAGAAA >mm10_chr13:75877098-75877109(-)::chr13:75877097-75877109(-) TACAGGAAATAG >mm10_chr13:75902293-75902304(+)::chr13:75902292-75902304(+) GTAAGGAATTAA >mm10_chr13:75946988-75946999(+)::chr13:75946987-75946999(+) ctcaggaagtca >mm10_chr13:76055363-76055374(+)::chr13:76055362-76055374(+) AACGGGAAGTGA >mm10_chr13:76055391-76055402(+)::chr13:76055390-76055402(+) AGAAGGAAATAA >mm10_chr13:76092595-76092606(-)::chr13:76092594-76092606(-) gacaggaaggtt >mm10_chr13:76099131-76099142(-)::chr13:76099130-76099142(-) CGGAGGAAATAC >mm10_chr13:76484242-76484253(-)::chr13:76484241-76484253(-) ACCAGGAAGTCT >mm10_chr13:76751221-76751232(-)::chr13:76751220-76751232(-) ATGAGGAAATGT >mm10_chr13:76751269-76751280(-)::chr13:76751268-76751280(-) GGAAGGAAATGC >mm10_chr13:76751273-76751284(-)::chr13:76751272-76751284(-) ATGAGGAAGGAA >mm10_chr13:76856607-76856618(-)::chr13:76856606-76856618(-) ATGAGGAAGAGA >mm10_chr13:76856636-76856647(-)::chr13:76856635-76856647(-) ACAAGGAAGCAA >mm10_chr13:77001295-77001306(+)::chr13:77001294-77001306(+) gtgaggaaggaa >mm10_chr13:77001299-77001310(+)::chr13:77001298-77001310(+) ggaaggaaggag >mm10_chr13:77005947-77005958(+)::chr13:77005946-77005958(+) agcaggaagtag >mm10_chr13:77029925-77029936(+)::chr13:77029924-77029936(+) AAAAGGAAGAAA >mm10_chr13:77063383-77063394(-)::chr13:77063382-77063394(-) TTCAGGAAGTGT >mm10_chr13:77063436-77063447(-)::chr13:77063435-77063447(-) GGAAGGAAGGAT >mm10_chr13:77071887-77071898(-)::chr13:77071886-77071898(-) AACAGGAAGAGG >mm10_chr13:77158293-77158304(+)::chr13:77158292-77158304(+) gtgaggaaggaa >mm10_chr13:77708707-77708718(+)::chr13:77708706-77708718(+) ACCCGGAAGTGC >mm10_chr13:77936035-77936046(-)::chr13:77936034-77936046(-) acaaggatgtgc >mm10_chr13:78024627-78024638(+)::chr13:78024626-78024638(+) GGAAGGAAGGGG >mm10_chr13:78103282-78103293(-)::chr13:78103281-78103293(-) CTGAGGAAGTCG >mm10_chr13:78103318-78103329(+)::chr13:78103317-78103329(+) ATAAGGAAGTGT >mm10_chr13:78163531-78163542(-)::chr13:78163530-78163542(-) TCCAGGAAGTGA >mm10_chr13:78483448-78483459(+)::chr13:78483447-78483459(+) AAGAGGAAATGA >mm10_chr13:78483460-78483471(+)::chr13:78483459-78483471(+) AATAGGAAATCG >mm10_chr13:78483515-78483526(+)::chr13:78483514-78483526(+) AGAAGGAAGGGA >mm10_chr13:78495942-78495953(-)::chr13:78495941-78495953(-) CAAAGGAAGAAA >mm10_chr13:78495984-78495995(+)::chr13:78495983-78495995(+) TTGAGGAAGTCT >mm10_chr13:78500706-78500717(-)::chr13:78500705-78500717(-) TCCAGGAAGTGT >mm10_chr13:78685271-78685282(+)::chr13:78685270-78685282(+) atgaggaaggca >mm10_chr13:78685292-78685303(+)::chr13:78685291-78685303(+) atcaggaagtca >mm10_chr13:79765703-79765714(-)::chr13:79765702-79765714(-) GGAAGGAAGTTA >mm10_chr13:80882737-80882748(+)::chr13:80882736-80882748(+) TCGAGGAAGTGG >mm10_chr13:80896789-80896800(+)::chr13:80896788-80896800(+) TTAAGGAAGTAA >mm10_chr13:80902871-80902882(+)::chr13:80902870-80902882(+) TTGAGGAAGTTA >mm10_chr13:80902895-80902906(-)::chr13:80902894-80902906(-) GCCAGGAAGTTC >mm10_chr13:80933026-80933037(+)::chr13:80933025-80933037(+) aacaggaagctg >mm10_chr13:80941001-80941012(+)::chr13:80941000-80941012(+) aagaggaagtgt >mm10_chr13:80949223-80949234(+)::chr13:80949222-80949234(+) GGAGGGAAGTGT >mm10_chr13:80960054-80960065(+)::chr13:80960053-80960065(+) ATAAGGAAGTCC >mm10_chr13:80963186-80963197(-)::chr13:80963185-80963197(-) CGCAGGAAGTTA >mm10_chr13:81006952-81006963(+)::chr13:81006951-81006963(+) TAGAGGAAATAA >mm10_chr13:81009048-81009059(+)::chr13:81009047-81009059(+) AGGAGGAAATGG >mm10_chr13:81009536-81009547(-)::chr13:81009535-81009547(-) ACCAGGAAGGCA >mm10_chr13:81012910-81012921(-)::chr13:81012909-81012921(-) aggaggaagaaa >mm10_chr13:81014142-81014153(-)::chr13:81014141-81014153(-) AGGAGGAAGTTC >mm10_chr13:81033581-81033592(-)::chr13:81033580-81033592(-) AACAGGAAGAAG >mm10_chr13:81033649-81033660(+)::chr13:81033648-81033660(+) ATGAGGAAGAGT >mm10_chr13:81081706-81081717(-)::chr13:81081705-81081717(-) AGAAGGAAGCAC >mm10_chr13:81081721-81081732(+)::chr13:81081720-81081732(+) TAAAGGAAGCTT >mm10_chr13:81081740-81081751(+)::chr13:81081739-81081751(+) ATGAGGAAGCAT >mm10_chr13:81088076-81088087(+)::chr13:81088075-81088087(+) ACAGGGAAGTAA >mm10_chr13:81088085-81088096(-)::chr13:81088084-81088096(-) TGGAGGAAATTA >mm10_chr13:81088099-81088110(-)::chr13:81088098-81088110(-) ATTAGGAAGTCC >mm10_chr13:81089178-81089189(-)::chr13:81089177-81089189(-) AGAAGGAAGGAC >mm10_chr13:81089185-81089196(-)::chr13:81089184-81089196(-) ATCAGGAAGAAG >mm10_chr13:81136753-81136764(-)::chr13:81136752-81136764(-) ATGAGGAAGTAT >mm10_chr13:81137146-81137157(-)::chr13:81137145-81137157(-) AGCAGGAAGTTG >mm10_chr13:81182434-81182445(+)::chr13:81182433-81182445(+) GCCAGGAAGTTC >mm10_chr13:81208183-81208194(+)::chr13:81208182-81208194(+) CAAAGGAAGTTC >mm10_chr13:81230278-81230289(+)::chr13:81230277-81230289(+) TTAAGGAAGTAT >mm10_chr13:81231660-81231671(-)::chr13:81231659-81231671(-) AGAAGGAAGTGT >mm10_chr13:81279155-81279166(+)::chr13:81279154-81279166(+) AGGAGGAAATGA >mm10_chr13:81332257-81332268(+)::chr13:81332256-81332268(+) GCCAGGAAGTTC >mm10_chr13:81332262-81332273(-)::chr13:81332261-81332273(-) GTAAGGAACTTC >mm10_chr13:81333663-81333674(+)::chr13:81333662-81333674(+) AACAGGAAGAAG >mm10_chr13:81342877-81342888(-)::chr13:81342876-81342888(-) TATAGGAAGCAA >mm10_chr13:81361268-81361279(+)::chr13:81361267-81361279(+) gggaggaagaac >mm10_chr13:81361278-81361289(+)::chr13:81361277-81361289(+) acaaggaagaag >mm10_chr13:81361285-81361296(+)::chr13:81361284-81361296(+) agaaggaagaag >mm10_chr13:81361292-81361303(+)::chr13:81361291-81361303(+) agaaggaagaag >mm10_chr13:81361302-81361313(+)::chr13:81361301-81361313(+) agaaggaagaag >mm10_chr13:81371243-81371254(+)::chr13:81371242-81371254(+) aagaggaagtct >mm10_chr13:81372718-81372729(+)::chr13:81372717-81372729(+) aagaggaaatgg >mm10_chr13:81385789-81385800(-)::chr13:81385788-81385800(-) TCAAGGAAGACT >mm10_chr13:81413267-81413278(+)::chr13:81413266-81413278(+) TAAAGGAAGACA >mm10_chr13:81413298-81413309(-)::chr13:81413297-81413309(-) ACAAGGAAGGCT >mm10_chr13:81758831-81758842(+)::chr13:81758830-81758842(+) gagaggaaggaa >mm10_chr13:81758894-81758905(-)::chr13:81758893-81758905(-) ttaaggaaatcc >mm10_chr13:81793921-81793932(-)::chr13:81793920-81793932(-) ACAAGGAAGAAG >mm10_chr13:81793941-81793952(-)::chr13:81793940-81793952(-) AACAGGAAGTCA >mm10_chr13:81803133-81803144(-)::chr13:81803132-81803144(-) TTAAGGAAACGC >mm10_chr13:81863199-81863210(+)::chr13:81863198-81863210(+) AAAAGGAAAGTA >mm10_chr13:81993848-81993859(-)::chr13:81993847-81993859(-) AACAGGAAATTC >mm10_chr13:81993895-81993906(+)::chr13:81993894-81993906(+) AAAGGGAAGTTG >mm10_chr13:81995052-81995063(+)::chr13:81995051-81995063(+) GCCAGGAAATAT >mm10_chr13:81995111-81995122(-)::chr13:81995110-81995122(-) aggaggaagaac >mm10_chr13:81995118-81995129(-)::chr13:81995117-81995129(-) aagaggaaggag >mm10_chr13:81995130-81995141(-)::chr13:81995129-81995141(-) aggaggaagcaa >mm10_chr13:82040008-82040019(+)::chr13:82040007-82040019(+) TATAGGAAGTGA >mm10_chr13:82040796-82040807(+)::chr13:82040795-82040807(+) AATAGGAAGCAA >mm10_chr13:82176934-82176945(-)::chr13:82176933-82176945(-) tccaggaagaaa >mm10_chr13:82176963-82176974(+)::chr13:82176962-82176974(+) gtcaggaaggaa >mm10_chr13:82176967-82176978(+)::chr13:82176966-82176978(+) ggaaggaagtat >mm10_chr13:82185355-82185366(+)::chr13:82185354-82185366(+) agcaggaaatca >mm10_chr13:82201056-82201067(-)::chr13:82201055-82201067(-) GACAGGAAGCTA >mm10_chr13:83131482-83131493(-)::chr13:83131481-83131493(-) ACCAGGAAGTCC >mm10_chr13:83215207-83215218(-)::chr13:83215206-83215218(-) TGGAGGAAATGA >mm10_chr13:83565858-83565869(+)::chr13:83565857-83565869(+) TAAAGGAAGGAT >mm10_chr13:83572307-83572318(+)::chr13:83572306-83572318(+) AGGAGGAAGGGA >mm10_chr13:83652136-83652147(+)::chr13:83652135-83652147(+) AGCAGGAAGTGT >mm10_chr13:83718692-83718703(-)::chr13:83718691-83718703(-) GTGAGGAAGTCA >mm10_chr13:83979672-83979683(+)::chr13:83979671-83979683(+) ACAAGGAAGTTT >mm10_chr13:83997845-83997856(+)::chr13:83997844-83997856(+) TCTAGGAAGTGA >mm10_chr13:84222278-84222289(-)::chr13:84222277-84222289(-) GACAGGAAGTTC >mm10_chr13:84251692-84251703(-)::chr13:84251691-84251703(-) AAAAGGAAGAGT >mm10_chr13:84251698-84251709(-)::chr13:84251697-84251709(-) AGAAGGAAAAGG >mm10_chr13:84251731-84251742(+)::chr13:84251730-84251742(+) AATAGGAAGTAT >mm10_chr13:84348243-84348254(-)::chr13:84348242-84348254(-) AGGAGGAAGGGG >mm10_chr13:84368287-84368298(+)::chr13:84368286-84368298(+) tcaaggaagaaa >mm10_chr13:84368621-84368632(-)::chr13:84368620-84368632(-) aggaggaaatgg >mm10_chr13:84368640-84368651(-)::chr13:84368639-84368651(-) atagggaagaga >mm10_chr13:84368651-84368662(-)::chr13:84368650-84368662(-) aggaggaaatca >mm10_chr13:84449483-84449494(+)::chr13:84449482-84449494(+) GACAGGAAGTAG >mm10_chr13:85230970-85230981(-)::chr13:85230969-85230981(-) TGGAGGAAGATA >mm10_chr13:85231020-85231031(+)::chr13:85231019-85231031(+) ACAAGGAAGTGT >mm10_chr13:85288212-85288223(+)::chr13:85288211-85288223(+) GCCAGGAAGAGT >mm10_chr13:85289104-85289115(+)::chr13:85289103-85289115(+) TACGGGAAGCGA >mm10_chr13:85289399-85289410(+)::chr13:85289398-85289410(+) CCGAGGAAGGGG >mm10_chr13:85289561-85289572(+)::chr13:85289560-85289572(+) AGAAGGAAAAGC >mm10_chr13:85289579-85289590(+)::chr13:85289578-85289590(+) GGCAGGAAGCGG >mm10_chr13:85376523-85376534(+)::chr13:85376522-85376534(+) CTAAGGAAGTCA >mm10_chr13:85376586-85376597(+)::chr13:85376585-85376597(+) AACAGGAAGTCA >mm10_chr13:85399063-85399074(-)::chr13:85399062-85399074(-) AGAAGGAAGTGA >mm10_chr13:85436514-85436525(+)::chr13:85436513-85436525(+) AGGAGGAAGTGT >mm10_chr13:85639844-85639855(+)::chr13:85639843-85639855(+) ATAAGGAAACAA >mm10_chr13:86046810-86046821(-)::chr13:86046809-86046821(-) TTCAGGAAGTTC >mm10_chr13:86053997-86054008(-)::chr13:86053996-86054008(-) agaaggaagatg >mm10_chr13:86054007-86054018(-)::chr13:86054006-86054018(-) aagaggaagtag >mm10_chr13:86254792-86254803(+)::chr13:86254791-86254803(+) ATAAGGAAGTTT >mm10_chr13:86996882-86996893(+)::chr13:86996881-86996893(+) aaagggaagtct >mm10_chr13:86996925-86996936(+)::chr13:86996924-86996936(+) acaaggaaaaca >mm10_chr13:87225984-87225995(+)::chr13:87225983-87225995(+) ataaggaacttc >mm10_chr13:87225989-87226000(-)::chr13:87225988-87226000(-) ggcaggaagttc >mm10_chr13:87604159-87604170(-)::chr13:87604158-87604170(-) gaaaggaagttt >mm10_chr13:88459727-88459738(-)::chr13:88459726-88459738(-) gagaggaaggat >mm10_chr13:88459772-88459783(-)::chr13:88459771-88459783(-) ataagaaagtat >mm10_chr13:88459938-88459949(+)::chr13:88459937-88459949(+) ttgaggaagtat >mm10_chr13:88459962-88459973(+)::chr13:88459961-88459973(+) ttgaggaagtgt >mm10_chr13:88828491-88828502(-)::chr13:88828490-88828502(-) AAGAGGAAGTCC >mm10_chr13:88828563-88828574(+)::chr13:88828562-88828574(+) AGCAGGAAGAGC >mm10_chr13:89113252-89113263(-)::chr13:89113251-89113263(-) ACAAGGATGATA >mm10_chr13:89257252-89257263(+)::chr13:89257251-89257263(+) GGAAGGAAGTGT >mm10_chr13:89257273-89257284(+)::chr13:89257272-89257284(+) TCCAGGAAGTCT >mm10_chr13:89288397-89288408(+)::chr13:89288396-89288408(+) TCAAGGATGTAG >mm10_chr13:89288456-89288467(+)::chr13:89288455-89288467(+) GGCAGGAAGGGA >mm10_chr13:89288461-89288472(+)::chr13:89288460-89288472(+) GAAGGGAAGTTA >mm10_chr13:89353662-89353673(+)::chr13:89353661-89353673(+) agaaggaagagg >mm10_chr13:89353692-89353703(+)::chr13:89353691-89353703(+) aggaggaagagg >mm10_chr13:89423611-89423622(-)::chr13:89423610-89423622(-) GAGAGGAAGTGG >mm10_chr13:89552277-89552288(-)::chr13:89552276-89552288(-) AGAAGGAAGGAA >mm10_chr13:89634040-89634051(+)::chr13:89634039-89634051(+) CTAAGGAAGGAG >mm10_chr13:89751617-89751628(+)::chr13:89751616-89751628(+) aacaggaagaag >mm10_chr13:89751624-89751635(+)::chr13:89751623-89751635(+) agaaggaaggag >mm10_chr13:89783198-89783209(-)::chr13:89783197-89783209(-) aacaggaagcaa >mm10_chr13:89785611-89785622(+)::chr13:89785610-89785622(+) TAAAGGAAATAG >mm10_chr13:89785633-89785644(+)::chr13:89785632-89785644(+) ACAGGGAAGTCA >mm10_chr13:89785659-89785670(+)::chr13:89785658-89785670(+) GCAAGGAAGAAA >mm10_chr13:90159207-90159218(-)::chr13:90159206-90159218(-) tcaaggaagatt >mm10_chr13:90259332-90259343(-)::chr13:90259331-90259343(-) GCAGGGAAGTCA >mm10_chr13:90259391-90259402(+)::chr13:90259390-90259402(+) TGGAGGAAGAGG >mm10_chr13:90300592-90300603(+)::chr13:90300591-90300603(+) GCCAGGAAGTGG >mm10_chr13:90339488-90339499(-)::chr13:90339487-90339499(-) TCCAGGAAGTTC >mm10_chr13:90342998-90343009(-)::chr13:90342997-90343009(-) AACAGGAAGTAA >mm10_chr13:90343869-90343880(-)::chr13:90343868-90343880(-) ACGAGGAAGTCT >mm10_chr13:90373267-90373278(-)::chr13:90373266-90373278(-) TCAAGGAAGCAG >mm10_chr13:90373346-90373357(-)::chr13:90373345-90373357(-) AGTAGGAAGTGA >mm10_chr13:90374333-90374344(-)::chr13:90374332-90374344(-) TTAAGGAAGATT >mm10_chr13:90422711-90422722(+)::chr13:90422710-90422722(+) ggcaggaagaaa >mm10_chr13:90422771-90422782(-)::chr13:90422770-90422782(-) aacaggaagcag >mm10_chr13:90424744-90424755(+)::chr13:90424743-90424755(+) GTGAGGAAGGAA >mm10_chr13:90466149-90466160(-)::chr13:90466148-90466160(-) AAGAGGAAGAGA >mm10_chr13:90516035-90516046(-)::chr13:90516034-90516046(-) AGGAGGAAGTTG >mm10_chr13:90719904-90719915(-)::chr13:90719903-90719915(-) TGAAGGTAGTGA >mm10_chr13:90806423-90806434(-)::chr13:90806422-90806434(-) aggaggaagaag >mm10_chr13:90806457-90806468(-)::chr13:90806456-90806468(-) aagaggaagagg >mm10_chr13:90806463-90806474(-)::chr13:90806462-90806474(-) aggaggaagagg >mm10_chr13:90806484-90806495(-)::chr13:90806483-90806495(-) agaaggaagagg >mm10_chr13:90923145-90923156(-)::chr13:90923144-90923156(-) TGCAGGAAGGAC >mm10_chr13:91093396-91093407(-)::chr13:91093395-91093407(-) ACAAGGAAGCTC >mm10_chr13:91093764-91093775(+)::chr13:91093763-91093775(+) AACAGGAAGCCA >mm10_chr13:91102444-91102455(+)::chr13:91102443-91102455(+) agaaggaagagt >mm10_chr13:91110586-91110597(+)::chr13:91110585-91110597(+) agagggaagtta >mm10_chr13:91128888-91128899(-)::chr13:91128887-91128899(-) CTAAGGAAGCAT >mm10_chr13:91130712-91130723(+)::chr13:91130711-91130723(+) GGAAGGAAGCTA >mm10_chr13:91130794-91130805(-)::chr13:91130793-91130805(-) CGAAGGAGGTAT >mm10_chr13:91156420-91156431(-)::chr13:91156419-91156431(-) AGAAGGAAATTG >mm10_chr13:91166364-91166375(+)::chr13:91166363-91166375(+) accaggaaatgg >mm10_chr13:91195108-91195119(-)::chr13:91195107-91195119(-) accaggaaatcc >mm10_chr13:91195145-91195156(+)::chr13:91195144-91195156(+) gaaaggatgtta >mm10_chr13:91198200-91198211(-)::chr13:91198199-91198211(-) aggaggaaattg >mm10_chr13:91206612-91206623(+)::chr13:91206611-91206623(+) ACCAGGAAGCAC >mm10_chr13:91221616-91221627(+)::chr13:91221615-91221627(+) TGGAGGAAGTAA >mm10_chr13:91275103-91275114(-)::chr13:91275102-91275114(-) ACCAGGAAGGGA >mm10_chr13:91325493-91325504(-)::chr13:91325492-91325504(-) tagaggaagtat >mm10_chr13:91369570-91369581(-)::chr13:91369569-91369581(-) ACAAGGAAGTTG >mm10_chr13:91373104-91373115(-)::chr13:91373103-91373115(-) ACAAGGAAGCCT >mm10_chr13:91374087-91374098(+)::chr13:91374086-91374098(+) AGAAGGAAGATC >mm10_chr13:91374107-91374118(+)::chr13:91374106-91374118(+) TGAGGGAAGTAG >mm10_chr13:91378093-91378104(+)::chr13:91378092-91378104(+) ggcaggAAGACC >mm10_chr13:91450790-91450801(+)::chr13:91450789-91450801(+) aggaggaagaag >mm10_chr13:91450797-91450808(+)::chr13:91450796-91450808(+) agaaggaACCGA >mm10_chr13:91451651-91451662(+)::chr13:91451650-91451662(+) AGGAGGAAGTGA >mm10_chr13:91453463-91453474(-)::chr13:91453462-91453474(-) ACAAGGAAATAC >mm10_chr13:91453489-91453500(-)::chr13:91453488-91453500(-) TCAAGGAAGTGT >mm10_chr13:91508860-91508871(-)::chr13:91508859-91508871(-) gagaggaagaca >mm10_chr13:91508885-91508896(-)::chr13:91508884-91508896(-) aacaggaagagg >mm10_chr13:91519130-91519141(+)::chr13:91519129-91519141(+) tcaaggaagtgt >mm10_chr13:91795047-91795058(+)::chr13:91795046-91795058(+) AAAAGGAACGAG >mm10_chr13:91801909-91801920(-)::chr13:91801908-91801920(-) ACCAGGAAGTAC >mm10_chr13:91807685-91807696(+)::chr13:91807684-91807696(+) GACCGGAAGTGC >mm10_chr13:91958993-91959004(-)::chr13:91958992-91959004(-) ggaagaaagtga >mm10_chr13:92214400-92214411(-)::chr13:92214399-92214411(-) ACCAGGAAGTAG >mm10_chr13:92274704-92274715(+)::chr13:92274703-92274715(+) GGAAGGAAGTGG >mm10_chr13:92291335-92291346(+)::chr13:92291334-92291346(+) TTGAGGAAGTCC >mm10_chr13:92382823-92382834(+)::chr13:92382822-92382834(+) ATCAGGAAGACA >mm10_chr13:92420809-92420820(+)::chr13:92420808-92420820(+) ACAAGGAAGAGG >mm10_chr13:92484009-92484020(-)::chr13:92484008-92484020(-) GCCAGGAAGACA >mm10_chr13:92528961-92528972(+)::chr13:92528960-92528972(+) agcaggaagaag >mm10_chr13:92528968-92528979(+)::chr13:92528967-92528979(+) agaaggaaaaca >mm10_chr13:92592324-92592335(-)::chr13:92592323-92592335(-) GGAAGGAAGGCA >mm10_chr13:92592333-92592344(+)::chr13:92592332-92592344(+) TCCAGGAAGGAA >mm10_chr13:92592337-92592348(+)::chr13:92592336-92592348(+) GGAAGGAAATTC >mm10_chr13:92610936-92610947(+)::chr13:92610935-92610947(+) CGGCGGAAGTGC >mm10_chr13:92610950-92610961(+)::chr13:92610949-92610961(+) GAGAGGAAGAAG >mm10_chr13:92616562-92616573(-)::chr13:92616561-92616573(-) ATAAGGAAAACA >mm10_chr13:92637067-92637078(-)::chr13:92637066-92637078(-) ATAAGGAAATAA >mm10_chr13:92651017-92651028(+)::chr13:92651016-92651028(+) AACAGGAAATAG >mm10_chr13:92720415-92720426(-)::chr13:92720414-92720426(-) ACAAGGAAAAAC >mm10_chr13:92751094-92751105(-)::chr13:92751093-92751105(-) GGCAGGAAGCAA >mm10_chr13:92775178-92775189(+)::chr13:92775177-92775189(+) AGAAGGAAGACA >mm10_chr13:92776131-92776142(+)::chr13:92776130-92776142(+) TGCAGGAAGCAG >mm10_chr13:92793886-92793897(+)::chr13:92793885-92793897(+) ACGAGGAAGGCC >mm10_chr13:92793926-92793937(-)::chr13:92793925-92793937(-) GCCAGGAAGGAT >mm10_chr13:92844753-92844764(-)::chr13:92844752-92844764(-) ACCCGGAAGTAC >mm10_chr13:92923742-92923753(+)::chr13:92923741-92923753(+) AAGAGGAAGAAG >mm10_chr13:92923755-92923766(+)::chr13:92923754-92923766(+) TTGAGGAAGTTG >mm10_chr13:92926072-92926083(-)::chr13:92926071-92926083(-) ttaaggaaggag >mm10_chr13:93144713-93144724(-)::chr13:93144712-93144724(-) GCGGGGAAGTTG >mm10_chr13:93171573-93171584(+)::chr13:93171572-93171584(+) aggaggaagagg >mm10_chr13:93171582-93171593(+)::chr13:93171581-93171593(+) aggaggaagaag >mm10_chr13:93171599-93171610(+)::chr13:93171598-93171610(+) gagaggaagaag >mm10_chr13:93171616-93171627(+)::chr13:93171615-93171627(+) aggaggaagaga >mm10_chr13:93171631-93171642(+)::chr13:93171630-93171642(+) agaaggaagaag >mm10_chr13:93171643-93171654(+)::chr13:93171642-93171654(+) aggaggaagagg >mm10_chr13:93171649-93171660(+)::chr13:93171648-93171660(+) aagaggaagaag >mm10_chr13:93184858-93184869(+)::chr13:93184857-93184869(+) ATAAGGAAAAGG >mm10_chr13:93231247-93231258(-)::chr13:93231246-93231258(-) TGGAGGAAGTCA >mm10_chr13:93231261-93231272(-)::chr13:93231260-93231272(-) TAGAGGAAGTTC >mm10_chr13:93300802-93300813(-)::chr13:93300801-93300813(-) AAGAGGAAGAAA >mm10_chr13:93300837-93300848(+)::chr13:93300836-93300848(+) TCTAGGAAGTTC >mm10_chr13:93303664-93303675(-)::chr13:93303663-93303675(-) GGCCGGAAGTAC >mm10_chr13:93354617-93354628(+)::chr13:93354616-93354628(+) ggtaggaagcat >mm10_chr13:93354953-93354964(+)::chr13:93354952-93354964(+) TAGAGGAAATTA >mm10_chr13:93355025-93355036(+)::chr13:93355024-93355036(+) GCCAGGAAATGG >mm10_chr13:93374345-93374356(+)::chr13:93374344-93374356(+) AGTAGGAAGTTC >mm10_chr13:93393143-93393154(+)::chr13:93393142-93393154(+) ACAGGGAAGTAT >mm10_chr13:93421639-93421650(+)::chr13:93421638-93421650(+) GAGAGGAAGACA >mm10_chr13:93421683-93421694(-)::chr13:93421682-93421694(-) ACCAGGAAATCC >mm10_chr13:93510820-93510831(+)::chr13:93510819-93510831(+) AACAGGAAGGCT >mm10_chr13:93510866-93510877(+)::chr13:93510865-93510877(+) GAAAGGAAGGCT >mm10_chr13:93511670-93511681(+)::chr13:93511669-93511681(+) tcaaggaaggag >mm10_chr13:93511682-93511693(+)::chr13:93511681-93511693(+) gccaggaagttg >mm10_chr13:93517646-93517657(+)::chr13:93517645-93517657(+) AAAAGGATGTCC >mm10_chr13:93522652-93522663(-)::chr13:93522651-93522663(-) GAAAGGAACTTG >mm10_chr13:93527959-93527970(+)::chr13:93527958-93527970(+) ACCAGGAAGCAG >mm10_chr13:93546230-93546241(+)::chr13:93546229-93546241(+) aacaggaagtcc >mm10_chr13:93553388-93553399(-)::chr13:93553387-93553399(-) AGGAGGAAGAGC >mm10_chr13:93553438-93553449(-)::chr13:93553437-93553449(-) GGAAGGAAAATA >mm10_chr13:93553442-93553453(-)::chr13:93553441-93553453(-) GATAGGAAGGAA >mm10_chr13:93554925-93554936(+)::chr13:93554924-93554936(+) CAAAGGAAGTAC >mm10_chr13:93585221-93585232(+)::chr13:93585220-93585232(+) AGGAGGAAGTGA >mm10_chr13:93585676-93585687(+)::chr13:93585675-93585687(+) GACAGGAAGTGC >mm10_chr13:93772204-93772215(-)::chr13:93772203-93772215(-) AGGAGGAAGTCA >mm10_chr13:93807850-93807861(+)::chr13:93807849-93807861(+) ACAAGGAAGGAG >mm10_chr13:93822249-93822260(-)::chr13:93822248-93822260(-) aaaaggaagacg >mm10_chr13:93852481-93852492(+)::chr13:93852480-93852492(+) ATGAGGAAGTTG >mm10_chr13:93854275-93854286(-)::chr13:93854274-93854286(-) AGAAGGAAGTTT >mm10_chr13:93921236-93921247(-)::chr13:93921235-93921247(-) GACAGGAAGAAG >mm10_chr13:93975388-93975399(-)::chr13:93975387-93975399(-) GGAAGGAAGAAG >mm10_chr13:93975392-93975403(-)::chr13:93975391-93975403(-) AGAAGGAAGGAA >mm10_chr13:93978828-93978839(+)::chr13:93978827-93978839(+) AGGAGGAAATGG >mm10_chr13:93990489-93990500(+)::chr13:93990488-93990500(+) ACAAGGAAAGGA >mm10_chr13:93996567-93996578(-)::chr13:93996566-93996578(-) CAGAGGAAGTGA >mm10_chr13:94006064-94006075(+)::chr13:94006063-94006075(+) TACAGGAAATGC >mm10_chr13:94013573-94013584(+)::chr13:94013572-94013584(+) TTCAGGAAGACG >mm10_chr13:94026104-94026115(-)::chr13:94026103-94026115(-) AGAAGGAAGACA >mm10_chr13:94026312-94026323(+)::chr13:94026311-94026323(+) TCCAGGAAGTCT >mm10_chr13:94037848-94037859(-)::chr13:94037847-94037859(-) TGAAGGAAGAGA >mm10_chr13:94058587-94058598(-)::chr13:94058586-94058598(-) CGAAGGAACTCT >mm10_chr13:94058621-94058632(-)::chr13:94058620-94058632(-) GACAGGAAGAGT >mm10_chr13:94071084-94071095(-)::chr13:94071083-94071095(-) AGCAGGAAGAGG >mm10_chr13:94093012-94093023(-)::chr13:94093011-94093023(-) AGAAGGAAGAAA >mm10_chr13:94100553-94100564(-)::chr13:94100552-94100564(-) GCAAGGAAGTCA >mm10_chr13:94111788-94111799(+)::chr13:94111787-94111799(+) tgcaggaagttc >mm10_chr13:94111804-94111815(+)::chr13:94111803-94111815(+) agcaggaaggta >mm10_chr13:94111832-94111843(+)::chr13:94111831-94111843(+) ttcaggaagttc >mm10_chr13:94132102-94132113(-)::chr13:94132101-94132113(-) GGAAGGATGTGG >mm10_chr13:94132907-94132918(-)::chr13:94132906-94132918(-) CTGAGGAAGTAT >mm10_chr13:94136578-94136589(+)::chr13:94136577-94136589(+) AGGAGGAAGGAG >mm10_chr13:94142788-94142799(-)::chr13:94142787-94142799(-) AATAGGAAATAA >mm10_chr13:94159274-94159285(-)::chr13:94159273-94159285(-) GGAAGGAAGCCA >mm10_chr13:94159335-94159346(-)::chr13:94159334-94159346(-) ATGAGGAAGAAT >mm10_chr13:94159652-94159663(-)::chr13:94159651-94159663(-) TAAGGGAAGTGT >mm10_chr13:94162560-94162571(-)::chr13:94162559-94162571(-) TAAAGGGAGTAA >mm10_chr13:94162575-94162586(-)::chr13:94162574-94162586(-) CCAAGGAAATGG >mm10_chr13:94162589-94162600(+)::chr13:94162588-94162600(+) ATGAGGAAATGA >mm10_chr13:94162632-94162643(-)::chr13:94162631-94162643(-) ACAAGGAAAGGG >mm10_chr13:94178349-94178360(-)::chr13:94178348-94178360(-) AAGAGGAAGAGT >mm10_chr13:94178371-94178382(-)::chr13:94178370-94178382(-) ATAAGGACGTGT >mm10_chr13:94222102-94222113(+)::chr13:94222101-94222113(+) AGGAGGAAGGTG >mm10_chr13:94237251-94237262(-)::chr13:94237250-94237262(-) TCCAGGAAGTTC >mm10_chr13:94237914-94237925(+)::chr13:94237913-94237925(+) AGGAGGAAGAAG >mm10_chr13:94237921-94237932(+)::chr13:94237920-94237932(+) AGAAGGAAGAAG >mm10_chr13:94240928-94240939(+)::chr13:94240927-94240939(+) cccaggaagtca >mm10_chr13:94269703-94269714(-)::chr13:94269702-94269714(-) GAGAGGAAGTAA >mm10_chr13:94328218-94328229(+)::chr13:94328217-94328229(+) AACAGGAAGGAA >mm10_chr13:94328533-94328544(-)::chr13:94328532-94328544(-) acgaggaaggag >mm10_chr13:94358817-94358828(-)::chr13:94358816-94358828(-) AACAGGAAGCTG >mm10_chr13:94364928-94364939(-)::chr13:94364927-94364939(-) aggaggaaggga >mm10_chr13:94364943-94364954(-)::chr13:94364942-94364954(-) agaaggaaagag >mm10_chr13:94364970-94364981(-)::chr13:94364969-94364981(-) GACAGGAagaag >mm10_chr13:94381607-94381618(+)::chr13:94381606-94381618(+) TGGAGGAAGAGA >mm10_chr13:94460357-94460368(-)::chr13:94460356-94460368(-) ACAAGGAAGCCT >mm10_chr13:94460408-94460419(-)::chr13:94460407-94460419(-) GGAAGGAAGCCC >mm10_chr13:94460412-94460423(-)::chr13:94460411-94460423(-) ACCAGGAAGGAA >mm10_chr13:94468060-94468071(-)::chr13:94468059-94468071(-) aggaggaagagc >mm10_chr13:94468086-94468097(-)::chr13:94468085-94468097(-) aggaggaagaga >mm10_chr13:94468104-94468115(-)::chr13:94468103-94468115(-) aggaggaaggag >mm10_chr13:94468118-94468129(-)::chr13:94468117-94468129(-) gagaggaagaag >mm10_chr13:94701998-94702009(-)::chr13:94701997-94702009(-) ATGAGGAAGAAG >mm10_chr13:94705343-94705354(-)::chr13:94705342-94705354(-) ACCAGGAAGTCC >mm10_chr13:94759795-94759806(-)::chr13:94759794-94759806(-) agaaggaagctg >mm10_chr13:94788924-94788935(-)::chr13:94788923-94788935(-) CGCAGGAAGGAG >mm10_chr13:94809937-94809948(-)::chr13:94809936-94809948(-) AGACGGAAATGA >mm10_chr13:94813917-94813928(+)::chr13:94813916-94813928(+) CAAAGGAAGTAA >mm10_chr13:94813930-94813941(-)::chr13:94813929-94813941(-) TCGGGGAAGTAG >mm10_chr13:94825672-94825683(-)::chr13:94825671-94825683(-) GGAGGGAAGTGT >mm10_chr13:94825707-94825718(-)::chr13:94825706-94825718(-) ACCAGGAAGATC >mm10_chr13:94825736-94825747(-)::chr13:94825735-94825747(-) ATGAGGAAGACT >mm10_chr13:94975927-94975938(-)::chr13:94975926-94975938(-) AGCAGGAAGTAT >mm10_chr13:94976940-94976951(-)::chr13:94976939-94976951(-) GGAAGGAAGTGT >mm10_chr13:94976944-94976955(-)::chr13:94976943-94976955(-) ATAAGGAAGGAA >mm10_chr13:94976953-94976964(+)::chr13:94976952-94976964(+) TATAGGAAGCAT >mm10_chr13:94976999-94977010(-)::chr13:94976998-94977010(-) ATGAGGAAGTTA >mm10_chr13:95019561-95019572(-)::chr13:95019560-95019572(-) TTGAGGAAGTGC >mm10_chr13:95019575-95019586(-)::chr13:95019574-95019586(-) ACCAGGAAGAAC >mm10_chr13:95032182-95032193(+)::chr13:95032181-95032193(+) ataaggaaagtg >mm10_chr13:95032223-95032234(-)::chr13:95032222-95032234(-) ggaaggaagctc >mm10_chr13:95032227-95032238(-)::chr13:95032226-95032238(-) gggaggaaggaa >mm10_chr13:95035824-95035835(+)::chr13:95035823-95035835(+) TGAAGGAAGAGG >mm10_chr13:95035846-95035857(+)::chr13:95035845-95035857(+) TCGAGGAAGACT >mm10_chr13:95052056-95052067(+)::chr13:95052055-95052067(+) ACTAGGAAGGAG >mm10_chr13:95052063-95052074(+)::chr13:95052062-95052074(+) AGGAGGAAGAGG >mm10_chr13:95161651-95161662(+)::chr13:95161650-95161662(+) AACAGGAAGCCA >mm10_chr13:95197973-95197984(+)::chr13:95197972-95197984(+) TAAAGGAAGATG >mm10_chr13:95245370-95245381(-)::chr13:95245369-95245381(-) aggaggaagagg >mm10_chr13:95245394-95245405(-)::chr13:95245393-95245405(-) aggaggaagagg >mm10_chr13:95245409-95245420(-)::chr13:95245408-95245420(-) aggaggaagaga >mm10_chr13:95251269-95251280(+)::chr13:95251268-95251280(+) AGAAGGAAAGGA >mm10_chr13:95251277-95251288(+)::chr13:95251276-95251288(+) AGGAGGAAGCGA >mm10_chr13:95274742-95274753(-)::chr13:95274741-95274753(-) CTAAGGAAGCAG >mm10_chr13:95281830-95281841(-)::chr13:95281829-95281841(-) ACAAGGAAAGGA >mm10_chr13:95309610-95309621(-)::chr13:95309609-95309621(-) CGAAGGAAGATT >mm10_chr13:95309627-95309638(+)::chr13:95309626-95309638(+) TTAAGGAAGGAA >mm10_chr13:95309631-95309642(+)::chr13:95309630-95309642(+) GGAAGGAAGCAG >mm10_chr13:95309638-95309649(+)::chr13:95309637-95309649(+) AGCAGGAAGCTC >mm10_chr13:95327200-95327211(-)::chr13:95327199-95327211(-) ACCAGGAAATGT >mm10_chr13:95375394-95375405(+)::chr13:95375393-95375405(+) AAAAGGAAGCGA >mm10_chr13:95493449-95493460(-)::chr13:95493448-95493460(-) AGCCGGAAGTGC >mm10_chr13:95534394-95534405(+)::chr13:95534393-95534405(+) TGAAGGAAGAGT >mm10_chr13:95534456-95534467(+)::chr13:95534455-95534467(+) GGCAGGAAGTGA >mm10_chr13:95554052-95554063(-)::chr13:95554051-95554063(-) ATAAGGAAGTCC >mm10_chr13:95557820-95557831(-)::chr13:95557819-95557831(-) AGAGGGAAGTGC >mm10_chr13:95557831-95557842(-)::chr13:95557830-95557842(-) AGGAGGAAGGCA >mm10_chr13:95557838-95557849(-)::chr13:95557837-95557849(-) AGCAGGAAGGAG >mm10_chr13:95563043-95563054(+)::chr13:95563042-95563054(+) aaaaggaaatgt >mm10_chr13:95623252-95623263(-)::chr13:95623251-95623263(-) AAAAGGAAATGA >mm10_chr13:95623327-95623338(-)::chr13:95623326-95623338(-) ACCAGGAAGTGC >mm10_chr13:95634694-95634705(-)::chr13:95634693-95634705(-) AGCAGGAAGTGG >mm10_chr13:95652498-95652509(-)::chr13:95652497-95652509(-) GCCAGGAAGGAC >mm10_chr13:95652512-95652523(+)::chr13:95652511-95652523(+) TACAGGAAGTGC >mm10_chr13:95671879-95671890(+)::chr13:95671878-95671890(+) ACAAGGAAAGAC >mm10_chr13:95685867-95685878(+)::chr13:95685866-95685878(+) CTGAGGAAGTGA >mm10_chr13:95685900-95685911(-)::chr13:95685899-95685911(-) AAGAGGAAGTGA >mm10_chr13:95697955-95697966(+)::chr13:95697954-95697966(+) AAAAGGAACGAT >mm10_chr13:95708613-95708624(+)::chr13:95708612-95708624(+) agaaggaaatgc >mm10_chr13:95753010-95753021(+)::chr13:95753009-95753021(+) GCCAGGAAATGA >mm10_chr13:95778963-95778974(-)::chr13:95778962-95778974(-) ATGAGGAAGTTG >mm10_chr13:95788835-95788846(+)::chr13:95788834-95788846(+) GGAAGGAAGTGA >mm10_chr13:95869017-95869028(+)::chr13:95869016-95869028(+) AAGAGGAAGGAC >mm10_chr13:95890890-95890901(+)::chr13:95890889-95890901(+) TAAAGGAAATAC >mm10_chr13:95890898-95890909(-)::chr13:95890897-95890909(-) GCTAGGAAGTAT >mm10_chr13:95893068-95893079(+)::chr13:95893067-95893079(+) AGAGGGAAGTCT >mm10_chr13:95910419-95910430(-)::chr13:95910418-95910430(-) CAAAGGAAGAGT >mm10_chr13:95924288-95924299(+)::chr13:95924287-95924299(+) GCCAGGAAGGAA >mm10_chr13:95945962-95945973(+)::chr13:95945961-95945973(+) actaggaagaac >mm10_chr13:95957309-95957320(+)::chr13:95957308-95957320(+) AACAGGACGTGG >mm10_chr13:95957379-95957390(-)::chr13:95957378-95957390(-) CAAAGGAAGTTG >mm10_chr13:95999213-95999224(-)::chr13:95999212-95999224(-) TTGAGGAAGTAT >mm10_chr13:95999279-95999290(+)::chr13:95999278-95999290(+) ATAAGGAACTCC >mm10_chr13:96170416-96170427(-)::chr13:96170415-96170427(-) AGAAGGATGCGT >mm10_chr13:96375715-96375726(-)::chr13:96375714-96375726(-) AGGAGGAAGTTT >mm10_chr13:96433825-96433836(+)::chr13:96433824-96433836(+) AGGAGGAAATGT >mm10_chr13:96437151-96437162(-)::chr13:96437150-96437162(-) aagaggaagtaa >mm10_chr13:96437228-96437239(+)::chr13:96437227-96437239(+) CCAAGGAAGTAA >mm10_chr13:96453295-96453306(+)::chr13:96453294-96453306(+) AGAAGGAAGAAG >mm10_chr13:96453349-96453360(+)::chr13:96453348-96453360(+) AGAAGGAAGAGC >mm10_chr13:96508979-96508990(+)::chr13:96508978-96508990(+) AGCAGGAACTTA >mm10_chr13:96509221-96509232(+)::chr13:96509220-96509232(+) TGAATGAAGTAC >mm10_chr13:96534060-96534071(+)::chr13:96534059-96534071(+) AGAAGGAAGTCT >mm10_chr13:96534141-96534152(+)::chr13:96534140-96534152(+) agcaggaagaag >mm10_chr13:96542570-96542581(-)::chr13:96542569-96542581(-) ACAGGGAAGCCG >mm10_chr13:96542752-96542763(+)::chr13:96542751-96542763(+) GGCAGGAAGATG >mm10_chr13:96574235-96574246(-)::chr13:96574234-96574246(-) ATAAGGAAGACT >mm10_chr13:96588493-96588504(-)::chr13:96588492-96588504(-) accaggaagagc >mm10_chr13:96588728-96588739(-)::chr13:96588727-96588739(-) ccaaggaagtag >mm10_chr13:96670921-96670932(+)::chr13:96670920-96670932(+) ATAAGGAAGGAT >mm10_chr13:96933157-96933168(-)::chr13:96933156-96933168(-) ACAAGGAAAAGG >mm10_chr13:96933461-96933472(+)::chr13:96933460-96933472(+) GACAGGAAGAAA >mm10_chr13:96943879-96943890(-)::chr13:96943878-96943890(-) GAAAGGAAGTGG >mm10_chr13:96943932-96943943(-)::chr13:96943931-96943943(-) ACAAGGAAGGAG >mm10_chr13:96982260-96982271(+)::chr13:96982259-96982271(+) AGCAGGAAGTGC >mm10_chr13:96990777-96990788(+)::chr13:96990776-96990788(+) TAAGGGAAGACG >mm10_chr13:96995455-96995466(+)::chr13:96995454-96995466(+) GACAGGAAGGAA >mm10_chr13:96995459-96995470(+)::chr13:96995458-96995470(+) GGAAGGAAACGG >mm10_chr13:96995473-96995484(-)::chr13:96995472-96995484(-) ATAAGGTAGTAG >mm10_chr13:97060902-97060913(-)::chr13:97060901-97060913(-) ATAAGGAAAGAG >mm10_chr13:97060912-97060923(+)::chr13:97060911-97060923(+) ATAAGGAAGACT >mm10_chr13:97205221-97205232(-)::chr13:97205220-97205232(-) GCACggaagcgt >mm10_chr13:97295271-97295282(-)::chr13:97295270-97295282(-) ATCAGGAAATAG >mm10_chr13:97295619-97295630(-)::chr13:97295618-97295630(-) CCAAGGAAGTCT >mm10_chr13:97310698-97310709(+)::chr13:97310697-97310709(+) CCAAGGAAGGCC >mm10_chr13:97320873-97320884(+)::chr13:97320872-97320884(+) ATCAGGAAGCAG >mm10_chr13:97341495-97341506(+)::chr13:97341494-97341506(+) AGCAGGAAGAGT >mm10_chr13:97442490-97442501(+)::chr13:97442489-97442501(+) TAGAGGAAGAGG >mm10_chr13:97442574-97442585(+)::chr13:97442573-97442585(+) AGGAGGAAGTGG >mm10_chr13:97470152-97470163(+)::chr13:97470151-97470163(+) TGCAGGAAGTCC >mm10_chr13:97537995-97538006(-)::chr13:97537994-97538006(-) AACAGGAAGTGT >mm10_chr13:97546350-97546361(-)::chr13:97546349-97546361(-) AGGAGGAAGTTG >mm10_chr13:97550267-97550278(+)::chr13:97550266-97550278(+) AAAAGGAAGGCC >mm10_chr13:97550357-97550368(+)::chr13:97550356-97550368(+) AGAAGGAAAAGG >mm10_chr13:97598515-97598526(-)::chr13:97598514-97598526(-) aggaggaagaaa >mm10_chr13:97598527-97598538(-)::chr13:97598526-97598538(-) ATCaggaaggaa >mm10_chr13:97645321-97645332(+)::chr13:97645320-97645332(+) ATAAGGAAGAGG >mm10_chr13:97647652-97647663(-)::chr13:97647651-97647663(-) AAGAGGAAATGG >mm10_chr13:97652418-97652429(+)::chr13:97652417-97652429(+) AGCAGGAAGTTG >mm10_chr13:97750311-97750322(+)::chr13:97750310-97750322(+) CGGAGGAAGAAC >mm10_chr13:97750321-97750332(-)::chr13:97750320-97750332(-) GGAGGGAAGTGT >mm10_chr13:97757325-97757336(+)::chr13:97757324-97757336(+) AGCAGGAAGTGG >mm10_chr13:97846380-97846391(-)::chr13:97846379-97846391(-) TGGAGGAAGTCT >mm10_chr13:97923266-97923277(+)::chr13:97923265-97923277(+) ATCAGGAAGTTG >mm10_chr13:97959427-97959438(+)::chr13:97959426-97959438(+) agagggaagtag >mm10_chr13:98028085-98028096(-)::chr13:98028084-98028096(-) GGGAGGAAGCAC >mm10_chr13:98031767-98031778(+)::chr13:98031766-98031778(+) GACAGGAAGACG >mm10_chr13:98062687-98062698(+)::chr13:98062686-98062698(+) AAAAGAAAGTGC >mm10_chr13:98077350-98077361(-)::chr13:98077349-98077361(-) ACAAGGAAGCTT >mm10_chr13:98118102-98118113(-)::chr13:98118101-98118113(-) acaaggaagaag >mm10_chr13:98165172-98165183(-)::chr13:98165171-98165183(-) GGCAGGAAGAGG >mm10_chr13:98176255-98176266(-)::chr13:98176254-98176266(-) GGAAGGAAGTTA >mm10_chr13:98176259-98176270(-)::chr13:98176258-98176270(-) AGCAGGAAGGAA >mm10_chr13:98233398-98233409(+)::chr13:98233397-98233409(+) TTAAGGAAATGT >mm10_chr13:98233430-98233441(+)::chr13:98233429-98233441(+) ACCAGGAAGTTT >mm10_chr13:98263026-98263037(+)::chr13:98263025-98263037(+) CGCGGGAAGATG >mm10_chr13:98316984-98316995(+)::chr13:98316983-98316995(+) GGCCGGAAGTAA >mm10_chr13:98317003-98317014(-)::chr13:98317002-98317014(-) TGGAGGAAGTGG >mm10_chr13:98317018-98317029(+)::chr13:98317017-98317029(+) TTCAGGAAGCGG >mm10_chr13:98397777-98397788(+)::chr13:98397776-98397788(+) acaaagaagTGC >mm10_chr13:98397835-98397846(+)::chr13:98397834-98397846(+) AGAAGGAAGCTC >mm10_chr13:98536807-98536818(+)::chr13:98536806-98536818(+) AGGAGGAAGAGA >mm10_chr13:98536815-98536826(+)::chr13:98536814-98536826(+) GAGAGGAAGGAA >mm10_chr13:98536819-98536830(+)::chr13:98536818-98536830(+) GGAAGGAAGGGC >mm10_chr13:98536840-98536851(+)::chr13:98536839-98536851(+) AGAAGGAAGCAG >mm10_chr13:98697518-98697529(+)::chr13:98697517-98697529(+) ATGAGGAAGCCA >mm10_chr13:98803260-98803271(+)::chr13:98803259-98803271(+) ACAAGGAACTTG >mm10_chr13:98868692-98868703(+)::chr13:98868691-98868703(+) AACAGGAAATTC >mm10_chr13:98872438-98872449(-)::chr13:98872437-98872449(-) GAAAGGAAGATC >mm10_chr13:98872475-98872486(-)::chr13:98872474-98872486(-) AGGAGGAAGGCA >mm10_chr13:98909939-98909950(+)::chr13:98909938-98909950(+) ACACGGAAGGAC >mm10_chr13:98909956-98909967(+)::chr13:98909955-98909967(+) CCAAGGAAGTCA >mm10_chr13:98925700-98925711(+)::chr13:98925699-98925711(+) AAGAGGAAGAAA >mm10_chr13:98944720-98944731(-)::chr13:98944719-98944731(-) GGAAGGAAGTAG >mm10_chr13:98944724-98944735(-)::chr13:98944723-98944735(-) GAGAGGAAGGAA >mm10_chr13:99029088-99029099(+)::chr13:99029087-99029099(+) AGAAGGAAGTTC >mm10_chr13:99158783-99158794(+)::chr13:99158782-99158794(+) ATGAGGAAGTGT >mm10_chr13:99172748-99172759(+)::chr13:99172747-99172759(+) agcaggaagtgg >mm10_chr13:99172792-99172803(-)::chr13:99172791-99172803(-) tgaaggaaatgg >mm10_chr13:99184622-99184633(-)::chr13:99184621-99184633(-) GGGCGGAAGTCA >mm10_chr13:99184641-99184652(-)::chr13:99184640-99184652(-) GGAAGGAAGGGA >mm10_chr13:99184645-99184656(-)::chr13:99184644-99184656(-) AGAAGGAAGGAA >mm10_chr13:99238812-99238823(+)::chr13:99238811-99238823(+) AGAAGGAAGGAT >mm10_chr13:99303640-99303651(-)::chr13:99303639-99303651(-) ataaggaaacaa >mm10_chr13:99307608-99307619(-)::chr13:99307607-99307619(-) AAGAGGAAGACA >mm10_chr13:99307870-99307881(+)::chr13:99307869-99307881(+) AGTAGGAAGTTT >mm10_chr13:99307893-99307904(+)::chr13:99307892-99307904(+) ACAAGGAAAGGA >mm10_chr13:99307898-99307909(+)::chr13:99307897-99307909(+) GAAAGGAAGTCT >mm10_chr13:99328029-99328040(-)::chr13:99328028-99328040(-) AGGAGGAAATAG >mm10_chr13:99328051-99328062(-)::chr13:99328050-99328062(-) AGGAGGAAATAG >mm10_chr13:99344735-99344746(-)::chr13:99344734-99344746(-) CGCCGGAAGTGG >mm10_chr13:99367650-99367661(-)::chr13:99367649-99367661(-) AGCAGGAAGACC >mm10_chr13:99367657-99367668(-)::chr13:99367656-99367668(-) GGAAGGAAGCAG >mm10_chr13:99367716-99367727(-)::chr13:99367715-99367727(-) ATAAGGAAAGAG >mm10_chr13:99368427-99368438(+)::chr13:99368426-99368438(+) ATGGGGAAGTTA >mm10_chr13:99368450-99368461(+)::chr13:99368449-99368461(+) AAAAGGAAGTGC >mm10_chr13:99388167-99388178(-)::chr13:99388166-99388178(-) CAAAGGAAATGA >mm10_chr13:99394851-99394862(+)::chr13:99394850-99394862(+) CAAAGGAAGCAG >mm10_chr13:99394866-99394877(+)::chr13:99394865-99394877(+) GGGAGGAAGGAG >mm10_chr13:99394873-99394884(+)::chr13:99394872-99394884(+) AGGAGGAAGGAA >mm10_chr13:99394877-99394888(+)::chr13:99394876-99394888(+) GGAAGGAAGAAG >mm10_chr13:99394884-99394895(+)::chr13:99394883-99394895(+) AGAAGGAAGGGT >mm10_chr13:99398652-99398663(-)::chr13:99398651-99398663(-) GTAAGGAAGGAG >mm10_chr13:99412871-99412882(+)::chr13:99412870-99412882(+) AGAAGGAAGTAC >mm10_chr13:99434532-99434543(-)::chr13:99434531-99434543(-) GTGAGGAAGGAG >mm10_chr13:99554258-99554269(-)::chr13:99554257-99554269(-) CCCAGGAAGTCT >mm10_chr13:99554570-99554581(-)::chr13:99554569-99554581(-) ACCAGGAAATAG >mm10_chr13:99554624-99554635(-)::chr13:99554623-99554635(-) GCCAGGAAGGAG >mm10_chr13:99748234-99748245(-)::chr13:99748233-99748245(-) ATCAGGAAGTGG >mm10_chr13:99838787-99838798(+)::chr13:99838786-99838798(+) tacaggaagtaa >mm10_chr13:99838797-99838808(+)::chr13:99838796-99838808(+) aaacggaagagg >mm10_chr13:99838823-99838834(-)::chr13:99838822-99838834(-) actaggaagtac >mm10_chr13:99851129-99851140(-)::chr13:99851128-99851140(-) GTAAGGAAATAA >mm10_chr13:99851138-99851149(+)::chr13:99851137-99851149(+) TACAGGAAGGGA >mm10_chr13:99851142-99851153(+)::chr13:99851141-99851153(+) GGAAGGGAGTAG >mm10_chr13:99851156-99851167(+)::chr13:99851155-99851167(+) AGCAGGAAGCAG >mm10_chr13:99921698-99921709(-)::chr13:99921697-99921709(-) GAAAGGAAGTGA >mm10_chr13:99959513-99959524(+)::chr13:99959512-99959524(+) TAAAGGAAATGT >mm10_chr13:99974599-99974610(-)::chr13:99974598-99974610(-) AGAAGGAAAGAG >mm10_chr13:99974606-99974617(-)::chr13:99974605-99974617(-) AACAGGAAGAAG >mm10_chr13:99974621-99974632(-)::chr13:99974620-99974632(-) AAGAGGAAGAGA >mm10_chr13:99975278-99975289(-)::chr13:99975277-99975289(-) accaggaaatga >mm10_chr13:100006231-100006242(+)::chr13:100006230-100006242(+) TCCAGGAAGAAC >mm10_chr13:100015224-100015235(-)::chr13:100015223-100015235(-) AGAAGGAAGTCG >mm10_chr13:100101966-100101977(+)::chr13:100101965-100101977(+) ATAAGGAACAAg >mm10_chr13:100124428-100124439(+)::chr13:100124427-100124439(+) TGAAGGAAATGG >mm10_chr13:100124844-100124855(+)::chr13:100124843-100124855(+) TGACGGAAGTCG >mm10_chr13:100148593-100148604(+)::chr13:100148592-100148604(+) AGCAGGAAGGAG >mm10_chr13:100148608-100148619(+)::chr13:100148607-100148619(+) ATGAGGAAGTAG >mm10_chr13:100511875-100511886(-)::chr13:100511874-100511886(-) AAAAGGAAGCGT >mm10_chr13:100511884-100511895(+)::chr13:100511883-100511895(+) TTTAGGAAGTTC >mm10_chr13:100544343-100544354(+)::chr13:100544342-100544354(+) GGAAGGAACGGA >mm10_chr13:100544405-100544416(-)::chr13:100544404-100544416(-) GGCAGGAAGTGC >mm10_chr13:100548709-100548720(-)::chr13:100548708-100548720(-) TTCAGGAAGTGC >mm10_chr13:100555350-100555361(-)::chr13:100555349-100555361(-) AAGAGGAAGAAG >mm10_chr13:100555391-100555402(-)::chr13:100555390-100555402(-) agaaggaagaag >mm10_chr13:100555406-100555417(-)::chr13:100555405-100555417(-) aggaggaagagg >mm10_chr13:100565739-100565750(-)::chr13:100565738-100565750(-) GGAAGGGAGTAA >mm10_chr13:100565758-100565769(+)::chr13:100565757-100565769(+) AGAAGGAAAAAA >mm10_chr13:100576523-100576534(+)::chr13:100576522-100576534(+) atgaggaagagg >mm10_chr13:100576529-100576540(+)::chr13:100576528-100576540(+) aagaggaagagg >mm10_chr13:100586172-100586183(-)::chr13:100586171-100586183(-) aggaggaAGTAG >mm10_chr13:100586187-100586198(-)::chr13:100586186-100586198(-) aggaggaagagg >mm10_chr13:100586220-100586231(-)::chr13:100586219-100586231(-) aaaaggaagggg >mm10_chr13:100586232-100586243(-)::chr13:100586231-100586243(-) AATaggaagaag >mm10_chr13:100594249-100594260(-)::chr13:100594248-100594260(-) GGAAGGAAGGTG >mm10_chr13:100594253-100594264(-)::chr13:100594252-100594264(-) ATGAGGAAGGAA >mm10_chr13:100616727-100616738(+)::chr13:100616726-100616738(+) GGAAGGACGGCG >mm10_chr13:100650938-100650949(-)::chr13:100650937-100650949(-) GTAAGGACGCCG >mm10_chr13:100651080-100651091(-)::chr13:100651079-100651091(-) TCGCGGAAGCGC >mm10_chr13:100670229-100670240(+)::chr13:100670228-100670240(+) ctacggaagtgt >mm10_chr13:100676576-100676587(+)::chr13:100676575-100676587(+) AGAAGGAAAGAA >mm10_chr13:100713483-100713494(-)::chr13:100713482-100713494(-) TGAAGGAAGTCA >mm10_chr13:100713525-100713536(-)::chr13:100713524-100713536(-) AGGAGGAAGCTG >mm10_chr13:100795843-100795854(-)::chr13:100795842-100795854(-) aggaggaagaTA >mm10_chr13:100795856-100795867(-)::chr13:100795855-100795867(-) aggaggaagaag >mm10_chr13:100795863-100795874(-)::chr13:100795862-100795874(-) aagaggaaggag >mm10_chr13:100795872-100795883(-)::chr13:100795871-100795883(-) aggaggaagaag >mm10_chr13:100795879-100795890(-)::chr13:100795878-100795890(-) aagaggaaggag >mm10_chr13:100808413-100808424(-)::chr13:100808412-100808424(-) TGGAGGAAGAGG >mm10_chr13:100933385-100933396(-)::chr13:100933384-100933396(-) ATAAGGAAGACC >mm10_chr13:100933415-100933426(+)::chr13:100933414-100933426(+) TGAAGGAAGGAG >mm10_chr13:101055188-101055199(+)::chr13:101055187-101055199(+) TGGAGGAAATGG >mm10_chr13:101068511-101068522(+)::chr13:101068510-101068522(+) GAAAGGAAGATT >mm10_chr13:101068530-101068541(+)::chr13:101068529-101068541(+) ACACGGATGTAC >mm10_chr13:101069208-101069219(+)::chr13:101069207-101069219(+) ACCAGGAAGAAT >mm10_chr13:101069244-101069255(-)::chr13:101069243-101069255(-) ACAAGGAAGTTG >mm10_chr13:101083603-101083614(-)::chr13:101083602-101083614(-) ataaggaagaat >mm10_chr13:101092650-101092661(-)::chr13:101092649-101092661(-) GCGAGGAAGAAA >mm10_chr13:101096957-101096968(+)::chr13:101096956-101096968(+) AGAAGGAAATAG >mm10_chr13:101122976-101122987(-)::chr13:101122975-101122987(-) accaggaaataa >mm10_chr13:101123019-101123030(-)::chr13:101123018-101123030(-) gagaggaagtaa >mm10_chr13:101176127-101176138(-)::chr13:101176126-101176138(-) ATAAGCAAGTCC >mm10_chr13:101221748-101221759(+)::chr13:101221747-101221759(+) ATGAGGAAGAAA >mm10_chr13:101281937-101281948(+)::chr13:101281936-101281948(+) tcaaggaagctg >mm10_chr13:101424814-101424825(-)::chr13:101424813-101424825(-) agcaggaagcaa >mm10_chr13:101462925-101462936(-)::chr13:101462924-101462936(-) ACAAGGAAGAGA >mm10_chr13:101462959-101462970(-)::chr13:101462958-101462970(-) AGGAGGAAGAAA >mm10_chr13:101506823-101506834(-)::chr13:101506822-101506834(-) GCAAGGAAGACC >mm10_chr13:101506845-101506856(-)::chr13:101506844-101506856(-) AGAAGGAAATGT >mm10_chr13:101510153-101510164(+)::chr13:101510152-101510164(+) GGAAggaagtga >mm10_chr13:101510185-101510196(-)::chr13:101510184-101510196(-) aggaggaagagt >mm10_chr13:101513363-101513374(-)::chr13:101513362-101513374(-) AACAGGAAGAAG >mm10_chr13:101524426-101524437(-)::chr13:101524425-101524437(-) AGAAGGAAAATA >mm10_chr13:101540458-101540469(-)::chr13:101540457-101540469(-) AACAGGAAGTGT >mm10_chr13:101540497-101540508(-)::chr13:101540496-101540508(-) AATAGGAAGCAA >mm10_chr13:101544678-101544689(-)::chr13:101544677-101544689(-) CCAAGGAAGTGC >mm10_chr13:101569502-101569513(-)::chr13:101569501-101569513(-) aaaaggaggtat >mm10_chr13:101586822-101586833(-)::chr13:101586821-101586833(-) AAAAGGAAGAGG >mm10_chr13:101588720-101588731(-)::chr13:101588719-101588731(-) GGAAGGAAATTC >mm10_chr13:101589046-101589057(+)::chr13:101589045-101589057(+) AAAAGGAAATAA >mm10_chr13:101605333-101605344(+)::chr13:101605332-101605344(+) GCCAGGAAATAA >mm10_chr13:101605381-101605392(-)::chr13:101605380-101605392(-) ACAAGGAAGGTA >mm10_chr13:101613592-101613603(+)::chr13:101613591-101613603(+) aagaggaagagg >mm10_chr13:101613598-101613609(+)::chr13:101613597-101613609(+) aagaggaagaag >mm10_chr13:101613607-101613618(+)::chr13:101613606-101613618(+) aagaggaagagg >mm10_chr13:101613613-101613624(+)::chr13:101613612-101613624(+) aagaggaagaaA >mm10_chr13:101619221-101619232(+)::chr13:101619220-101619232(+) acaaggaagact >mm10_chr13:101624254-101624265(-)::chr13:101624253-101624265(-) GTGAGGAAGGAG >mm10_chr13:101699986-101699997(+)::chr13:101699985-101699997(+) AGGAGGAAGTGA >mm10_chr13:101700749-101700760(-)::chr13:101700748-101700760(-) AGCAGGAAGAGC >mm10_chr13:101700765-101700776(-)::chr13:101700764-101700776(-) TCCAGGAAGTTC >mm10_chr13:101719454-101719465(-)::chr13:101719453-101719465(-) ACAAAGAAGTAG >mm10_chr13:101719473-101719484(+)::chr13:101719472-101719484(+) AACAGGAAGTGA >mm10_chr13:101740056-101740067(+)::chr13:101740055-101740067(+) GGGAGGAAGGAG >mm10_chr13:101740063-101740074(+)::chr13:101740062-101740074(+) AGGAGGAAGTCT >mm10_chr13:101745970-101745981(+)::chr13:101745969-101745981(+) AGAAGGAAAAAA >mm10_chr13:101746007-101746018(-)::chr13:101746006-101746018(-) ACCAGGAAGACT >mm10_chr13:101754462-101754473(-)::chr13:101754461-101754473(-) AGAAGGAAGACT >mm10_chr13:101759405-101759416(+)::chr13:101759404-101759416(+) AAAAGGAAGTAG >mm10_chr13:101759429-101759440(+)::chr13:101759428-101759440(+) CTACGGAAGTAC >mm10_chr13:101760407-101760418(-)::chr13:101760406-101760418(-) TTGAGGAAGTGC >mm10_chr13:101760461-101760472(+)::chr13:101760460-101760472(+) AACAGGAAATGC >mm10_chr13:101764291-101764302(+)::chr13:101764290-101764302(+) AGAAGGAAAGGG >mm10_chr13:101779524-101779535(-)::chr13:101779523-101779535(-) ACAAGGATGTAT >mm10_chr13:101779585-101779596(-)::chr13:101779584-101779596(-) AGGAGGAAGTGG >mm10_chr13:101801389-101801400(-)::chr13:101801388-101801400(-) AGAAGGAAGTTG >mm10_chr13:101812174-101812185(+)::chr13:101812173-101812185(+) AGAGGGAAGTGG >mm10_chr13:101813956-101813967(-)::chr13:101813955-101813967(-) GCAAGGAAGTAG >mm10_chr13:101858131-101858142(-)::chr13:101858130-101858142(-) ACCAGGAAGTCA >mm10_chr13:101867026-101867037(+)::chr13:101867025-101867037(+) AACAGGAAGCAA >mm10_chr13:101949873-101949884(-)::chr13:101949872-101949884(-) GCAAGGAAGACA >mm10_chr13:101965910-101965921(+)::chr13:101965909-101965921(+) AAGAGGAAGTGC >mm10_chr13:101973237-101973248(+)::chr13:101973236-101973248(+) TCAAGGAAGTCA >mm10_chr13:101973307-101973318(+)::chr13:101973306-101973318(+) ACAGGGAAGTAG >mm10_chr13:101988014-101988025(+)::chr13:101988013-101988025(+) ATCAGGAAGCTG >mm10_chr13:101988752-101988763(+)::chr13:101988751-101988763(+) ACCAGGAAATGA >mm10_chr13:101989569-101989580(+)::chr13:101989568-101989580(+) gtcaggaagtcc >mm10_chr13:101989595-101989606(-)::chr13:101989594-101989606(-) acaaggaaatag >mm10_chr13:101989617-101989628(-)::chr13:101989616-101989628(-) ggaatgaagtaa >mm10_chr13:101995083-101995094(+)::chr13:101995082-101995094(+) AAAAGGAAGGTG >mm10_chr13:101996115-101996126(+)::chr13:101996114-101996126(+) GAGAGGAAGGAA >mm10_chr13:101996119-101996130(+)::chr13:101996118-101996130(+) GGAAGGAAGGCG >mm10_chr13:101996558-101996569(-)::chr13:101996557-101996569(-) TTAAGGAAGAGA >mm10_chr13:102037789-102037800(-)::chr13:102037788-102037800(-) tgaaggaaggtg >mm10_chr13:102089742-102089753(+)::chr13:102089741-102089753(+) ATAACGAAGTTT >mm10_chr13:102094385-102094396(+)::chr13:102094384-102094396(+) aagaggaagcta >mm10_chr13:102094416-102094427(-)::chr13:102094415-102094427(-) agcaggaagaac >mm10_chr13:102134499-102134510(+)::chr13:102134498-102134510(+) TGGAGGAAGTGC >mm10_chr13:102207512-102207523(-)::chr13:102207511-102207523(-) TAAAGGAAGTAA >mm10_chr13:102217525-102217536(+)::chr13:102217524-102217536(+) GGCAGGAAGGTC >mm10_chr13:102232665-102232676(+)::chr13:102232664-102232676(+) AGAAGGAATTTC >mm10_chr13:102239882-102239893(+)::chr13:102239881-102239893(+) TGCAGGAAGCAT >mm10_chr13:102255456-102255467(+)::chr13:102255455-102255467(+) ACCAGGAAGGAA >mm10_chr13:102259670-102259681(-)::chr13:102259669-102259681(-) aagaggaagtgg >mm10_chr13:102281283-102281294(-)::chr13:102281282-102281294(-) ttaaggaaatcc >mm10_chr13:102356269-102356280(+)::chr13:102356268-102356280(+) aaaaggaagctg >mm10_chr13:102395459-102395470(-)::chr13:102395458-102395470(-) accaggaagaaa >mm10_chr13:102429442-102429453(+)::chr13:102429441-102429453(+) ataaggaacaaa >mm10_chr13:102429455-102429466(+)::chr13:102429454-102429466(+) accaggaagatt >mm10_chr13:102506619-102506630(+)::chr13:102506618-102506630(+) agaaggaagaag >mm10_chr13:102506631-102506642(+)::chr13:102506630-102506642(+) aggaggaagagg >mm10_chr13:102506675-102506686(+)::chr13:102506674-102506686(+) agaaggaaaaga >mm10_chr13:102537595-102537606(-)::chr13:102537594-102537606(-) GTGAGGAAATAC >mm10_chr13:102573327-102573338(+)::chr13:102573326-102573338(+) AAGAGGAAGTAG >mm10_chr13:102578827-102578838(-)::chr13:102578826-102578838(-) ACCAGGAAGGGT >mm10_chr13:102578848-102578859(-)::chr13:102578847-102578859(-) GTGAGGAAGTAC >mm10_chr13:102582785-102582796(+)::chr13:102582784-102582796(+) GTAAGGAATTCA >mm10_chr13:102582837-102582848(+)::chr13:102582836-102582848(+) AACAGGAAATTA >mm10_chr13:102584699-102584710(+)::chr13:102584698-102584710(+) AGAAGGAAGTAT >mm10_chr13:102592065-102592076(-)::chr13:102592064-102592076(-) AAGAGGAAATAT >mm10_chr13:102592126-102592137(+)::chr13:102592125-102592137(+) GCAGGGAAGTTC >mm10_chr13:102625080-102625091(-)::chr13:102625079-102625091(-) GGGAGGAAGAGC >mm10_chr13:102644333-102644344(-)::chr13:102644332-102644344(-) ACACGGAAGATG >mm10_chr13:102646560-102646571(-)::chr13:102646559-102646571(-) ACCAGGAAGCAG >mm10_chr13:102658642-102658653(-)::chr13:102658641-102658653(-) AGAAGGATGCCG >mm10_chr13:102700341-102700352(+)::chr13:102700340-102700352(+) AGCAGGAAATAG >mm10_chr13:102700362-102700373(+)::chr13:102700361-102700373(+) AAAAGGAACTAA >mm10_chr13:102745144-102745155(+)::chr13:102745143-102745155(+) ACAAGGAAGCTG >mm10_chr13:102770340-102770351(+)::chr13:102770339-102770351(+) AAAAGGAAATGG >mm10_chr13:102779737-102779748(-)::chr13:102779736-102779748(-) AGCAGGAAGAAG >mm10_chr13:102846687-102846698(+)::chr13:102846686-102846698(+) AGCAGGAAGGGC >mm10_chr13:102857637-102857648(-)::chr13:102857636-102857648(-) ACAAGGAAGAAA >mm10_chr13:102860913-102860924(+)::chr13:102860912-102860924(+) accaggaagtaa >mm10_chr13:102889024-102889035(-)::chr13:102889023-102889035(-) AGAAGGAAGAAA >mm10_chr13:102896058-102896069(-)::chr13:102896057-102896069(-) GTAGGGAAGTCC >mm10_chr13:102898906-102898917(+)::chr13:102898905-102898917(+) GAAAGGAAGCAG >mm10_chr13:102903927-102903938(-)::chr13:102903926-102903938(-) ATAAGGAAGCCC >mm10_chr13:103115345-103115356(+)::chr13:103115344-103115356(+) GCAAGGAAGGAA >mm10_chr13:103144731-103144742(-)::chr13:103144730-103144742(-) AAAAGGAAGGGC >mm10_chr13:103193287-103193298(-)::chr13:103193286-103193298(-) GCAAGGAAGAAT >mm10_chr13:103276346-103276357(+)::chr13:103276345-103276357(+) agagggaaggga >mm10_chr13:103345704-103345715(+)::chr13:103345703-103345715(+) AAGAGGAAGTCT >mm10_chr13:103366975-103366986(+)::chr13:103366974-103366986(+) ggcaggaagtat >mm10_chr13:103366992-103367003(-)::chr13:103366991-103367003(-) taaaggaagtga >mm10_chr13:103396332-103396343(+)::chr13:103396331-103396343(+) AAGAGGAAGTCA >mm10_chr13:103396386-103396397(+)::chr13:103396385-103396397(+) AACAGGAAATAT >mm10_chr13:103648538-103648549(+)::chr13:103648537-103648549(+) GAAAGGAAATAC >mm10_chr13:103698339-103698350(-)::chr13:103698338-103698350(-) TGCAGGAAGTGG >mm10_chr13:103700499-103700510(-)::chr13:103700498-103700510(-) ATAAGGAAATAT >mm10_chr13:103700980-103700991(+)::chr13:103700979-103700991(+) ACCAGGAAATGC >mm10_chr13:103701174-103701185(+)::chr13:103701173-103701185(+) TCCAGGAAGAGA >mm10_chr13:103710153-103710164(-)::chr13:103710152-103710164(-) CTGAGGAAGTCC >mm10_chr13:103768113-103768124(-)::chr13:103768112-103768124(-) AAAAGGAAACGA >mm10_chr13:103782934-103782945(-)::chr13:103782933-103782945(-) agcaggaagtag >mm10_chr13:103919425-103919436(-)::chr13:103919424-103919436(-) GAAGGGAAGCGA >mm10_chr13:103920475-103920486(+)::chr13:103920474-103920486(+) CGAAGGAAAAAA >mm10_chr13:103945057-103945068(+)::chr13:103945056-103945068(+) aggaggaagaag >mm10_chr13:103945069-103945080(+)::chr13:103945068-103945080(+) aagaggaagaag >mm10_chr13:103945084-103945095(+)::chr13:103945083-103945095(+) aggaggaagaat >mm10_chr13:103945110-103945121(+)::chr13:103945109-103945121(+) agaaggaagagg >mm10_chr13:103945119-103945130(+)::chr13:103945118-103945130(+) aggaggaagaTC >mm10_chr13:103957370-103957381(-)::chr13:103957369-103957381(-) accaggaaatac >mm10_chr13:103974151-103974162(-)::chr13:103974150-103974162(-) AAGAGGAAGTGG >mm10_chr13:104032713-104032724(+)::chr13:104032712-104032724(+) AAAAGGAAGTGA >mm10_chr13:104036586-104036597(+)::chr13:104036585-104036597(+) ACAGGGAAGTCC >mm10_chr13:104040095-104040106(+)::chr13:104040094-104040106(+) AAAAGGAAATGT >mm10_chr13:104057612-104057623(-)::chr13:104057611-104057623(-) ggaaggaaggaG >mm10_chr13:104057616-104057627(-)::chr13:104057615-104057627(-) ggaaggaaggaa >mm10_chr13:104057620-104057631(-)::chr13:104057619-104057631(-) ggaaggaaggaa >mm10_chr13:104057624-104057635(-)::chr13:104057623-104057635(-) ggaaggaaggaa >mm10_chr13:104057628-104057639(-)::chr13:104057627-104057639(-) ggaaggaaggaa >mm10_chr13:104057632-104057643(-)::chr13:104057631-104057643(-) ggaaggaaggaa >mm10_chr13:104057636-104057647(-)::chr13:104057635-104057647(-) ggaaggaaggaa >mm10_chr13:104057640-104057651(-)::chr13:104057639-104057651(-) ggaaggaaggaa >mm10_chr13:104057644-104057655(-)::chr13:104057643-104057655(-) ggaaggaaggaa >mm10_chr13:104057648-104057659(-)::chr13:104057647-104057659(-) ggaaggaaggaa >mm10_chr13:104057652-104057663(-)::chr13:104057651-104057663(-) ggaaggaaggaa >mm10_chr13:104057656-104057667(-)::chr13:104057655-104057667(-) ACaaggaaggaa >mm10_chr13:104070841-104070852(-)::chr13:104070840-104070852(-) GGAAGGAAATGG >mm10_chr13:104070845-104070856(-)::chr13:104070844-104070856(-) GGAAGGAAGGAA >mm10_chr13:104070849-104070860(-)::chr13:104070848-104070860(-) TAAAGGAAGGAA >mm10_chr13:104075029-104075040(+)::chr13:104075028-104075040(+) TTAAGGAAGTGA >mm10_chr13:104080959-104080970(+)::chr13:104080958-104080970(+) TTCAGGAAGTAT >mm10_chr13:104087487-104087498(+)::chr13:104087486-104087498(+) AGGCGGAAGTGT >mm10_chr13:104178003-104178014(+)::chr13:104178002-104178014(+) AGCAGGAAGGCG >mm10_chr13:104191808-104191819(+)::chr13:104191807-104191819(+) acgagcaagtac >mm10_chr13:104227612-104227623(+)::chr13:104227611-104227623(+) TTCAGGAAGTCA >mm10_chr13:104286842-104286853(+)::chr13:104286841-104286853(+) AGGAGGAAATAG >mm10_chr13:104287162-104287173(-)::chr13:104287161-104287173(-) AGCAGGAAGGGC >mm10_chr13:104432876-104432887(+)::chr13:104432875-104432887(+) atagggaagtga >mm10_chr13:104440995-104441006(-)::chr13:104440994-104441006(-) GAAAGGAAGTAT >mm10_chr13:104445730-104445741(-)::chr13:104445729-104445741(-) AGAAGGAAGATG >mm10_chr13:104542526-104542537(+)::chr13:104542525-104542537(+) AGGAGGAAGGAC >mm10_chr13:104542545-104542556(-)::chr13:104542544-104542556(-) GGAAGGAAGCAG >mm10_chr13:104542549-104542560(-)::chr13:104542548-104542560(-) AGGAGGAAGGAA >mm10_chr13:104542556-104542567(-)::chr13:104542555-104542567(-) GGCAGGAAGGAG >mm10_chr13:104542602-104542613(-)::chr13:104542601-104542613(-) GCAAGGAAGCAC >mm10_chr13:104564060-104564071(-)::chr13:104564059-104564071(-) AGGAGGAAGGGC >mm10_chr13:104727839-104727850(-)::chr13:104727838-104727850(-) AAGAGGAAGTAT >mm10_chr13:104727906-104727917(-)::chr13:104727905-104727917(-) AGAAGGAAGTAA >mm10_chr13:104741408-104741419(+)::chr13:104741407-104741419(+) AAAaggaagagg >mm10_chr13:104741426-104741437(+)::chr13:104741425-104741437(+) agaaggaagagg >mm10_chr13:104741432-104741443(+)::chr13:104741431-104741443(+) aagaggaagagg >mm10_chr13:104785715-104785726(-)::chr13:104785714-104785726(-) GTAAGGAAATGA >mm10_chr13:104785725-104785736(+)::chr13:104785724-104785736(+) ACAAGGAAATGA >mm10_chr13:104785752-104785763(+)::chr13:104785751-104785763(+) ATGAGGAAGTTA >mm10_chr13:104794591-104794602(-)::chr13:104794590-104794602(-) ATGAGGAAGGAG >mm10_chr13:104910515-104910526(-)::chr13:104910514-104910526(-) AAACGGAAGTGG >mm10_chr13:105055638-105055649(+)::chr13:105055637-105055649(+) GGAAGGAAGTAG >mm10_chr13:105278784-105278795(+)::chr13:105278783-105278795(+) AAAAGGAAGGAT >mm10_chr13:105310812-105310823(+)::chr13:105310811-105310823(+) atagggaagtgt >mm10_chr13:105473338-105473349(+)::chr13:105473337-105473349(+) aagaggaaatta >mm10_chr13:105595013-105595024(-)::chr13:105595012-105595024(-) agaaggaagaag >mm10_chr13:105595020-105595031(-)::chr13:105595019-105595031(-) agaaggaagaag >mm10_chr13:105595034-105595045(-)::chr13:105595033-105595045(-) agaaggaagaag >mm10_chr13:105595041-105595052(-)::chr13:105595040-105595052(-) agaaggaagaag >mm10_chr13:105595048-105595059(-)::chr13:105595047-105595059(-) agaaggaagaag >mm10_chr13:105595055-105595066(-)::chr13:105595054-105595066(-) aagaggaagaag >mm10_chr13:105595061-105595072(-)::chr13:105595060-105595072(-) aggaggaagagg >mm10_chr13:106343150-106343161(-)::chr13:106343149-106343161(-) AAAAGGAAGCAT >mm10_chr13:106745398-106745409(-)::chr13:106745397-106745409(-) TCGAGGAAGTGA >mm10_chr13:106746830-106746841(-)::chr13:106746829-106746841(-) AGAAGGATGTGT >mm10_chr13:106746837-106746848(-)::chr13:106746836-106746848(-) AGGAGGAAGAAG >mm10_chr13:106760673-106760684(+)::chr13:106760672-106760684(+) AAGAGGAAGAGG >mm10_chr13:106811082-106811093(+)::chr13:106811081-106811093(+) agaaggaaggaa >mm10_chr13:106811097-106811108(+)::chr13:106811096-106811108(+) aggaggaagagg >mm10_chr13:106811103-106811114(+)::chr13:106811102-106811114(+) aagaggaagaag >mm10_chr13:106811130-106811141(+)::chr13:106811129-106811141(+) aggaggaagagT >mm10_chr13:106908064-106908075(+)::chr13:106908063-106908075(+) gacaggaaggag >mm10_chr13:106908071-106908082(+)::chr13:106908070-106908082(+) aggaggaaggaa >mm10_chr13:106998766-106998777(-)::chr13:106998765-106998777(-) TATAGGAAATGG >mm10_chr13:106998805-106998816(-)::chr13:106998804-106998816(-) ATGAGGAAGTGG >mm10_chr13:107014722-107014733(-)::chr13:107014721-107014733(-) CTAAGGAAGTAG >mm10_chr13:107042611-107042622(+)::chr13:107042610-107042622(+) GCAAGGAAGTGA >mm10_chr13:107056489-107056500(-)::chr13:107056488-107056500(-) tggaggaagtga >mm10_chr13:107088600-107088611(-)::chr13:107088599-107088611(-) acaaggaagagg >mm10_chr13:107101149-107101160(+)::chr13:107101148-107101160(+) atgaggaagcAG >mm10_chr13:107101639-107101650(+)::chr13:107101638-107101650(+) GAAAGGAATACG >mm10_chr13:107124358-107124369(-)::chr13:107124357-107124369(-) AAGAGGAAGTCG >mm10_chr13:107124378-107124389(+)::chr13:107124377-107124389(+) AGTAGGAAGAAC >mm10_chr13:107146840-107146851(-)::chr13:107146839-107146851(-) agcaggatgtga >mm10_chr13:107168871-107168882(+)::chr13:107168870-107168882(+) AAAGGGAAGTGG >mm10_chr13:107169031-107169042(-)::chr13:107169030-107169042(-) GGGAGGAAGTGA >mm10_chr13:107169041-107169052(-)::chr13:107169040-107169052(-) GGAAGGAGGTGG >mm10_chr13:107256696-107256707(+)::chr13:107256695-107256707(+) ACCCGGAAGTAA >mm10_chr13:107261327-107261338(+)::chr13:107261326-107261338(+) AGGAGGAAGGGC >mm10_chr13:107288015-107288026(+)::chr13:107288014-107288026(+) AGCAGGAACTAA >mm10_chr13:107304339-107304350(-)::chr13:107304338-107304350(-) AAGAGGAAGTTA >mm10_chr13:107315144-107315155(+)::chr13:107315143-107315155(+) AGGAGGAAGAGG >mm10_chr13:107345818-107345829(+)::chr13:107345817-107345829(+) gcaaggaagaag >mm10_chr13:107345825-107345836(+)::chr13:107345824-107345836(+) agaaggaagcac >mm10_chr13:107362172-107362183(-)::chr13:107362171-107362183(-) AAGAGGAAGTCT >mm10_chr13:107389302-107389313(-)::chr13:107389301-107389313(-) AGAGGGAAGTTG >mm10_chr13:107391865-107391876(-)::chr13:107391864-107391876(-) ataaggaaataa >mm10_chr13:107394758-107394769(-)::chr13:107394757-107394769(-) AGAGGGAAGACG >mm10_chr13:107394776-107394787(-)::chr13:107394775-107394787(-) GTAAGGAAGGTG >mm10_chr13:107399260-107399271(+)::chr13:107399259-107399271(+) aataggaagtac >mm10_chr13:107399290-107399301(+)::chr13:107399289-107399301(+) atcaggaaatga >mm10_chr13:107411006-107411017(+)::chr13:107411005-107411017(+) tagaggaagtga >mm10_chr13:107529033-107529044(-)::chr13:107529032-107529044(-) AACGGGAAGTGT >mm10_chr13:107529063-107529074(-)::chr13:107529062-107529074(-) ACTAGGAAGAGA >mm10_chr13:107628827-107628838(-)::chr13:107628826-107628838(-) AGAAGGAAGTTG >mm10_chr13:107631512-107631523(-)::chr13:107631511-107631523(-) ATAGGGAAGTGG >mm10_chr13:107646240-107646251(+)::chr13:107646239-107646251(+) GACAGGAAGGCG >mm10_chr13:107676290-107676301(+)::chr13:107676289-107676301(+) ATGAGGAAGGTT >mm10_chr13:107676596-107676607(-)::chr13:107676595-107676607(-) aacaggaagtga >mm10_chr13:107677011-107677022(-)::chr13:107677010-107677022(-) AGCAGGAAGTGG >mm10_chr13:107700452-107700463(+)::chr13:107700451-107700463(+) TACAGGAAATGA >mm10_chr13:107710455-107710466(+)::chr13:107710454-107710466(+) AGCAGGAAGGAA >mm10_chr13:107721145-107721156(-)::chr13:107721144-107721156(-) TCAGGGAAGTGG >mm10_chr13:107779818-107779829(+)::chr13:107779817-107779829(+) CCAAGGAAGGAA >mm10_chr13:107779822-107779833(+)::chr13:107779821-107779833(+) GGAAGGAAATGA >mm10_chr13:107826537-107826548(-)::chr13:107826536-107826548(-) ACCAGGAAGTTG >mm10_chr13:107831486-107831497(-)::chr13:107831485-107831497(-) AAAAGGAAGTGC >mm10_chr13:107838250-107838261(+)::chr13:107838249-107838261(+) ATGAGGAAGTGG >mm10_chr13:107855766-107855777(+)::chr13:107855765-107855777(+) cgacggaagcgg >mm10_chr13:107890102-107890113(+)::chr13:107890101-107890113(+) ACAAGGAAGCGC >mm10_chr13:107945043-107945054(+)::chr13:107945042-107945054(+) gacaggaaggat >mm10_chr13:107945073-107945084(+)::chr13:107945072-107945084(+) cagaggaagcgg >mm10_chr13:107945450-107945461(+)::chr13:107945449-107945461(+) aaaaggaaggaa >mm10_chr13:107945454-107945465(+)::chr13:107945453-107945465(+) ggaaggaagaag >mm10_chr13:107954394-107954405(+)::chr13:107954393-107954405(+) GCGAGGAAGGGA >mm10_chr13:107954413-107954424(+)::chr13:107954412-107954424(+) AAAAGGAAGGAA >mm10_chr13:107954417-107954428(+)::chr13:107954416-107954428(+) GGAAGGAAGAAG >mm10_chr13:107956487-107956498(-)::chr13:107956486-107956498(-) ggcaggaaggcc >mm10_chr13:107994395-107994406(-)::chr13:107994394-107994406(-) AGAAGGACGAGA >mm10_chr13:108015300-108015311(-)::chr13:108015299-108015311(-) AGCAGGAAGTAA >mm10_chr13:108036759-108036770(-)::chr13:108036758-108036770(-) AGAAGGAAAACG >mm10_chr13:108095964-108095975(-)::chr13:108095963-108095975(-) AGCAGGAAGGGA >mm10_chr13:108120071-108120082(+)::chr13:108120070-108120082(+) actaggaagtag >mm10_chr13:108158979-108158990(+)::chr13:108158978-108158990(+) AGGAGGAAGACC >mm10_chr13:108187925-108187936(-)::chr13:108187924-108187936(-) TACAGGAAGCAA >mm10_chr13:108188188-108188199(-)::chr13:108188187-108188199(-) ATCAGGAAGTGG >mm10_chr13:108199864-108199875(+)::chr13:108199863-108199875(+) GGGAGGAAGGAA >mm10_chr13:108199868-108199879(+)::chr13:108199867-108199879(+) GGAAGGAAGGAT >mm10_chr13:108199883-108199894(+)::chr13:108199882-108199894(+) ACAAGGAAATGG >mm10_chr13:108214879-108214890(-)::chr13:108214878-108214890(-) AGCAGGAAGAAG >mm10_chr13:108240247-108240258(+)::chr13:108240246-108240258(+) TGCAGGAAGCGC >mm10_chr13:108273479-108273490(+)::chr13:108273478-108273490(+) ACAAGGAAATTG >mm10_chr13:108283968-108283979(-)::chr13:108283967-108283979(-) AAAAGGAAATAA >mm10_chr13:108315955-108315966(-)::chr13:108315954-108315966(-) GAAAGGAAGCGA >mm10_chr13:108315975-108315986(-)::chr13:108315974-108315986(-) AAGAGGAAGAAG >mm10_chr13:108338620-108338631(-)::chr13:108338619-108338631(-) CAGAGGAAGTGG >mm10_chr13:108338656-108338667(-)::chr13:108338655-108338667(-) AACAGGAAATGG >mm10_chr13:108346620-108346631(+)::chr13:108346619-108346631(+) ACAAGGAAGAAT >mm10_chr13:108489639-108489650(-)::chr13:108489638-108489650(-) AGAAGGAACTTA >mm10_chr13:108498237-108498248(-)::chr13:108498236-108498248(-) GAAAGGAAATGA >mm10_chr13:108526073-108526084(+)::chr13:108526072-108526084(+) TTAAGGAAGAGG >mm10_chr13:108526106-108526117(+)::chr13:108526105-108526117(+) AGAAGGAAAACA >mm10_chr13:108553213-108553224(-)::chr13:108553212-108553224(-) GGAAGAAAGTGG >mm10_chr13:108553217-108553228(-)::chr13:108553216-108553228(-) AGAAGGAAGAAA >mm10_chr13:108553224-108553235(-)::chr13:108553223-108553235(-) GGAAGGAAGAAG >mm10_chr13:108599319-108599330(-)::chr13:108599318-108599330(-) ACAAGGAAAAGA >mm10_chr13:108651503-108651514(-)::chr13:108651502-108651514(-) AGAAGGAAGACA >mm10_chr13:108651566-108651577(-)::chr13:108651565-108651577(-) ACGAGGAAGCTG >mm10_chr13:108742057-108742068(+)::chr13:108742056-108742068(+) attaggaagtgt >mm10_chr13:108846784-108846795(+)::chr13:108846783-108846795(+) TAAGGGAAGTGC >mm10_chr13:109036577-109036588(+)::chr13:109036576-109036588(+) ATAAGGAAATAG >mm10_chr13:109096239-109096250(+)::chr13:109096238-109096250(+) ACCAGGAAGTGA >mm10_chr13:109143820-109143831(-)::chr13:109143819-109143831(-) ACCAGGAAATGC >mm10_chr13:109232099-109232110(-)::chr13:109232098-109232110(-) GAGAGGAAGTGG >mm10_chr13:109239450-109239461(-)::chr13:109239449-109239461(-) GAGAGGAAGTGA >mm10_chr13:109239490-109239501(-)::chr13:109239489-109239501(-) GGCAGGAAGTGC >mm10_chr13:109277968-109277979(-)::chr13:109277967-109277979(-) AGGAGGAAATGT >mm10_chr13:109307607-109307618(-)::chr13:109307606-109307618(-) gcaaggaagctt >mm10_chr13:109440929-109440940(-)::chr13:109440928-109440940(-) AAAAGGAAGAGC >mm10_chr13:109440955-109440966(-)::chr13:109440954-109440966(-) CCAAGGAAGAGT >mm10_chr13:109448363-109448374(-)::chr13:109448362-109448374(-) TAGAGGAAGTGC >mm10_chr13:109544776-109544787(+)::chr13:109544775-109544787(+) ACAAGGAAGCCA >mm10_chr13:109620744-109620755(-)::chr13:109620743-109620755(-) taaaggaagaac >mm10_chr13:109681635-109681646(+)::chr13:109681634-109681646(+) ACAAGGAAATGT >mm10_chr13:109685726-109685737(-)::chr13:109685725-109685737(-) TCAAGGAAGTTT >mm10_chr13:109752641-109752652(+)::chr13:109752640-109752652(+) AAACGGAAGACC >mm10_chr13:109759941-109759952(-)::chr13:109759940-109759952(-) GAGAGGAAGAGG >mm10_chr13:109888458-109888469(-)::chr13:109888457-109888469(-) GGCAGGAAGGCC >mm10_chr13:109898137-109898148(-)::chr13:109898136-109898148(-) GGAAGGAAGTCT >mm10_chr13:109960083-109960094(-)::chr13:109960082-109960094(-) AGTAGGAAGCAC >mm10_chr13:109960090-109960101(-)::chr13:109960089-109960101(-) GGCAGGAAGTAG >mm10_chr13:109960326-109960337(-)::chr13:109960325-109960337(-) ACAAGGAAGAGG >mm10_chr13:110145737-110145748(-)::chr13:110145736-110145748(-) GGGAGGAAGGTC >mm10_chr13:110213797-110213808(-)::chr13:110213796-110213808(-) ACAAGGAAGCCC >mm10_chr13:110357208-110357219(-)::chr13:110357207-110357219(-) GGAAGTAAGTGA >mm10_chr13:110357212-110357223(-)::chr13:110357211-110357223(-) AGAAGGAAGTAA >mm10_chr13:110357275-110357286(+)::chr13:110357274-110357286(+) GTGAGGAAGTTG >mm10_chr13:110395058-110395069(+)::chr13:110395057-110395069(+) AGCAGGACGTCA >mm10_chr13:110478987-110478998(-)::chr13:110478986-110478998(-) gagaggaaatag >mm10_chr13:110510983-110510994(-)::chr13:110510982-110510994(-) GCAAGGAAGAAA >mm10_chr13:110513495-110513506(+)::chr13:110513494-110513506(+) AGGAGGAAATGA >mm10_chr13:110545578-110545589(-)::chr13:110545577-110545589(-) CCACGGAAGTGC >mm10_chr13:110545628-110545639(-)::chr13:110545627-110545639(-) ACGAGGAAATAC >mm10_chr13:110545647-110545658(+)::chr13:110545646-110545658(+) AGAAGGAAGCGC >mm10_chr13:110550498-110550509(-)::chr13:110550497-110550509(-) AGCAGGAAGTTG >mm10_chr13:110555677-110555688(-)::chr13:110555676-110555688(-) agaaggaagagg >mm10_chr13:110563059-110563070(+)::chr13:110563058-110563070(+) ATCAGGAAGTGC >mm10_chr13:110569280-110569291(-)::chr13:110569279-110569291(-) GTAAGGAAGTAT >mm10_chr13:110749154-110749165(-)::chr13:110749153-110749165(-) ATCAGGAAGCAG >mm10_chr13:110749170-110749181(-)::chr13:110749169-110749181(-) CGAAGGATATAT >mm10_chr13:110765138-110765149(-)::chr13:110765137-110765149(-) ACAAGGAAGTTG >mm10_chr13:110765160-110765171(-)::chr13:110765159-110765171(-) TCAAGGAAGAAT >mm10_chr13:110859374-110859385(-)::chr13:110859373-110859385(-) CCCAGGAAGTTG >mm10_chr13:110866426-110866437(-)::chr13:110866425-110866437(-) GGCAGGAAGAAG >mm10_chr13:110866486-110866497(-)::chr13:110866485-110866497(-) AGCAGGAAGATT >mm10_chr13:110907655-110907666(+)::chr13:110907654-110907666(+) TTAAGGAAGTTG >mm10_chr13:110960441-110960452(+)::chr13:110960440-110960452(+) ATAAGGAAATGG >mm10_chr13:110960465-110960476(+)::chr13:110960464-110960476(+) TCAAGGAACTGC >mm10_chr13:110967262-110967273(+)::chr13:110967261-110967273(+) GGAAGGAAGGAA >mm10_chr13:110967278-110967289(+)::chr13:110967277-110967289(+) GGAAGGAAGAGG >mm10_chr13:111111358-111111369(-)::chr13:111111357-111111369(-) AGAAGGAAATGT >mm10_chr13:111225666-111225677(-)::chr13:111225665-111225677(-) AGAAGGCAGTCA >mm10_chr13:111225713-111225724(-)::chr13:111225712-111225724(-) TCAAGGATGTAA >mm10_chr13:111225729-111225740(-)::chr13:111225728-111225740(-) AAGAGGAAGTAA >mm10_chr13:111272115-111272126(+)::chr13:111272114-111272126(+) CCCAGGAAGTCT >mm10_chr13:111272158-111272169(+)::chr13:111272157-111272169(+) ACAAGGAACTCT >mm10_chr13:111287289-111287300(+)::chr13:111287288-111287300(+) ACAAGGAAGATT >mm10_chr13:111291288-111291299(-)::chr13:111291287-111291299(-) AAAAGGAAGTGA >mm10_chr13:111292094-111292105(-)::chr13:111292093-111292105(-) AACAGGAAGAAC >mm10_chr13:111370005-111370016(+)::chr13:111370004-111370016(+) atcaggaagttg >mm10_chr13:111418167-111418178(-)::chr13:111418166-111418178(-) aataggaagtag >mm10_chr13:111418212-111418223(-)::chr13:111418211-111418223(-) ACAAGGAAgctg >mm10_chr13:111437367-111437378(+)::chr13:111437366-111437378(+) ATAGGGAAGGAA >mm10_chr13:111437378-111437389(+)::chr13:111437377-111437389(+) ATCAGGAAGTAG >mm10_chr13:111437404-111437415(-)::chr13:111437403-111437415(-) AGAAGGATGTGT >mm10_chr13:111437414-111437425(-)::chr13:111437413-111437425(-) AGCAGGAAATAG >mm10_chr13:111440624-111440635(-)::chr13:111440623-111440635(-) AAAAGTAAGTCC >mm10_chr13:111489973-111489984(+)::chr13:111489972-111489984(+) aggaggaagaaa >mm10_chr13:111511069-111511080(-)::chr13:111511068-111511080(-) GGCAGGAAGGCC >mm10_chr13:111547386-111547397(-)::chr13:111547385-111547397(-) AACAGGAAGAGA >mm10_chr13:111547398-111547409(-)::chr13:111547397-111547409(-) ATGAGGAAGAGG >mm10_chr13:111648682-111648693(+)::chr13:111648681-111648693(+) AACAGGAAGGGA >mm10_chr13:111648703-111648714(+)::chr13:111648702-111648714(+) ATAAGGAAGTCA >mm10_chr13:111725087-111725098(+)::chr13:111725086-111725098(+) CCGAGGAAGTTC >mm10_chr13:111725736-111725747(+)::chr13:111725735-111725747(+) AGGAGGAAGGCG >mm10_chr13:111796668-111796679(+)::chr13:111796667-111796679(+) AGCAGGAAGTCT >mm10_chr13:111797148-111797159(+)::chr13:111797147-111797159(+) ACCAGGAAGTGG >mm10_chr13:111797709-111797720(-)::chr13:111797708-111797720(-) ACCAGGAAGTGG >mm10_chr13:111807248-111807259(+)::chr13:111807247-111807259(+) TAGAGGAAGATA >mm10_chr13:111809713-111809724(+)::chr13:111809712-111809724(+) GCCAGGAAGCGC >mm10_chr13:111823295-111823306(-)::chr13:111823294-111823306(-) acaaggaagtca >mm10_chr13:111824383-111824394(+)::chr13:111824382-111824394(+) accaggaagcaa >mm10_chr13:111857670-111857681(+)::chr13:111857669-111857681(+) GCACGGAAGGAA >mm10_chr13:111857680-111857691(+)::chr13:111857679-111857691(+) AAAAGGAACTGC >mm10_chr13:111857688-111857699(-)::chr13:111857687-111857699(-) ATAAGGAAGCAG >mm10_chr13:111871819-111871830(-)::chr13:111871818-111871830(-) ACGAGGAAGGAG >mm10_chr13:111886123-111886134(+)::chr13:111886122-111886134(+) ATAAGGATGTTT >mm10_chr13:111896474-111896485(-)::chr13:111896473-111896485(-) GACAGGAAATGA >mm10_chr13:111896533-111896544(-)::chr13:111896532-111896544(-) AACAGGAAGGGA >mm10_chr13:111942061-111942072(-)::chr13:111942060-111942072(-) agaaggaagttt >mm10_chr13:111949055-111949066(+)::chr13:111949054-111949066(+) AAGAGGAAGCAG >mm10_chr13:111995020-111995031(-)::chr13:111995019-111995031(-) TAAAGGAAGTCT >mm10_chr13:112009590-112009601(-)::chr13:112009589-112009601(-) agcaggaagcag >mm10_chr13:112023485-112023496(-)::chr13:112023484-112023496(-) GAAAGGAAGAAG >mm10_chr13:112058269-112058280(-)::chr13:112058268-112058280(-) AACCGGAAGTGG >mm10_chr13:112058314-112058325(+)::chr13:112058313-112058325(+) AGAAGGAAGCCA >mm10_chr13:112072060-112072071(+)::chr13:112072059-112072071(+) AGGAGTAAGTAA >mm10_chr13:112100956-112100967(+)::chr13:112100955-112100967(+) GGAAGGAAGAAG >mm10_chr13:112120610-112120621(+)::chr13:112120609-112120621(+) GAGAGGAAGTTT >mm10_chr13:112166058-112166069(+)::chr13:112166057-112166069(+) AGCAGGAAGTGT >mm10_chr13:112260135-112260146(+)::chr13:112260134-112260146(+) atcaggaagttc >mm10_chr13:112260145-112260156(-)::chr13:112260144-112260156(-) agcaggaagaga >mm10_chr13:112265510-112265521(-)::chr13:112265509-112265521(-) aagaggaaatgc >mm10_chr13:112265516-112265527(-)::chr13:112265515-112265527(-) gtcaggaagagg >mm10_chr13:112312440-112312451(-)::chr13:112312439-112312451(-) AGAAGGAAAGGA >mm10_chr13:112312472-112312483(-)::chr13:112312471-112312483(-) AGAAGGAACTTA >mm10_chr13:112312488-112312499(-)::chr13:112312487-112312499(-) TCCAGGAAGTAT >mm10_chr13:112354638-112354649(+)::chr13:112354637-112354649(+) GGAAGGAAGGCA >mm10_chr13:112354663-112354674(+)::chr13:112354662-112354674(+) GAAAGGACGTGG >mm10_chr13:112363111-112363122(+)::chr13:112363110-112363122(+) agaaggcagttg >mm10_chr13:112363154-112363165(-)::chr13:112363153-112363165(-) aagaggaagtat >mm10_chr13:112366023-112366034(-)::chr13:112366022-112366034(-) AAGAGGAAGTTG >mm10_chr13:112395129-112395140(+)::chr13:112395128-112395140(+) AAGAGGAAGTTT >mm10_chr13:112395160-112395171(+)::chr13:112395159-112395171(+) AGAAGGAACTGG >mm10_chr13:112409385-112409396(+)::chr13:112409384-112409396(+) AGAAGGAAGAGC >mm10_chr13:112439593-112439604(+)::chr13:112439592-112439604(+) GAAAGGAAGCCT >mm10_chr13:112439879-112439890(+)::chr13:112439878-112439890(+) AAGAGGAAGCGG >mm10_chr13:112440289-112440300(-)::chr13:112440288-112440300(-) TGAAGGAAATGC >mm10_chr13:112465861-112465872(+)::chr13:112465860-112465872(+) CTAAGGAAGCAG >mm10_chr13:112465868-112465879(+)::chr13:112465867-112465879(+) AGCAGGAAGTTC >mm10_chr13:112479893-112479904(-)::chr13:112479892-112479904(-) ACAAGGAAAAAC >mm10_chr13:112512007-112512018(-)::chr13:112512006-112512018(-) AGCAGGAAGTTA >mm10_chr13:112515983-112515994(-)::chr13:112515982-112515994(-) AGGAGGAAGAAA >mm10_chr13:112515990-112516001(-)::chr13:112515989-112516001(-) ACGAGGAAGGAG >mm10_chr13:112516000-112516011(-)::chr13:112515999-112516011(-) ATTAGGAAGAAC >mm10_chr13:112523634-112523645(-)::chr13:112523633-112523645(-) TAAAGGACGCGC >mm10_chr13:112523745-112523756(-)::chr13:112523744-112523756(-) GCCAGGAAGAAT >mm10_chr13:112571881-112571892(+)::chr13:112571880-112571892(+) AGGAGGAAGTCG >mm10_chr13:112607371-112607382(-)::chr13:112607370-112607382(-) tgtaggaagtcc >mm10_chr13:112616094-112616105(-)::chr13:112616093-112616105(-) atcaggaagaac >mm10_chr13:112635330-112635341(-)::chr13:112635329-112635341(-) TTGAGGAAGTGC >mm10_chr13:112635349-112635360(+)::chr13:112635348-112635360(+) ACCAGGAAGAGT >mm10_chr13:112674313-112674324(+)::chr13:112674312-112674324(+) CGGAGGAAGGGC >mm10_chr13:112752118-112752129(+)::chr13:112752117-112752129(+) ggcaggaaggca >mm10_chr13:112752139-112752150(+)::chr13:112752138-112752150(+) aggaggaaggag >mm10_chr13:112752146-112752157(+)::chr13:112752145-112752157(+) aggaggaaggaa >mm10_chr13:112752150-112752161(+)::chr13:112752149-112752161(+) ggaaggaaATAT >mm10_chr13:112760650-112760661(-)::chr13:112760649-112760661(-) ACAAGGAAGCAG >mm10_chr13:112760685-112760696(-)::chr13:112760684-112760696(-) AACAGGAAGTAG >mm10_chr13:112776009-112776020(-)::chr13:112776008-112776020(-) AGAAGGAAATGG >mm10_chr13:112795391-112795402(-)::chr13:112795390-112795402(-) AGAAGGAAGTAC >mm10_chr13:112811845-112811856(+)::chr13:112811844-112811856(+) atgaggaagagg >mm10_chr13:112811870-112811881(-)::chr13:112811869-112811881(-) atgaggaagaaa >mm10_chr13:112891463-112891474(+)::chr13:112891462-112891474(+) ACAAGGCAGTGA >mm10_chr13:113000699-113000710(-)::chr13:113000698-113000710(-) AGCAGGAAGAAC >mm10_chr13:113091369-113091380(-)::chr13:113091368-113091380(-) gcgaggaagtcg >mm10_chr13:113091379-113091390(-)::chr13:113091378-113091390(-) aagaggaagagc >mm10_chr13:113138657-113138668(+)::chr13:113138656-113138668(+) ctgaggaagtag >mm10_chr13:113138664-113138675(+)::chr13:113138663-113138675(+) agtaggaagtag >mm10_chr13:113211463-113211474(+)::chr13:113211462-113211474(+) ATAAGGAAATTA >mm10_chr13:113211475-113211486(+)::chr13:113211474-113211486(+) GGGAGGAAGTGG >mm10_chr13:113269965-113269976(-)::chr13:113269964-113269976(-) TGCAGGAAGTAG >mm10_chr13:113322231-113322242(+)::chr13:113322230-113322242(+) CATAGGAAGTGC >mm10_chr13:113394922-113394933(+)::chr13:113394921-113394933(+) ATAGGGAAATAG >mm10_chr13:113401684-113401695(-)::chr13:113401683-113401695(-) AGTAGGAAGAGA >mm10_chr13:113418395-113418406(+)::chr13:113418394-113418406(+) AACAGGAAGCGG >mm10_chr13:113434661-113434672(-)::chr13:113434660-113434672(-) AGAAGGAAGAAG >mm10_chr13:113443325-113443336(-)::chr13:113443324-113443336(-) acaaggaacttg >mm10_chr13:113486643-113486654(-)::chr13:113486642-113486654(-) GAAAGGAAGCTC >mm10_chr13:113490734-113490745(-)::chr13:113490733-113490745(-) AGGAGGAAGTAA >mm10_chr13:113508532-113508543(-)::chr13:113508531-113508543(-) cgaaggaagcca >mm10_chr13:113508545-113508556(-)::chr13:113508544-113508556(-) aagaggaagtcc >mm10_chr13:113542552-113542563(-)::chr13:113542551-113542563(-) ACGAGGAAGAGC >mm10_chr13:113542574-113542585(+)::chr13:113542573-113542585(+) ACAAGGAAGCAA >mm10_chr13:113550009-113550020(-)::chr13:113550008-113550020(-) AGGAGGAAATTG >mm10_chr13:113550019-113550030(-)::chr13:113550018-113550030(-) GGAAGAAAGTAG >mm10_chr13:113550023-113550034(-)::chr13:113550022-113550034(-) AAGAGGAAGAAA >mm10_chr13:113580129-113580140(+)::chr13:113580128-113580140(+) AGCAGGAAATGA >mm10_chr13:113588746-113588757(-)::chr13:113588745-113588757(-) TCTAGGAAGTTG >mm10_chr13:113606381-113606392(+)::chr13:113606380-113606392(+) ACCAGGAAGTAA >mm10_chr13:113609401-113609412(-)::chr13:113609400-113609412(-) GTAAGGAAGTCC >mm10_chr13:113614082-113614093(+)::chr13:113614081-113614093(+) CTAGGGAAGTTA >mm10_chr13:113691852-113691863(-)::chr13:113691851-113691863(-) GCCAGGAAGCGC >mm10_chr13:113691885-113691896(+)::chr13:113691884-113691896(+) TGCAGGAAGCAA >mm10_chr13:113691897-113691908(-)::chr13:113691896-113691908(-) AGCAGGAAGAGG >mm10_chr13:113706179-113706190(+)::chr13:113706178-113706190(+) ATCAGGAAATTA >mm10_chr13:113706221-113706232(+)::chr13:113706220-113706232(+) ACCAGGAAGCCA >mm10_chr13:113728398-113728409(-)::chr13:113728397-113728409(-) ACAAGGAAAAGG >mm10_chr13:113799485-113799496(+)::chr13:113799484-113799496(+) TCCAGGAAGTCA >mm10_chr13:113802182-113802193(+)::chr13:113802181-113802193(+) ACCCGGAAGTCA >mm10_chr13:113868746-113868757(+)::chr13:113868745-113868757(+) ACCAGGAAGGCC >mm10_chr13:113935962-113935973(+)::chr13:113935961-113935973(+) AGAAGGAAGGCT >mm10_chr13:113970864-113970875(+)::chr13:113970863-113970875(+) AGGAGGAAGTTA >mm10_chr13:113970931-113970942(+)::chr13:113970930-113970942(+) CTAAGGAAGGAA >mm10_chr13:113970935-113970946(+)::chr13:113970934-113970946(+) GGAAGGAAGACA >mm10_chr13:113983908-113983919(-)::chr13:113983907-113983919(-) AGGAGGAAGTGG >mm10_chr13:114018210-114018221(+)::chr13:114018209-114018221(+) AGGAGGAAGAGT >mm10_chr13:114072106-114072117(+)::chr13:114072105-114072117(+) ACGAGGAAGCAC >mm10_chr13:114194061-114194072(-)::chr13:114194060-114194072(-) aggaggaAGCTA >mm10_chr13:114194100-114194111(-)::chr13:114194099-114194111(-) acgaggaagagg >mm10_chr13:114194115-114194126(-)::chr13:114194114-114194126(-) gaaaggaagaag >mm10_chr13:114194123-114194134(-)::chr13:114194122-114194134(-) aggaggaagaaa >mm10_chr13:114218425-114218436(-)::chr13:114218424-114218436(-) AGCAGGAAATAT >mm10_chr13:114318722-114318733(+)::chr13:114318721-114318733(+) tacaggaaggtg >mm10_chr13:114318749-114318760(+)::chr13:114318748-114318760(+) gctaggaagtta >mm10_chr13:114388054-114388065(-)::chr13:114388053-114388065(-) TACAGGAAGATG >mm10_chr13:114388106-114388117(-)::chr13:114388105-114388117(-) CGGAGGAAGTGA >mm10_chr13:114395802-114395813(-)::chr13:114395801-114395813(-) gtaaagaagtgt >mm10_chr13:114420361-114420372(-)::chr13:114420360-114420372(-) AGAATGAAGTAG >mm10_chr13:114573013-114573024(-)::chr13:114573012-114573024(-) AGGAGGAAGAAA >mm10_chr13:114655334-114655345(-)::chr13:114655333-114655345(-) CAGAGGAAGTTA >mm10_chr13:114817704-114817715(-)::chr13:114817703-114817715(-) ATACGGAAGGAA >mm10_chr13:114852796-114852807(-)::chr13:114852795-114852807(-) CAAAGGAAGGCA >mm10_chr13:114852820-114852831(-)::chr13:114852819-114852831(-) ACCAGGAAGAAA >mm10_chr13:114931300-114931311(-)::chr13:114931299-114931311(-) AGGAGGAAGAAA >mm10_chr13:114956908-114956919(+)::chr13:114956907-114956919(+) TCAAGGAAGATT >mm10_chr13:114956953-114956964(+)::chr13:114956952-114956964(+) GTAAGGAAGTGG >mm10_chr13:115003917-115003928(+)::chr13:115003916-115003928(+) GACAGGAAATGG >mm10_chr13:115021778-115021789(-)::chr13:115021777-115021789(-) ACACGGAAGGTC >mm10_chr13:115031328-115031339(-)::chr13:115031327-115031339(-) ACCGGGAAGTCT >mm10_chr13:115059418-115059429(+)::chr13:115059417-115059429(+) ATCAGGAAGTAG >mm10_chr13:115059444-115059455(-)::chr13:115059443-115059455(-) GTCAGGAAGCAT >mm10_chr13:115059461-115059472(-)::chr13:115059460-115059472(-) GTCAGGAAGCAT >mm10_chr13:115102147-115102158(+)::chr13:115102146-115102158(+) GCAAGGAAGTTA >mm10_chr13:115199919-115199930(+)::chr13:115199918-115199930(+) ACAAGGAACTGA >mm10_chr13:115345416-115345427(-)::chr13:115345415-115345427(-) aacaggaagTGA >mm10_chr13:115345503-115345514(-)::chr13:115345502-115345514(-) agaatgaagtgg >mm10_chr13:115543610-115543621(-)::chr13:115543609-115543621(-) ggcaggaagacg >mm10_chr13:115564625-115564636(-)::chr13:115564624-115564636(-) ACCAGGAAGTGT >mm10_chr13:115613210-115613221(+)::chr13:115613209-115613221(+) TCCAGGAAGTGC >mm10_chr13:115613226-115613237(-)::chr13:115613225-115613237(-) GTCAGGAAGCAA >mm10_chr13:115613523-115613534(+)::chr13:115613522-115613534(+) GAAAGGAGGTCG >mm10_chr13:115626054-115626065(-)::chr13:115626053-115626065(-) gggaggaaatgg >mm10_chr13:115626099-115626110(+)::chr13:115626098-115626110(+) agaaggaagaat >mm10_chr13:115810635-115810646(-)::chr13:115810634-115810646(-) ACAAGGAAGCCT >mm10_chr13:115911245-115911256(+)::chr13:115911244-115911256(+) ATCAGGAAGCAG >mm10_chr13:116257344-116257355(+)::chr13:116257343-116257355(+) GGAAGGAACTAA >mm10_chr13:116386396-116386407(+)::chr13:116386395-116386407(+) agcaggaagcag >mm10_chr13:116386403-116386414(+)::chr13:116386402-116386414(+) agcaggaagcag >mm10_chr13:116386410-116386421(+)::chr13:116386409-116386421(+) agcaggaagcag >mm10_chr13:116386417-116386428(+)::chr13:116386416-116386428(+) agcaggaagcag >mm10_chr13:116386424-116386435(+)::chr13:116386423-116386435(+) agcaggaagcag >mm10_chr13:116386431-116386442(+)::chr13:116386430-116386442(+) agcaggaagcag >mm10_chr13:116386438-116386449(+)::chr13:116386437-116386449(+) agcaggaagcag >mm10_chr13:116386445-116386456(+)::chr13:116386444-116386456(+) agcaggaagcag >mm10_chr13:116386452-116386463(+)::chr13:116386451-116386463(+) agcaggaagcag >mm10_chr13:116386459-116386470(+)::chr13:116386458-116386470(+) agcaggaagcag >mm10_chr13:116386466-116386477(+)::chr13:116386465-116386477(+) agcaggaagcag >mm10_chr13:116386473-116386484(+)::chr13:116386472-116386484(+) agcaggaagcag >mm10_chr13:116386480-116386491(+)::chr13:116386479-116386491(+) agcaggaagcag >mm10_chr13:116443389-116443400(+)::chr13:116443388-116443400(+) TTAAGGAAGTGA >mm10_chr13:116817090-116817101(-)::chr13:116817089-116817101(-) ATGAGGAAGATG >mm10_chr13:116818220-116818231(+)::chr13:116818219-116818231(+) ACCAGGAAGTTC >mm10_chr13:117024100-117024111(+)::chr13:117024099-117024111(+) ACACGGAAGACA >mm10_chr13:117024140-117024151(+)::chr13:117024139-117024151(+) AACAGGAAATGA >mm10_chr13:117031814-117031825(-)::chr13:117031813-117031825(-) TTGAGGAAGTAG >mm10_chr13:117198448-117198459(+)::chr13:117198447-117198459(+) AAAGGGAAGTGA >mm10_chr13:117211554-117211565(-)::chr13:117211553-117211565(-) ATGAGGAAGTTC >mm10_chr13:117222112-117222123(-)::chr13:117222111-117222123(-) gaaaggaagaga >mm10_chr13:117222120-117222131(-)::chr13:117222119-117222131(-) aggaggaagaaa >mm10_chr13:117222134-117222145(-)::chr13:117222133-117222145(-) agaaggaagggg >mm10_chr13:117222145-117222156(-)::chr13:117222144-117222156(-) AGagggaagaaa >mm10_chr13:117222157-117222168(-)::chr13:117222156-117222168(-) AGAGGGAAGTGC >mm10_chr13:117222174-117222185(-)::chr13:117222173-117222185(-) AGGAGGAAGACA >mm10_chr13:117235600-117235611(+)::chr13:117235599-117235611(+) AGGAGGAAGCAG >mm10_chr13:118352436-118352447(-)::chr13:118352435-118352447(-) atgaggaagttg >mm10_chr13:119014498-119014509(-)::chr13:119014497-119014509(-) AGAAGGATGATA >mm10_chr13:119409513-119409524(+)::chr13:119409512-119409524(+) GGGAGGAAGCCG >mm10_chr13:119432186-119432197(+)::chr13:119432185-119432197(+) AAAAGGAAATAA >mm10_chr13:119462646-119462657(-)::chr13:119462645-119462657(-) GCACGGAAGCGC >mm10_chr13:119610507-119610518(-)::chr13:119610506-119610518(-) TGGAGGAAGGGT >mm10_chr13:119610518-119610529(+)::chr13:119610517-119610529(+) AGAAGGAAGAGC >mm10_chr13:119616563-119616574(+)::chr13:119616562-119616574(+) TGACGGAAGGGA >mm10_chr13:119623813-119623824(-)::chr13:119623812-119623824(-) ATCAGGAAGTAA >mm10_chr13:119626897-119626908(+)::chr13:119626896-119626908(+) TGGAGGAAGGGA >mm10_chr13:119626908-119626919(+)::chr13:119626907-119626919(+) ACAAGGAAGTGG >mm10_chr13:119657926-119657937(-)::chr13:119657925-119657937(-) aagaggaagaag >mm10_chr13:119657941-119657952(-)::chr13:119657940-119657952(-) aagaggaaggag >mm10_chr13:119657947-119657958(-)::chr13:119657946-119657958(-) aggaggaagagg >mm10_chr14:7718811-7718822(+)::chr14:7718810-7718822(+) CTAAGGAAATGA >mm10_chr14:7737335-7737346(-)::chr14:7737334-7737346(-) AAGAGGAAGAGA >mm10_chr14:7784720-7784731(-)::chr14:7784719-7784731(-) ACACGGAAATGC >mm10_chr14:7789414-7789425(+)::chr14:7789413-7789425(+) AAAAGGAAATAA >mm10_chr14:7804432-7804443(+)::chr14:7804431-7804443(+) atcaggatgtag >mm10_chr14:7804449-7804460(-)::chr14:7804448-7804460(-) agaaggaagtga >mm10_chr14:7809738-7809749(+)::chr14:7809737-7809749(+) ACCAGGAAATTC >mm10_chr14:7826440-7826451(+)::chr14:7826439-7826451(+) AGCAGGAAGGAA >mm10_chr14:7826444-7826455(+)::chr14:7826443-7826455(+) GGAAGGAAGCTG >mm10_chr14:7830088-7830099(-)::chr14:7830087-7830099(-) TGAAGGAAGGGT >mm10_chr14:7830121-7830132(+)::chr14:7830120-7830132(+) TAGAGGAAGAAG >mm10_chr14:7841435-7841446(+)::chr14:7841434-7841446(+) AGCAGGAAGCTG >mm10_chr14:7841446-7841457(+)::chr14:7841445-7841457(+) GGGAGGAAGTTA >mm10_chr14:7852012-7852023(+)::chr14:7852011-7852023(+) AGGAGGAAGCTG >mm10_chr14:7855306-7855317(-)::chr14:7855305-7855317(-) TCAAGGAAGGGA >mm10_chr14:7857125-7857136(-)::chr14:7857124-7857136(-) tcCAGGAAGTCC >mm10_chr14:7881683-7881694(+)::chr14:7881682-7881694(+) AGAAGGAAACAA >mm10_chr14:7881691-7881702(+)::chr14:7881690-7881702(+) ACAAGGAAGAGG >mm10_chr14:7886182-7886193(+)::chr14:7886181-7886193(+) TAAAGGAAGTTC >mm10_chr14:7888230-7888241(-)::chr14:7888229-7888241(-) AGGAGGAAGGAG >mm10_chr14:7900937-7900948(+)::chr14:7900936-7900948(+) GAGAGGAAGTCG >mm10_chr14:7987664-7987675(+)::chr14:7987663-7987675(+) ataaggaagccc >mm10_chr14:8055025-8055036(+)::chr14:8055024-8055036(+) aggcggaagtca >mm10_chr14:8062196-8062207(-)::chr14:8062195-8062207(-) ACCAGGAAGAAA >mm10_chr14:8062813-8062824(-)::chr14:8062812-8062824(-) tagaggaagggg >mm10_chr14:8089290-8089301(+)::chr14:8089289-8089301(+) agaaggaagcag >mm10_chr14:8103978-8103989(-)::chr14:8103977-8103989(-) AGAAGGAAATAA >mm10_chr14:8104030-8104041(-)::chr14:8104029-8104041(-) ATAAGGAAGGCG >mm10_chr14:8133027-8133038(-)::chr14:8133026-8133038(-) AAGAGGAAGTGA >mm10_chr14:8134334-8134345(+)::chr14:8134333-8134345(+) accaggaagaac >mm10_chr14:8146999-8147010(+)::chr14:8146998-8147010(+) TTCAGGAAGTAA >mm10_chr14:8167346-8167357(-)::chr14:8167345-8167357(-) acaaggaagaga >mm10_chr14:8174775-8174786(-)::chr14:8174774-8174786(-) GAAAGGAAGGCC >mm10_chr14:8174795-8174806(-)::chr14:8174794-8174806(-) AAGAGGAAGAGG >mm10_chr14:8174807-8174818(-)::chr14:8174806-8174818(-) ATCAGGAAATGC >mm10_chr14:8218462-8218473(-)::chr14:8218461-8218473(-) CAAAGGAAGGCA >mm10_chr14:8224099-8224110(-)::chr14:8224098-8224110(-) ACAGGGAAGTTG >mm10_chr14:8257596-8257607(+)::chr14:8257595-8257607(+) AGAAGGAAGAGG >mm10_chr14:8258520-8258531(-)::chr14:8258519-8258531(-) CAGAGGAAGTCA >mm10_chr14:8267649-8267660(+)::chr14:8267648-8267660(+) AGGAGGAAGAGG >mm10_chr14:8267655-8267666(+)::chr14:8267654-8267666(+) AAGAGGAAGTGT >mm10_chr14:8340584-8340595(-)::chr14:8340583-8340595(-) aagaggaagagg >mm10_chr14:8340590-8340601(-)::chr14:8340589-8340601(-) aggaggaagagg >mm10_chr14:8340621-8340632(-)::chr14:8340620-8340632(-) tggaggaagaag >mm10_chr14:8340633-8340644(-)::chr14:8340632-8340644(-) aggaggaagaag >mm10_chr14:8340645-8340656(-)::chr14:8340644-8340656(-) aagaggaagagg >mm10_chr14:8340651-8340662(-)::chr14:8340650-8340662(-) aggaggaagagg >mm10_chr14:8362479-8362490(-)::chr14:8362478-8362490(-) ATGAGGAAGAAA >mm10_chr14:8367744-8367755(+)::chr14:8367743-8367755(+) AAAAGGAAGTGA >mm10_chr14:8378897-8378908(+)::chr14:8378896-8378908(+) ACCAGGAAGGAG >mm10_chr14:8378904-8378915(+)::chr14:8378903-8378915(+) AGGAGGAAGTTT >mm10_chr14:8381923-8381934(-)::chr14:8381922-8381934(-) AGAGGGAAGTGC >mm10_chr14:8425530-8425541(-)::chr14:8425529-8425541(-) AGCAGGAAGTAT >mm10_chr14:8434066-8434077(-)::chr14:8434065-8434077(-) aggaggaagcca >mm10_chr14:8434092-8434103(-)::chr14:8434091-8434103(-) aggaggaagctg >mm10_chr14:8434118-8434129(-)::chr14:8434117-8434129(-) aggaggaagctg >mm10_chr14:8517837-8517848(+)::chr14:8517836-8517848(+) ACCAGGAAGAGG >mm10_chr14:8517871-8517882(-)::chr14:8517870-8517882(-) GAAAGGAAGTGC >mm10_chr14:8517911-8517922(-)::chr14:8517910-8517922(-) GTACGGAAGTGT >mm10_chr14:8596241-8596252(-)::chr14:8596240-8596252(-) cctaggaagtga >mm10_chr14:8596315-8596326(+)::chr14:8596314-8596326(+) atgaggaagtac >mm10_chr14:8608793-8608804(+)::chr14:8608792-8608804(+) AGGAGGAAATCC >mm10_chr14:8687881-8687892(+)::chr14:8687880-8687892(+) AGCAGGAAGCAA >mm10_chr14:9467881-9467892(+)::chr14:9467880-9467892(+) ACCAGGAAGCCA >mm10_chr14:9467889-9467900(+)::chr14:9467888-9467900(+) GCCAGGAAGGAA >mm10_chr14:9467893-9467904(+)::chr14:9467892-9467904(+) GGAAGGAACTAG >mm10_chr14:9467910-9467921(+)::chr14:9467909-9467921(+) AGGAGGAAGAAG >mm10_chr14:9468397-9468408(+)::chr14:9468396-9468408(+) agcaggaagtat >mm10_chr14:9688722-9688733(+)::chr14:9688721-9688733(+) TGAAGGAAGAAG >mm10_chr14:9707001-9707012(+)::chr14:9707000-9707012(+) agaaggaaagac >mm10_chr14:9707033-9707044(-)::chr14:9707032-9707044(-) ttgaggaagttc >mm10_chr14:9780627-9780638(+)::chr14:9780626-9780638(+) TCAAGGAAGCAC >mm10_chr14:9780648-9780659(+)::chr14:9780647-9780659(+) AGAGGGAAGTAC >mm10_chr14:9814512-9814523(-)::chr14:9814511-9814523(-) TCAAGGAAGAGT >mm10_chr14:9852213-9852224(+)::chr14:9852212-9852224(+) AGGAGGAAGTGA >mm10_chr14:9852223-9852234(+)::chr14:9852222-9852234(+) GACAGGAAGAGA >mm10_chr14:9899751-9899762(+)::chr14:9899750-9899762(+) aacaggaagtgg >mm10_chr14:9931460-9931471(+)::chr14:9931459-9931471(+) AGAAGGAAGCTT >mm10_chr14:9931485-9931496(+)::chr14:9931484-9931496(+) GTCAGGAAGGAA >mm10_chr14:9961504-9961515(-)::chr14:9961503-9961515(-) tgaaggaaggag >mm10_chr14:9994022-9994033(-)::chr14:9994021-9994033(-) AGAAGGAAATTT >mm10_chr14:10028700-10028711(+)::chr14:10028699-10028711(+) AGTAGGAAGTGG >mm10_chr14:10322377-10322388(-)::chr14:10322376-10322388(-) TGAAGGAAGTAT >mm10_chr14:10375020-10375031(-)::chr14:10375019-10375031(-) AGAAGGAAATCT >mm10_chr14:10375031-10375042(-)::chr14:10375030-10375042(-) TTAAGGAAGTGA >mm10_chr14:10438757-10438768(+)::chr14:10438756-10438768(+) AGGAGGAAGGAA >mm10_chr14:10439551-10439562(-)::chr14:10439550-10439562(-) AAGAGGAAGGGG >mm10_chr14:10439559-10439570(-)::chr14:10439558-10439570(-) AGAAGGAAAAGA >mm10_chr14:10439592-10439603(-)::chr14:10439591-10439603(-) AAGAGGAAGTGA >mm10_chr14:10453656-10453667(+)::chr14:10453655-10453667(+) TGCAGGAAGGAG >mm10_chr14:10656222-10656233(-)::chr14:10656221-10656233(-) AGAGGGAAATAC >mm10_chr14:10660034-10660045(-)::chr14:10660033-10660045(-) acaaggaaggca >mm10_chr14:10667600-10667611(+)::chr14:10667599-10667611(+) AGAGGGAAGTTA >mm10_chr14:10667650-10667661(-)::chr14:10667649-10667661(-) TAGAGGAAGTTT >mm10_chr14:10667663-10667674(+)::chr14:10667662-10667674(+) ACCAGGAAGATA >mm10_chr14:10880897-10880908(-)::chr14:10880896-10880908(-) GAAAGGAACTGA >mm10_chr14:10880923-10880934(+)::chr14:10880922-10880934(+) ttgaggaagtcc >mm10_chr14:10917246-10917257(-)::chr14:10917245-10917257(-) aggaggaagttg >mm10_chr14:10917853-10917864(-)::chr14:10917852-10917864(-) AACAGGAAGAAA >mm10_chr14:10917907-10917918(-)::chr14:10917906-10917918(-) ACCAGGAAGAGG >mm10_chr14:10918112-10918123(+)::chr14:10918111-10918123(+) GCAAGGAAGTTC >mm10_chr14:11152743-11152754(+)::chr14:11152742-11152754(+) aagaggaaggca >mm10_chr14:11152797-11152808(+)::chr14:11152796-11152808(+) acaaggaagcaa >mm10_chr14:11155376-11155387(-)::chr14:11155375-11155387(-) ACAAGGATGCGT >mm10_chr14:11155656-11155667(+)::chr14:11155655-11155667(+) GCGAGGAAGGGG >mm10_chr14:11155695-11155706(+)::chr14:11155694-11155706(+) ATGAGGAAGATA >mm10_chr14:11178301-11178312(+)::chr14:11178300-11178312(+) CCAAGGAAGAGA >mm10_chr14:11179474-11179485(-)::chr14:11179473-11179485(-) agtaggaagtag >mm10_chr14:11328415-11328426(+)::chr14:11328414-11328426(+) atgaggaagtca >mm10_chr14:11328468-11328479(+)::chr14:11328467-11328479(+) atcaggaagcag >mm10_chr14:11437535-11437546(-)::chr14:11437534-11437546(-) ataaggaagttt >mm10_chr14:11440359-11440370(-)::chr14:11440358-11440370(-) GTCAGGAAGCAT >mm10_chr14:11481784-11481795(+)::chr14:11481783-11481795(+) AAGAGGAAGAAA >mm10_chr14:11481798-11481809(+)::chr14:11481797-11481809(+) AGACGGAAGGTG >mm10_chr14:11534499-11534510(+)::chr14:11534498-11534510(+) GGAAGGAAATCC >mm10_chr14:11539468-11539479(+)::chr14:11539467-11539479(+) AAGAGGAAGAAC >mm10_chr14:11553535-11553546(-)::chr14:11553534-11553546(-) TACAGGAAGTAA >mm10_chr14:11560901-11560912(-)::chr14:11560900-11560912(-) TCCAGGAAGTTT >mm10_chr14:11578889-11578900(+)::chr14:11578888-11578900(+) TACAGGAAGAGA >mm10_chr14:11585147-11585158(+)::chr14:11585146-11585158(+) accaggaagtag >mm10_chr14:11628440-11628451(+)::chr14:11628439-11628451(+) AAAAGGAAGGAA >mm10_chr14:11628444-11628455(+)::chr14:11628443-11628455(+) GGAAGGAAGCCA >mm10_chr14:11640973-11640984(+)::chr14:11640972-11640984(+) acagggaaggta >mm10_chr14:11641005-11641016(+)::chr14:11641004-11641016(+) ttcaggaagttc >mm10_chr14:11641041-11641052(-)::chr14:11641040-11641052(-) ggcaggaaggag >mm10_chr14:11643120-11643131(-)::chr14:11643119-11643131(-) GCAAGGAAGAGT >mm10_chr14:11702955-11702966(-)::chr14:11702954-11702966(-) AGAAGGAAATTA >mm10_chr14:11702962-11702973(-)::chr14:11702961-11702973(-) AGAAGGAAGAAG >mm10_chr14:11702999-11703010(-)::chr14:11702998-11703010(-) TTAAGGAAGCAA >mm10_chr14:11703013-11703024(-)::chr14:11703012-11703024(-) AGAAGGAGGTGG >mm10_chr14:11827338-11827349(-)::chr14:11827337-11827349(-) ggaaggaggtag >mm10_chr14:11841808-11841819(+)::chr14:11841807-11841819(+) TAAAGGAAGTGC >mm10_chr14:11967762-11967773(-)::chr14:11967761-11967773(-) gagaggaagtct >mm10_chr14:12100176-12100187(-)::chr14:12100175-12100187(-) TAACGGAAATGA >mm10_chr14:12100203-12100214(+)::chr14:12100202-12100214(+) TCCAGGAAGTAG >mm10_chr14:12387397-12387408(-)::chr14:12387396-12387408(-) gaaaggaagatt >mm10_chr14:12392974-12392985(+)::chr14:12392973-12392985(+) TCAGGGAAGTGC >mm10_chr14:12427733-12427744(-)::chr14:12427732-12427744(-) TGTAGGAAGTCT >mm10_chr14:12459928-12459939(+)::chr14:12459927-12459939(+) AGGAGGAAGTTT >mm10_chr14:12491628-12491639(-)::chr14:12491627-12491639(-) AAGAGGAAGAAA >mm10_chr14:12491634-12491645(-)::chr14:12491633-12491645(-) TAAAGGAAGAGG >mm10_chr14:12591507-12591518(-)::chr14:12591506-12591518(-) tccaggaagagg >mm10_chr14:12591544-12591555(-)::chr14:12591543-12591555(-) ATGAGGAAGGAA >mm10_chr14:12592849-12592860(+)::chr14:12592848-12592860(+) atgaggaagagt >mm10_chr14:12769584-12769595(+)::chr14:12769583-12769595(+) AGAAGGAAGCAG >mm10_chr14:12769608-12769619(+)::chr14:12769607-12769619(+) GAAAGGAAGAGA >mm10_chr14:12976773-12976784(+)::chr14:12976772-12976784(+) CAAAGGATGTAG >mm10_chr14:13242905-13242916(-)::chr14:13242904-13242916(-) AGCAGGAAGTAT >mm10_chr14:13353278-13353289(+)::chr14:13353277-13353289(+) gaacggaagtac >mm10_chr14:13353338-13353349(-)::chr14:13353337-13353349(-) GTAAGGAAGTAG >mm10_chr14:13361818-13361829(-)::chr14:13361817-13361829(-) AGGGGGAAGTGA >mm10_chr14:13555926-13555937(-)::chr14:13555925-13555937(-) AGGAGGAAGTCA >mm10_chr14:13652127-13652138(-)::chr14:13652126-13652138(-) ATAAGGATGTTG >mm10_chr14:13759885-13759896(-)::chr14:13759884-13759896(-) TCCAGGAAGTAA >mm10_chr14:13759895-13759906(+)::chr14:13759894-13759906(+) GAAAGGAAGTCA >mm10_chr14:13761566-13761577(+)::chr14:13761565-13761577(+) agcaggaagggg >mm10_chr14:13910966-13910977(+)::chr14:13910965-13910977(+) AGGAGGAAGTTG >mm10_chr14:13943652-13943663(-)::chr14:13943651-13943663(-) TGAAGGAAGTGA >mm10_chr14:13943691-13943702(-)::chr14:13943690-13943702(-) CGAAGCAAGTTG >mm10_chr14:13955215-13955226(-)::chr14:13955214-13955226(-) ACAAGGAAATTG >mm10_chr14:13972203-13972214(-)::chr14:13972202-13972214(-) aacaggaaggag >mm10_chr14:13981527-13981538(+)::chr14:13981526-13981538(+) AACAGGAAGGTA >mm10_chr14:13981539-13981550(+)::chr14:13981538-13981550(+) TTTAGGAAGTGC >mm10_chr14:14036744-14036755(-)::chr14:14036743-14036755(-) aggaggaaggaa >mm10_chr14:14036776-14036787(-)::chr14:14036775-14036787(-) gcaaggaaggag >mm10_chr14:14036801-14036812(-)::chr14:14036800-14036812(-) aggaggaagagg >mm10_chr14:14042490-14042501(-)::chr14:14042489-14042501(-) TGCAGGAAGTGT >mm10_chr14:14056639-14056650(+)::chr14:14056638-14056650(+) ACAAGGGAGTGA >mm10_chr14:14058815-14058826(-)::chr14:14058814-14058826(-) AGAAGGAAACAA >mm10_chr14:14058851-14058862(+)::chr14:14058850-14058862(+) TCCAGGAAGACG >mm10_chr14:14067928-14067939(-)::chr14:14067927-14067939(-) ACCAGGAAGCAC >mm10_chr14:14105655-14105666(-)::chr14:14105654-14105666(-) CGAACGAAGTCT >mm10_chr14:14120981-14120992(-)::chr14:14120980-14120992(-) GACCGGAAGTCA >mm10_chr14:14346141-14346152(-)::chr14:14346140-14346152(-) GACAGGAAGTCG >mm10_chr14:14673104-14673115(-)::chr14:14673103-14673115(-) AGCAGGAAGTGA >mm10_chr14:14673470-14673481(+)::chr14:14673469-14673481(+) CCCAGGAAGTCT >mm10_chr14:14687540-14687551(+)::chr14:14687539-14687551(+) acaaggaagaag >mm10_chr14:14687547-14687558(+)::chr14:14687546-14687558(+) agaaggaagtga >mm10_chr14:14742765-14742776(-)::chr14:14742764-14742776(-) TGAAGGCAGTAT >mm10_chr14:14916603-14916614(+)::chr14:14916602-14916614(+) agaaggaaggag >mm10_chr14:14916610-14916621(+)::chr14:14916609-14916621(+) aggaggaagagg >mm10_chr14:15609040-15609051(+)::chr14:15609039-15609051(+) CACAGGAAGTAG >mm10_chr14:15801804-15801815(+)::chr14:15801803-15801815(+) ACAAGGAAGACA >mm10_chr14:16136285-16136296(-)::chr14:16136284-16136296(-) GCCAGGAAGGGC >mm10_chr14:16173585-16173596(+)::chr14:16173584-16173596(+) GACAGGAAATAA >mm10_chr14:16178763-16178774(+)::chr14:16178762-16178774(+) GCAAGGAACTAT >mm10_chr14:16183161-16183172(-)::chr14:16183160-16183172(-) aagaggaagttg >mm10_chr14:16244021-16244032(+)::chr14:16244020-16244032(+) GACAGGAAGTGA >mm10_chr14:16264097-16264108(-)::chr14:16264096-16264108(-) gataggaagttg >mm10_chr14:16314862-16314873(-)::chr14:16314861-16314873(-) AAGAGGAAGAAA >mm10_chr14:16392547-16392558(-)::chr14:16392546-16392558(-) CGCAGGAAGTTG >mm10_chr14:16598087-16598098(-)::chr14:16598086-16598098(-) GGCAGGAAGAAG >mm10_chr14:16603205-16603216(-)::chr14:16603204-16603216(-) AGCAGGAACTGA >mm10_chr14:16747409-16747420(-)::chr14:16747408-16747420(-) ttaaggaaatgt >mm10_chr14:16756438-16756449(+)::chr14:16756437-16756449(+) GGGAGGAAGTGA >mm10_chr14:16864604-16864615(-)::chr14:16864603-16864615(-) AAAAGGAAGGGA >mm10_chr14:17120952-17120963(-)::chr14:17120951-17120963(-) ACAAGGAAGACA >mm10_chr14:17173283-17173294(+)::chr14:17173282-17173294(+) AAAGGGAAGTAG >mm10_chr14:17336075-17336086(+)::chr14:17336074-17336086(+) tacaggaaggca >mm10_chr14:17340207-17340218(-)::chr14:17340206-17340218(-) aacaggaaattc >mm10_chr14:17340231-17340242(+)::chr14:17340230-17340242(+) aggaggaagttg >mm10_chr14:17340251-17340262(-)::chr14:17340250-17340262(-) atcaggaagaca >mm10_chr14:17360773-17360784(-)::chr14:17360772-17360784(-) AGAAGGAAGGAG >mm10_chr14:17386266-17386277(+)::chr14:17386265-17386277(+) AAAGGGAAGGTA >mm10_chr14:17414435-17414446(-)::chr14:17414434-17414446(-) TCCAGGAAGTGC >mm10_chr14:17414722-17414733(-)::chr14:17414721-17414733(-) ACCAGGAAGAGC >mm10_chr14:17414747-17414758(-)::chr14:17414746-17414758(-) ACAAGGAACTGA >mm10_chr14:17669238-17669249(+)::chr14:17669237-17669249(+) ACCAGGAAATAA >mm10_chr14:17669250-17669261(+)::chr14:17669249-17669261(+) CACAGGAAGTGG >mm10_chr14:17690372-17690383(-)::chr14:17690371-17690383(-) ATGAGGAAGGCC >mm10_chr14:17690396-17690407(-)::chr14:17690395-17690407(-) AGTAGGAAGGGG >mm10_chr14:17701799-17701810(+)::chr14:17701798-17701810(+) ACAAGGAAGTAA >mm10_chr14:17716036-17716047(+)::chr14:17716035-17716047(+) atgaggaagatc >mm10_chr14:17716081-17716092(+)::chr14:17716080-17716092(+) aacaggaagtag >mm10_chr14:17720212-17720223(-)::chr14:17720211-17720223(-) aagaggaaggaa >mm10_chr14:17725585-17725596(+)::chr14:17725584-17725596(+) AGGAGGAAATGA >mm10_chr14:17726880-17726891(+)::chr14:17726879-17726891(+) AGCAGTAAGTAG >mm10_chr14:17726904-17726915(+)::chr14:17726903-17726915(+) ACAAGGAAGGAA >mm10_chr14:17726908-17726919(+)::chr14:17726907-17726919(+) GGAAGGAAGGGG >mm10_chr14:17727961-17727972(-)::chr14:17727960-17727972(-) ATCAGGAAGAAA >mm10_chr14:17741467-17741478(-)::chr14:17741466-17741478(-) TAGAGGAAATGG >mm10_chr14:17756925-17756936(+)::chr14:17756924-17756936(+) ACAAGGAAGTCC >mm10_chr14:17756940-17756951(-)::chr14:17756939-17756951(-) GGAAAGAAGTGA >mm10_chr14:17757116-17757127(-)::chr14:17757115-17757127(-) AGAAGGAACTGG >mm10_chr14:17760219-17760230(+)::chr14:17760218-17760230(+) caaaggaagtag >mm10_chr14:17760273-17760284(-)::chr14:17760272-17760284(-) ttaaggaagtcc >mm10_chr14:17829401-17829412(-)::chr14:17829400-17829412(-) ACAAGGAAGCAA >mm10_chr14:17831859-17831870(+)::chr14:17831858-17831870(+) ACCAGGAAATCA >mm10_chr14:17832105-17832116(+)::chr14:17832104-17832116(+) AAAAGGAAGTGG >mm10_chr14:17851582-17851593(+)::chr14:17851581-17851593(+) ACAAGGAAGTGC >mm10_chr14:17851590-17851601(-)::chr14:17851589-17851601(-) ATGAGGAAGCAC >mm10_chr14:17873762-17873773(+)::chr14:17873761-17873773(+) AACAGGAAGCAC >mm10_chr14:17873795-17873806(+)::chr14:17873794-17873806(+) ACAAGGAAGTAA >mm10_chr14:17885499-17885510(-)::chr14:17885498-17885510(-) ACCAGGAAATAT >mm10_chr14:17902209-17902220(-)::chr14:17902208-17902220(-) AGAAGGAAAAAC >mm10_chr14:17908841-17908852(-)::chr14:17908840-17908852(-) AGAAGGAAGAGT >mm10_chr14:17977511-17977522(-)::chr14:17977510-17977522(-) ACCAGGAAGGAA >mm10_chr14:17977525-17977536(-)::chr14:17977524-17977536(-) ATAGGGAAGGAC >mm10_chr14:17996464-17996475(-)::chr14:17996463-17996475(-) TGAAGGAAGGGA >mm10_chr14:18002097-18002108(+)::chr14:18002096-18002108(+) AACAGGAAGAAA >mm10_chr14:18002117-18002128(+)::chr14:18002116-18002128(+) GGCAGGAAGTAG >mm10_chr14:18010714-18010725(+)::chr14:18010713-18010725(+) GCCAGGAAGAAC >mm10_chr14:18010753-18010764(-)::chr14:18010752-18010764(-) TTAAGGAAGTCT >mm10_chr14:18010763-18010774(+)::chr14:18010762-18010774(+) AACAGGAAGCAC >mm10_chr14:18021975-18021986(-)::chr14:18021974-18021986(-) ttcaggaagtgg >mm10_chr14:18049249-18049260(+)::chr14:18049248-18049260(+) caaaggaaggag >mm10_chr14:18049256-18049267(+)::chr14:18049255-18049267(+) aggaggaagtag >mm10_chr14:18049301-18049312(-)::chr14:18049300-18049312(-) aagaggaaggag >mm10_chr14:18136819-18136830(+)::chr14:18136818-18136830(+) ACAAGCAAGTGC >mm10_chr14:18219672-18219683(+)::chr14:18219671-18219683(+) aaAAGGAAGTGC >mm10_chr14:18219688-18219699(+)::chr14:18219687-18219699(+) ACAAGGTAGTGC >mm10_chr14:18234022-18234033(-)::chr14:18234021-18234033(-) TAAAGGAAGTTG >mm10_chr14:18239138-18239149(-)::chr14:18239137-18239149(-) TAGAGGAAGTGG >mm10_chr14:18271234-18271245(+)::chr14:18271233-18271245(+) CGGAGGAAGCAG >mm10_chr14:18299320-18299331(+)::chr14:18299319-18299331(+) TACAGGAAGTAG >mm10_chr14:18307363-18307374(+)::chr14:18307362-18307374(+) AGAAGGAAGATT >mm10_chr14:18307386-18307397(+)::chr14:18307385-18307397(+) AGGAGGAAGAAA >mm10_chr14:18421947-18421958(+)::chr14:18421946-18421958(+) gtaaggaagttt >mm10_chr14:18427469-18427480(-)::chr14:18427468-18427480(-) ataagaaagtgt >mm10_chr14:18427544-18427555(+)::chr14:18427543-18427555(+) ACTAGGAAGCTA >mm10_chr14:18574744-18574755(+)::chr14:18574743-18574755(+) ACATGGAAGTAA >mm10_chr14:18574788-18574799(-)::chr14:18574787-18574799(-) AGAAGGAAGCAT >mm10_chr14:18574795-18574806(-)::chr14:18574794-18574806(-) TGAAGGAAGAAG >mm10_chr14:18870931-18870942(+)::chr14:18870930-18870942(+) GGCAGGAAGTTA >mm10_chr14:18883892-18883903(-)::chr14:18883891-18883903(-) aggaggaagggg >mm10_chr14:18883904-18883915(-)::chr14:18883903-18883915(-) ggaaggaaggta >mm10_chr14:18883908-18883919(-)::chr14:18883907-18883919(-) aggaggaaggaa >mm10_chr14:18883935-18883946(-)::chr14:18883934-18883946(-) aggaggaagaag >mm10_chr14:18905884-18905895(-)::chr14:18905883-18905895(-) TTAGGGAAGTCC >mm10_chr14:19418817-19418828(+)::chr14:19418816-19418828(+) ttaaggacgtga >mm10_chr14:19626719-19626730(-)::chr14:19626718-19626730(-) TTGAGGAAGTAG >mm10_chr14:19626733-19626744(+)::chr14:19626732-19626744(+) GGCAGGAAATGT >mm10_chr14:19647896-19647907(-)::chr14:19647895-19647907(-) acaaggaaatga >mm10_chr14:19713214-19713225(-)::chr14:19713213-19713225(-) agcaggaagctg >mm10_chr14:19802201-19802212(-)::chr14:19802200-19802212(-) CCAAGGAAGCAC >mm10_chr14:19888823-19888834(+)::chr14:19888822-19888834(+) TAAAGGAAATGA >mm10_chr14:19904219-19904230(-)::chr14:19904218-19904230(-) GGAAGGAAGTCA >mm10_chr14:19977232-19977243(+)::chr14:19977231-19977243(+) TACAGGAAGCAG >mm10_chr14:19977252-19977263(-)::chr14:19977251-19977263(-) AGGAGGAAGTGG >mm10_chr14:19986212-19986223(+)::chr14:19986211-19986223(+) AGGGGGAAGTGG >mm10_chr14:19986853-19986864(-)::chr14:19986852-19986864(-) TAAAGGAAGTTT >mm10_chr14:19995756-19995767(-)::chr14:19995755-19995767(-) acagggaagtag >mm10_chr14:19995802-19995813(-)::chr14:19995801-19995813(-) acagggaagtag >mm10_chr14:20032579-20032590(+)::chr14:20032578-20032590(+) ACGAGGAAGCCT >mm10_chr14:20032619-20032630(+)::chr14:20032618-20032630(+) GGAAGGAAGGCA >mm10_chr14:20067432-20067443(+)::chr14:20067431-20067443(+) GAAAGGAAGGGA >mm10_chr14:20082781-20082792(+)::chr14:20082780-20082792(+) ACAAGGAAGAGC >mm10_chr14:20090920-20090931(-)::chr14:20090919-20090931(-) GCCAGGAAGACA >mm10_chr14:20095132-20095143(-)::chr14:20095131-20095143(-) gtaaggaaatta >mm10_chr14:20095142-20095153(-)::chr14:20095141-20095153(-) ggaaggatgcgt >mm10_chr14:20105610-20105621(+)::chr14:20105609-20105621(+) aagagGAAGGAG >mm10_chr14:20105637-20105648(+)::chr14:20105636-20105648(+) aggaggaagagg >mm10_chr14:20105646-20105657(+)::chr14:20105645-20105657(+) aggaggaagagg >mm10_chr14:20105652-20105663(+)::chr14:20105651-20105663(+) aagaggaagaga >mm10_chr14:20105661-20105672(+)::chr14:20105660-20105672(+) agaaggaagagg >mm10_chr14:20105667-20105678(+)::chr14:20105666-20105678(+) aagaggaagaga >mm10_chr14:20110048-20110059(+)::chr14:20110047-20110059(+) ggcaggaagcag >mm10_chr14:20110097-20110108(-)::chr14:20110096-20110108(-) ttaaggaagtaa >mm10_chr14:20117572-20117583(-)::chr14:20117571-20117583(-) CACAGGAAGTCT >mm10_chr14:20117615-20117626(+)::chr14:20117614-20117626(+) AAGAGGAAGTGT >mm10_chr14:20156069-20156080(-)::chr14:20156068-20156080(-) AGAAGGAACTGC >mm10_chr14:20161156-20161167(+)::chr14:20161155-20161167(+) AGCAGGAAGGAC >mm10_chr14:20176602-20176613(+)::chr14:20176601-20176613(+) ggaaggaaggaa >mm10_chr14:20176606-20176617(+)::chr14:20176605-20176617(+) ggaaggaaggaa >mm10_chr14:20176610-20176621(+)::chr14:20176609-20176621(+) ggaaggaaggat >mm10_chr14:20176637-20176648(-)::chr14:20176636-20176648(-) AAAAGGAAGACA >mm10_chr14:20178091-20178102(+)::chr14:20178090-20178102(+) ATGAGGAAATGC >mm10_chr14:20178107-20178118(+)::chr14:20178106-20178118(+) GCCAGGAAGCAC >mm10_chr14:20182289-20182300(-)::chr14:20182288-20182300(-) GTAAGGAAGAGG >mm10_chr14:20182327-20182338(-)::chr14:20182326-20182338(-) AGGGGGAAGTGG >mm10_chr14:20193401-20193412(+)::chr14:20193400-20193412(+) aagaggaaggag >mm10_chr14:20223433-20223444(+)::chr14:20223432-20223444(+) AGAAGGAAGTGG >mm10_chr14:20223485-20223496(+)::chr14:20223484-20223496(+) GGCAGGAACTAA >mm10_chr14:20224733-20224744(-)::chr14:20224732-20224744(-) AGCAGGAAGAGA >mm10_chr14:20226068-20226079(-)::chr14:20226067-20226079(-) acACGGAAGTCT >mm10_chr14:20233680-20233691(+)::chr14:20233679-20233691(+) aggaggaagcca >mm10_chr14:20235137-20235148(+)::chr14:20235136-20235148(+) aggaggaactac >mm10_chr14:20243221-20243232(-)::chr14:20243220-20243232(-) gggaggaaatga >mm10_chr14:20247067-20247078(-)::chr14:20247066-20247078(-) AGGAGGAAGCAC >mm10_chr14:20348134-20348145(-)::chr14:20348133-20348145(-) GAGCGGAAGTGA >mm10_chr14:20348148-20348159(+)::chr14:20348147-20348159(+) GAGAGGAAGGAG >mm10_chr14:20478948-20478959(+)::chr14:20478947-20478959(+) AACAGGAAGTGT >mm10_chr14:20607429-20607440(-)::chr14:20607428-20607440(-) TGAAGGAAGGAC >mm10_chr14:20607506-20607517(+)::chr14:20607505-20607517(+) CTAAGGAAGCTA >mm10_chr14:20631526-20631537(-)::chr14:20631525-20631537(-) GTCAGGAAGAAC >mm10_chr14:20631557-20631568(-)::chr14:20631556-20631568(-) AGCAGGAAATGA >mm10_chr14:20655927-20655938(-)::chr14:20655926-20655938(-) ACAAGGAACATA >mm10_chr14:20663712-20663723(+)::chr14:20663711-20663723(+) GCCAGGAAGTGG >mm10_chr14:20675796-20675807(+)::chr14:20675795-20675807(+) GGCAGGAAGTTT >mm10_chr14:20682595-20682606(-)::chr14:20682594-20682606(-) AACAGGAAGCTA >mm10_chr14:20684719-20684730(-)::chr14:20684718-20684730(-) CAAAGGAAGAGG >mm10_chr14:20693602-20693613(-)::chr14:20693601-20693613(-) GTAAGGAAGAAC >mm10_chr14:20693619-20693630(-)::chr14:20693618-20693630(-) GTAAGGAAGGGC >mm10_chr14:20707510-20707521(+)::chr14:20707509-20707521(+) GGGCGGAAGTAG >mm10_chr14:20725403-20725414(+)::chr14:20725402-20725414(+) AACAGGAAGTCA >mm10_chr14:20725699-20725710(+)::chr14:20725698-20725710(+) TGCAGGAAGAGA >mm10_chr14:20725755-20725766(+)::chr14:20725754-20725766(+) TGAAGGAAGTAA >mm10_chr14:20725763-20725774(+)::chr14:20725762-20725774(+) GTAAGGAAATAC >mm10_chr14:20767297-20767308(-)::chr14:20767296-20767308(-) agcaggaagact >mm10_chr14:20827580-20827591(-)::chr14:20827579-20827591(-) ATCAGGAAGTTC >mm10_chr14:20836193-20836204(+)::chr14:20836192-20836204(+) TAAAGGAACTGC >mm10_chr14:20871650-20871661(-)::chr14:20871649-20871661(-) AACAGGAAGTTA >mm10_chr14:20885346-20885357(-)::chr14:20885345-20885357(-) TCAAGAAAGTCG >mm10_chr14:20885373-20885384(-)::chr14:20885372-20885384(-) ACAAGGAACTGA >mm10_chr14:20893887-20893898(-)::chr14:20893886-20893898(-) GGCAGGAAGACT >mm10_chr14:20893926-20893937(+)::chr14:20893925-20893937(+) ATAAGGAAGTTT >mm10_chr14:20964144-20964155(-)::chr14:20964143-20964155(-) GAAAGGAAGTGA >mm10_chr14:20964155-20964166(-)::chr14:20964154-20964166(-) AGGAGGAAGAAG >mm10_chr14:20985728-20985739(-)::chr14:20985727-20985739(-) AACAGGAAGCAG >mm10_chr14:21065997-21066008(-)::chr14:21065996-21066008(-) TGCAGGAAATAC >mm10_chr14:21090606-21090617(-)::chr14:21090605-21090617(-) ttgaggaagtta >mm10_chr14:21099491-21099502(-)::chr14:21099490-21099502(-) CCAAGGAAGGAA >mm10_chr14:21099502-21099513(-)::chr14:21099501-21099513(-) AGAAGGAAATGC >mm10_chr14:21194523-21194534(-)::chr14:21194522-21194534(-) gaaaggaagagc >mm10_chr14:21194552-21194563(-)::chr14:21194551-21194563(-) AGGAGGAAGTAA >mm10_chr14:21206641-21206652(+)::chr14:21206640-21206652(+) AGAAGGAAGTTC >mm10_chr14:21206671-21206682(-)::chr14:21206670-21206682(-) GCTAGGAAGATA >mm10_chr14:21272876-21272887(+)::chr14:21272875-21272887(+) gtaaggaagaga >mm10_chr14:21273730-21273741(-)::chr14:21273729-21273741(-) ataaggaaacca >mm10_chr14:21273743-21273754(+)::chr14:21273742-21273754(+) tagaggaagtgc >mm10_chr14:21284293-21284304(+)::chr14:21284292-21284304(+) GCCAGGAAGTTC >mm10_chr14:21284499-21284510(-)::chr14:21284498-21284510(-) GAAAGGAAATGT >mm10_chr14:21299882-21299893(+)::chr14:21299881-21299893(+) TACAGGAAGAAA >mm10_chr14:21299923-21299934(-)::chr14:21299922-21299934(-) ATGAGGAAGTGA >mm10_chr14:21312077-21312088(+)::chr14:21312076-21312088(+) AGAAGCAAGTTG >mm10_chr14:21465289-21465300(-)::chr14:21465288-21465300(-) accaggaaatgc >mm10_chr14:21474911-21474922(-)::chr14:21474910-21474922(-) acaaggaaatgg >mm10_chr14:21475875-21475886(+)::chr14:21475874-21475886(+) TGTAGGAAGAGA >mm10_chr14:21539872-21539883(-)::chr14:21539871-21539883(-) AAgaggacgtaa >mm10_chr14:21553200-21553211(+)::chr14:21553199-21553211(+) GTAGGGAAGTAG >mm10_chr14:21585744-21585755(+)::chr14:21585743-21585755(+) ACCAGGAAGCAA >mm10_chr14:21817665-21817676(-)::chr14:21817664-21817676(-) tAAAGGAAATGA >mm10_chr14:21818723-21818734(+)::chr14:21818722-21818734(+) GGAAGGAACGAA >mm10_chr14:21818740-21818751(+)::chr14:21818739-21818751(+) AAGAGGAAGAGG >mm10_chr14:21822903-21822914(-)::chr14:21822902-21822914(-) AGGAGGAAGCAG >mm10_chr14:21822963-21822974(-)::chr14:21822962-21822974(-) CCCAGGAAGTCA >mm10_chr14:21843454-21843465(+)::chr14:21843453-21843465(+) GTGAGGAAGGAA >mm10_chr14:21843458-21843469(+)::chr14:21843457-21843469(+) GGAAGGAAGGAa >mm10_chr14:21843462-21843473(+)::chr14:21843461-21843473(+) GGAAGGAagcca >mm10_chr14:21843508-21843519(+)::chr14:21843507-21843519(+) TGGAGGAAGTAG >mm10_chr14:21843535-21843546(-)::chr14:21843534-21843546(-) AGTAGGAAGTTT >mm10_chr14:21890655-21890666(-)::chr14:21890654-21890666(-) AAGAGGAAGgag >mm10_chr14:21890698-21890709(-)::chr14:21890697-21890709(-) aaaaggaaGTCT >mm10_chr14:21936417-21936428(-)::chr14:21936416-21936428(-) TGGAGGAAGATA >mm10_chr14:21936458-21936469(-)::chr14:21936457-21936469(-) GGAAGGAATTGA >mm10_chr14:21940962-21940973(-)::chr14:21940961-21940973(-) AGGAGGAAATgg >mm10_chr14:21941689-21941700(+)::chr14:21941688-21941700(+) gggaggaaatag >mm10_chr14:21941733-21941744(+)::chr14:21941732-21941744(+) aaagggaagtca >mm10_chr14:22103154-22103165(+)::chr14:22103153-22103165(+) taaaggaagata >mm10_chr14:22167373-22167384(-)::chr14:22167372-22167384(-) GGAGGGAAGTCT >mm10_chr14:22167392-22167403(-)::chr14:22167391-22167403(-) ACAAGGAAGAGT >mm10_chr14:22183447-22183458(+)::chr14:22183446-22183458(+) tgcaggaagtgg >mm10_chr14:22224207-22224218(+)::chr14:22224206-22224218(+) ACAAGGAAGAGA >mm10_chr14:22247451-22247462(+)::chr14:22247450-22247462(+) gAaaggaaggaa >mm10_chr14:22247478-22247489(+)::chr14:22247477-22247489(+) aagaggaaggga >mm10_chr14:22367977-22367988(-)::chr14:22367976-22367988(-) TTAAGGAAGTTG >mm10_chr14:22433510-22433521(-)::chr14:22433509-22433521(-) CCCAGGAAGTTG >mm10_chr14:22582833-22582844(-)::chr14:22582832-22582844(-) AGACGGAAGGAG >mm10_chr14:22633639-22633650(-)::chr14:22633638-22633650(-) TAAAGGAAGTTG >mm10_chr14:22636164-22636175(+)::chr14:22636163-22636175(+) ACAAGGAAGCAT >mm10_chr14:22650878-22650889(-)::chr14:22650877-22650889(-) AAAAGGACGTCC >mm10_chr14:22759756-22759767(-)::chr14:22759755-22759767(-) AGAAGGAAGCCT >mm10_chr14:22759763-22759774(-)::chr14:22759762-22759774(-) ATGAGGAAGAAG >mm10_chr14:22767254-22767265(+)::chr14:22767253-22767265(+) atcaggaagctg >mm10_chr14:22767277-22767288(+)::chr14:22767276-22767288(+) gacaggaaggtg >mm10_chr14:22767302-22767313(-)::chr14:22767301-22767313(-) tcaaggaaggag >mm10_chr14:22791011-22791022(-)::chr14:22791010-22791022(-) ACAAAGAAGTAA >mm10_chr14:22791060-22791071(-)::chr14:22791059-22791071(-) ATGAGGAAGTCA >mm10_chr14:22807740-22807751(+)::chr14:22807739-22807751(+) AACAGGAAGTGC >mm10_chr14:22914124-22914135(+)::chr14:22914123-22914135(+) AGCAGGAAGCTA >mm10_chr14:22941152-22941163(+)::chr14:22941151-22941163(+) CGCAGGAAGCAG >mm10_chr14:22941159-22941170(+)::chr14:22941158-22941170(+) AGCAGGAAGCAG >mm10_chr14:22941166-22941177(+)::chr14:22941165-22941177(+) AGCAGGAAGCAA >mm10_chr14:23133310-23133321(-)::chr14:23133309-23133321(-) atgagGAAATGT >mm10_chr14:23146935-23146946(+)::chr14:23146934-23146946(+) gccaggaagaaa >mm10_chr14:23147223-23147234(+)::chr14:23147222-23147234(+) ACAAGGAGGTAG >mm10_chr14:23158165-23158176(-)::chr14:23158164-23158176(-) atagggaagtag >mm10_chr14:23158197-23158208(-)::chr14:23158196-23158208(-) acaaggaagtaa >mm10_chr14:23171238-23171249(+)::chr14:23171237-23171249(+) ATCAGGAAGCCA >mm10_chr14:23207787-23207798(+)::chr14:23207786-23207798(+) AGCAGGAACTTA >mm10_chr14:23207826-23207837(+)::chr14:23207825-23207837(+) GGGAGGAAGAAA >mm10_chr14:23218716-23218727(-)::chr14:23218715-23218727(-) accaggaagtag >mm10_chr14:23306486-23306497(+)::chr14:23306485-23306497(+) tggaggaagaaa >mm10_chr14:23306502-23306513(+)::chr14:23306501-23306513(+) agaaggaaggga >mm10_chr14:23452362-23452373(-)::chr14:23452361-23452373(-) AAAAGGAAGCAA >mm10_chr14:23813696-23813707(-)::chr14:23813695-23813707(-) tataggaaatgg >mm10_chr14:23813771-23813782(-)::chr14:23813770-23813782(-) ttaaggaaggag >mm10_chr14:24002249-24002260(+)::chr14:24002248-24002260(+) AAGAGGAAGCAA >mm10_chr14:24002261-24002272(+)::chr14:24002260-24002272(+) AGAAGGAAGGGT >mm10_chr14:24122531-24122542(+)::chr14:24122530-24122542(+) ggagggaagtca >mm10_chr14:24172105-24172116(-)::chr14:24172104-24172116(-) TGAAGGAAGCCC >mm10_chr14:24173322-24173333(-)::chr14:24173321-24173333(-) AAGAGGAAGCTA >mm10_chr14:24197403-24197414(-)::chr14:24197402-24197414(-) TGCAGGAAGTGC >mm10_chr14:24226569-24226580(+)::chr14:24226568-24226580(+) AAGAGGAAGAAA >mm10_chr14:24227286-24227297(-)::chr14:24227285-24227297(-) CTGAGGAAGTGA >mm10_chr14:24227301-24227312(+)::chr14:24227300-24227312(+) ACAAGGAACTTC >mm10_chr14:24239718-24239729(-)::chr14:24239717-24239729(-) AACAGGAAGAAA >mm10_chr14:24239789-24239800(+)::chr14:24239788-24239800(+) TGCAGGAAGCAG >mm10_chr14:24242082-24242093(+)::chr14:24242081-24242093(+) GACAGGAAGAGA >mm10_chr14:24242128-24242139(+)::chr14:24242127-24242139(+) AGCAGGAAGCCA >mm10_chr14:24244533-24244544(-)::chr14:24244532-24244544(-) TTGAGGAAGTTG >mm10_chr14:24251477-24251488(-)::chr14:24251476-24251488(-) GCCAGGAAATGT >mm10_chr14:24374370-24374381(+)::chr14:24374369-24374381(+) aggaggaagtgg >mm10_chr14:24374382-24374393(+)::chr14:24374381-24374393(+) aggaggaagaag >mm10_chr14:24374415-24374426(+)::chr14:24374414-24374426(+) agcaggaagtaa >mm10_chr14:24374433-24374444(+)::chr14:24374432-24374444(+) tggaggaagagg >mm10_chr14:24396641-24396652(-)::chr14:24396640-24396652(-) ATGAGGAAGGTC >mm10_chr14:24469062-24469073(+)::chr14:24469061-24469073(+) AACAGGAAGCTA >mm10_chr14:24487005-24487016(+)::chr14:24487004-24487016(+) GTAAGGAAGCTG >mm10_chr14:24487054-24487065(-)::chr14:24487053-24487065(-) AAGAGGAAGCGG >mm10_chr14:24779368-24779379(-)::chr14:24779367-24779379(-) GGGAGGAAGTGG >mm10_chr14:24780268-24780279(+)::chr14:24780267-24780279(+) AGGAGGAAGTCC >mm10_chr14:24846964-24846975(-)::chr14:24846963-24846975(-) CCAAGGAAGCAC >mm10_chr14:24870175-24870186(-)::chr14:24870174-24870186(-) tccaggaagtga >mm10_chr14:24880892-24880903(-)::chr14:24880891-24880903(-) agaaggaagAGG >mm10_chr14:24880903-24880914(-)::chr14:24880902-24880914(-) ccaaggaagcca >mm10_chr14:24921666-24921677(+)::chr14:24921665-24921677(+) AGAAGGAAGAGG >mm10_chr14:24921704-24921715(+)::chr14:24921703-24921715(+) TCCAGGAAGGAT >mm10_chr14:24921723-24921734(+)::chr14:24921722-24921734(+) ACCAGGAAGAGT >mm10_chr14:24980754-24980765(+)::chr14:24980753-24980765(+) GTAAGGAAGAAG >mm10_chr14:24980761-24980772(+)::chr14:24980760-24980772(+) AGAAGGAATCGG >mm10_chr14:25108388-25108399(+)::chr14:25108387-25108399(+) CCCAGGAAGTGT >mm10_chr14:25120780-25120791(+)::chr14:25120779-25120791(+) TGTAGGAAGTCT >mm10_chr14:25120844-25120855(-)::chr14:25120843-25120855(-) AGGAGGAAATTG >mm10_chr14:25135491-25135502(-)::chr14:25135490-25135502(-) ACCAGGAAGTCT >mm10_chr14:25138294-25138305(-)::chr14:25138293-25138305(-) ACCAGGAAGTTG >mm10_chr14:25138767-25138778(-)::chr14:25138766-25138778(-) aggaggaagatt >mm10_chr14:25181860-25181871(+)::chr14:25181859-25181871(+) AGTAGGAAGCGT >mm10_chr14:25201581-25201592(-)::chr14:25201580-25201592(-) gggaggaagagg >mm10_chr14:25247622-25247633(-)::chr14:25247621-25247633(-) TCCAGGAAGTGA >mm10_chr14:25295929-25295940(+)::chr14:25295928-25295940(+) GAAAGGAAGTCA >mm10_chr14:25304697-25304708(-)::chr14:25304696-25304708(-) CTCAGGAAGCGG >mm10_chr14:25307380-25307391(-)::chr14:25307379-25307391(-) AGGAGGAAATGT >mm10_chr14:25307387-25307398(-)::chr14:25307386-25307398(-) GTGAGGAAGGAG >mm10_chr14:25313164-25313175(-)::chr14:25313163-25313175(-) agcaggaagact >mm10_chr14:25347739-25347750(+)::chr14:25347738-25347750(+) tccaggaaatat >mm10_chr14:25347770-25347781(+)::chr14:25347769-25347781(+) aggaggaagagg >mm10_chr14:25347776-25347787(+)::chr14:25347775-25347787(+) aagaggaagagg >mm10_chr14:25349984-25349995(+)::chr14:25349983-25349995(+) TCCAGGAAGAAT >mm10_chr14:25366715-25366726(+)::chr14:25366714-25366726(+) ACAGGGAAGTCA >mm10_chr14:25371026-25371037(+)::chr14:25371025-25371037(+) atgaggaaatgg >mm10_chr14:25371073-25371084(+)::chr14:25371072-25371084(+) accaggaaatga >mm10_chr14:25371081-25371092(+)::chr14:25371080-25371092(+) atgaggaagcca >mm10_chr14:25373380-25373391(-)::chr14:25373379-25373391(-) GGGAGGAAGAGA >mm10_chr14:25376045-25376056(+)::chr14:25376044-25376056(+) aaaaggaaGAGA >mm10_chr14:25376069-25376080(+)::chr14:25376068-25376080(+) AGCAGGAAGACA >mm10_chr14:25376082-25376093(+)::chr14:25376081-25376093(+) AGAAGGAAAGGG >mm10_chr14:25377059-25377070(-)::chr14:25377058-25377070(-) AGAAGGATGTGA >mm10_chr14:25377066-25377077(-)::chr14:25377065-25377077(-) CGGAGGAAGAAG >mm10_chr14:25413455-25413466(+)::chr14:25413454-25413466(+) agagggaaggga >mm10_chr14:25413511-25413522(+)::chr14:25413510-25413522(+) AAAAGGAAGCAG >mm10_chr14:25466458-25466469(-)::chr14:25466457-25466469(-) GGCAGGAAGTGG >mm10_chr14:25466525-25466536(+)::chr14:25466524-25466536(+) ACAAGGAAGCAG >mm10_chr14:25472725-25472736(+)::chr14:25472724-25472736(+) ACAAGGAAGTGT >mm10_chr14:25472739-25472750(-)::chr14:25472738-25472750(-) TTCAGGAAGTTG >mm10_chr14:25481457-25481468(-)::chr14:25481456-25481468(-) CGGAGGAAGGGC >mm10_chr14:25487351-25487362(+)::chr14:25487350-25487362(+) GAAAGGAAGGGG >mm10_chr14:25506173-25506184(+)::chr14:25506172-25506184(+) TGGAGGAAGAGA >mm10_chr14:25515511-25515522(-)::chr14:25515510-25515522(-) aagaggaagagg >mm10_chr14:25515517-25515528(-)::chr14:25515516-25515528(-) aggaggaagagg >mm10_chr14:25515529-25515540(-)::chr14:25515528-25515540(-) aggaggaagggg >mm10_chr14:25515556-25515567(-)::chr14:25515555-25515567(-) aggaggaagagg >mm10_chr14:25515571-25515582(-)::chr14:25515570-25515582(-) aggaggaagaag >mm10_chr14:25543287-25543298(-)::chr14:25543286-25543298(-) ctaaggaagttg >mm10_chr14:25584913-25584924(-)::chr14:25584912-25584924(-) ATGAGGAAGGGA >mm10_chr14:25584941-25584952(-)::chr14:25584940-25584952(-) TCAAGGAAGATA >mm10_chr14:25587803-25587814(-)::chr14:25587802-25587814(-) AGGAGGAAGTCT >mm10_chr14:25594905-25594916(-)::chr14:25594904-25594916(-) GGAAGGAAGCCT >mm10_chr14:25601254-25601265(-)::chr14:25601253-25601265(-) GCCGGGAAGTAG >mm10_chr14:25601301-25601312(-)::chr14:25601300-25601312(-) CCCAGGAAGTCT >mm10_chr14:25613026-25613037(-)::chr14:25613025-25613037(-) aggaggaaggga >mm10_chr14:25613042-25613053(-)::chr14:25613041-25613053(-) ataaggaaggga >mm10_chr14:25630418-25630429(+)::chr14:25630417-25630429(+) ACCAGGAAGTGC >mm10_chr14:25682359-25682370(+)::chr14:25682358-25682370(+) aggaggaaggag >mm10_chr14:25682366-25682377(+)::chr14:25682365-25682377(+) aggaggaaggga >mm10_chr14:25686284-25686295(-)::chr14:25686283-25686295(-) AGCAGGAAGTGT >mm10_chr14:25686335-25686346(-)::chr14:25686334-25686346(-) AGGAGGAAATGT >mm10_chr14:25693511-25693522(-)::chr14:25693510-25693522(-) GCCAGGAAGTTG >mm10_chr14:25693541-25693552(+)::chr14:25693540-25693552(+) CTTAGGAAGTTA >mm10_chr14:25693972-25693983(-)::chr14:25693971-25693983(-) AGCCGGAAGTCC >mm10_chr14:25707762-25707773(+)::chr14:25707761-25707773(+) TGCAGGAAATGG >mm10_chr14:25724850-25724861(+)::chr14:25724849-25724861(+) ACAAGGAAGGAG >mm10_chr14:25725230-25725241(-)::chr14:25725229-25725241(-) TCCAGGAAGTGC >mm10_chr14:25725925-25725936(+)::chr14:25725924-25725936(+) gtcaggaagtcg >mm10_chr14:25773909-25773920(+)::chr14:25773908-25773920(+) CCCAGGAAGTGG >mm10_chr14:25773971-25773982(+)::chr14:25773970-25773982(+) AGTAGGAAGACC >mm10_chr14:25824024-25824035(+)::chr14:25824023-25824035(+) AGCAGGAAGCCC >mm10_chr14:25842645-25842656(-)::chr14:25842644-25842656(-) GTAAGGAAGACA >mm10_chr14:25855684-25855695(-)::chr14:25855683-25855695(-) GACAGGAAGTCA >mm10_chr14:26299385-26299396(-)::chr14:26299384-26299396(-) TGCAGGAAGAAA >mm10_chr14:26352864-26352875(+)::chr14:26352863-26352875(+) gtcaggaaataa >mm10_chr14:26379291-26379302(+)::chr14:26379290-26379302(+) GTCAGGAAGAAA >mm10_chr14:26487142-26487153(+)::chr14:26487141-26487153(+) AAGAGGAAATAA >mm10_chr14:26503396-26503407(+)::chr14:26503395-26503407(+) aggaggaaggac >mm10_chr14:26518043-26518054(+)::chr14:26518042-26518054(+) taaaggaacttc >mm10_chr14:26518073-26518084(+)::chr14:26518072-26518084(+) agaaggaactta >mm10_chr14:26528444-26528455(-)::chr14:26528443-26528455(-) GCAAGGAAGCCA >mm10_chr14:26554828-26554839(-)::chr14:26554827-26554839(-) TGAAGGAAATGA >mm10_chr14:26554848-26554859(-)::chr14:26554847-26554859(-) GCAAGGAGGTAG >mm10_chr14:26571154-26571165(+)::chr14:26571153-26571165(+) TCAAGGAAGACT >mm10_chr14:26571161-26571172(-)::chr14:26571160-26571172(-) TCCAGGAAGTCT >mm10_chr14:26596304-26596315(+)::chr14:26596303-26596315(+) TCAAGGAAGAAC >mm10_chr14:26612759-26612770(+)::chr14:26612758-26612770(+) TTGAGGAAGTCC >mm10_chr14:26638812-26638823(-)::chr14:26638811-26638823(-) CCAGGGAAGTGA >mm10_chr14:26638861-26638872(-)::chr14:26638860-26638872(-) AGGAGGAAGGCT >mm10_chr14:26640521-26640532(-)::chr14:26640520-26640532(-) ACAAGGAAAACA >mm10_chr14:26669910-26669921(+)::chr14:26669909-26669921(+) AACCGGAAGTGA >mm10_chr14:26676519-26676530(-)::chr14:26676518-26676530(-) gggaggaaggag >mm10_chr14:26858643-26858654(+)::chr14:26858642-26858654(+) AGAAGGAAGAGG >mm10_chr14:26858659-26858670(+)::chr14:26858658-26858670(+) TGCAGGAAGGAA >mm10_chr14:26858663-26858674(+)::chr14:26858662-26858674(+) GGAAGGAAGGAA >mm10_chr14:26858667-26858678(+)::chr14:26858666-26858678(+) GGAAGGAAATGT >mm10_chr14:26994532-26994543(+)::chr14:26994531-26994543(+) TAAGGGAAGTCT >mm10_chr14:26994581-26994592(-)::chr14:26994580-26994592(-) TGCAGGAAGTGC >mm10_chr14:27045112-27045123(+)::chr14:27045111-27045123(+) GGCAGGAAGTTC >mm10_chr14:27058749-27058760(+)::chr14:27058748-27058760(+) GGAAGGAAGCAA >mm10_chr14:27072047-27072058(-)::chr14:27072046-27072058(-) GAAAGGAAGGAC >mm10_chr14:27096639-27096650(-)::chr14:27096638-27096650(-) aggaggaagagg >mm10_chr14:27096649-27096660(-)::chr14:27096648-27096660(-) gagaggaaggag >mm10_chr14:27096657-27096668(-)::chr14:27096656-27096668(-) aGTaggaagaga >mm10_chr14:27096672-27096683(-)::chr14:27096671-27096683(-) aggaggaagaag >mm10_chr14:27104064-27104075(+)::chr14:27104063-27104075(+) AATAGGAAGTCC >mm10_chr14:27108886-27108897(+)::chr14:27108885-27108897(+) GCCAGGAAGTGG >mm10_chr14:27108949-27108960(+)::chr14:27108948-27108960(+) TCCAGGAAGGTA >mm10_chr14:27116837-27116848(-)::chr14:27116836-27116848(-) GACAGGAAGAAC >mm10_chr14:27116837-27116848(-)::chr14:27116836-27116848(-) GACAGGAAGAAC >mm10_chr14:27116853-27116864(-)::chr14:27116852-27116864(-) ATCAGGAAGTGA >mm10_chr14:27167359-27167370(-)::chr14:27167358-27167370(-) ACAAGGAAGTCA >mm10_chr14:27174346-27174357(+)::chr14:27174345-27174357(+) agcaggaactga >mm10_chr14:27193694-27193705(+)::chr14:27193693-27193705(+) CAGAGGAAGTAA >mm10_chr14:27227529-27227540(-)::chr14:27227528-27227540(-) AGGAGGAAGAGG >mm10_chr14:27227555-27227566(-)::chr14:27227554-27227566(-) aagaggaagagg >mm10_chr14:27230935-27230946(+)::chr14:27230934-27230946(+) ACCAGGAAGTCT >mm10_chr14:27232145-27232156(+)::chr14:27232144-27232156(+) GTAAGGAAGTTC >mm10_chr14:27236115-27236126(-)::chr14:27236114-27236126(-) AGAAGGAAGCCT >mm10_chr14:27236131-27236142(-)::chr14:27236130-27236142(-) GGAAGGAAGTAG >mm10_chr14:27236135-27236146(-)::chr14:27236134-27236146(-) AAAAGGAAGGAA >mm10_chr14:27237980-27237991(-)::chr14:27237979-27237991(-) GACAGGAAGTGA >mm10_chr14:27246585-27246596(+)::chr14:27246584-27246596(+) ACCAGGAAATCA >mm10_chr14:27272220-27272231(+)::chr14:27272219-27272231(+) ATAAGGAAGAAA >mm10_chr14:27284129-27284140(+)::chr14:27284128-27284140(+) TCAAGGAAATAG >mm10_chr14:27284501-27284512(+)::chr14:27284500-27284512(+) AGCAGGAAGGCT >mm10_chr14:27297061-27297072(+)::chr14:27297060-27297072(+) ATAAGGAAAAAG >mm10_chr14:27331683-27331694(+)::chr14:27331682-27331694(+) AGGAGGAAGTAA >mm10_chr14:27340803-27340814(+)::chr14:27340802-27340814(+) atagggaagttc >mm10_chr14:27347444-27347455(-)::chr14:27347443-27347455(-) AGCAGGAAGAGC >mm10_chr14:27350570-27350581(+)::chr14:27350569-27350581(+) ATGAGGAAGGAG >mm10_chr14:27350635-27350646(+)::chr14:27350634-27350646(+) ATTAGGAAGTAT >mm10_chr14:27351217-27351228(+)::chr14:27351216-27351228(+) AGTAGGAAGTAG >mm10_chr14:27351702-27351713(+)::chr14:27351701-27351713(+) GAAAGGAACTTA >mm10_chr14:27356352-27356363(-)::chr14:27356351-27356363(-) AGGAGGAAGCTA >mm10_chr14:27364890-27364901(+)::chr14:27364889-27364901(+) GGTAGGAAGAAC >mm10_chr14:27388564-27388575(-)::chr14:27388563-27388575(-) TGAAGGAAGTCC >mm10_chr14:27389918-27389929(+)::chr14:27389917-27389929(+) accaggaaatgt >mm10_chr14:27398554-27398565(-)::chr14:27398553-27398565(-) AGAAGGAACTTT >mm10_chr14:27402667-27402678(+)::chr14:27402666-27402678(+) ggcaggaagatg >mm10_chr14:27404599-27404610(+)::chr14:27404598-27404610(+) acaaGGAAAAGG >mm10_chr14:27404605-27404616(+)::chr14:27404604-27404616(+) AAAAGGAAGGGC >mm10_chr14:27404617-27404628(+)::chr14:27404616-27404628(+) GAAGGGAAGTTT >mm10_chr14:27419779-27419790(+)::chr14:27419778-27419790(+) TAGAGGAAATAG >mm10_chr14:27419801-27419812(+)::chr14:27419800-27419812(+) AGCAGGAAGAAG >mm10_chr14:27443440-27443451(-)::chr14:27443439-27443451(-) ACAAGGAAAAAG >mm10_chr14:27443466-27443477(-)::chr14:27443465-27443477(-) ataaggaaaaaa >mm10_chr14:27592310-27592321(-)::chr14:27592309-27592321(-) AACAGGAAGAAA >mm10_chr14:28168086-28168097(+)::chr14:28168085-28168097(+) ATGAGGAAGAAA >mm10_chr14:28168097-28168108(+)::chr14:28168096-28168108(+) ACCAGGAAGGGG >mm10_chr14:28168128-28168139(+)::chr14:28168127-28168139(+) AGAGGGAAGAAT >mm10_chr14:28168141-28168152(+)::chr14:28168140-28168152(+) AGCAGGAAGAGG >mm10_chr14:28179160-28179171(+)::chr14:28179159-28179171(+) agcaggaagctg >mm10_chr14:28340564-28340575(+)::chr14:28340563-28340575(+) ggcaggaaggta >mm10_chr14:28369854-28369865(+)::chr14:28369853-28369865(+) TAAAGGAAGACA >mm10_chr14:28375824-28375835(-)::chr14:28375823-28375835(-) CAGAGGAAGTCC >mm10_chr14:28379747-28379758(-)::chr14:28379746-28379758(-) AGCAGGAAGGCA >mm10_chr14:28399154-28399165(-)::chr14:28399153-28399165(-) ACAAGGAAGCTA >mm10_chr14:28415817-28415828(+)::chr14:28415816-28415828(+) AGGAGGAAGACA >mm10_chr14:28456584-28456595(-)::chr14:28456583-28456595(-) ACAAGGAACATA >mm10_chr14:28478821-28478832(-)::chr14:28478820-28478832(-) aggaggaagaag >mm10_chr14:28478846-28478857(-)::chr14:28478845-28478857(-) aggaggaagagg >mm10_chr14:28499028-28499039(+)::chr14:28499027-28499039(+) ATGAGGAAGCTA >mm10_chr14:28499638-28499649(-)::chr14:28499637-28499649(-) cgcaggaaggcc >mm10_chr14:28535978-28535989(-)::chr14:28535977-28535989(-) TGAAGGAAGCAG >mm10_chr14:28676105-28676116(+)::chr14:28676104-28676116(+) ACAAGGAAGCAG >mm10_chr14:28676146-28676157(-)::chr14:28676145-28676157(-) ACCAGGAAGTGA >mm10_chr14:28855067-28855078(-)::chr14:28855066-28855078(-) tgaaggaagtgg >mm10_chr14:28999016-28999027(-)::chr14:28999015-28999027(-) AACAGGAAGGGG >mm10_chr14:29077662-29077673(-)::chr14:29077661-29077673(-) GAAAGGAAGTTG >mm10_chr14:29077667-29077678(-)::chr14:29077666-29077678(-) ACAAGGAAAGGA >mm10_chr14:29124694-29124705(+)::chr14:29124693-29124705(+) AGGAGGAAGACA >mm10_chr14:29197670-29197681(+)::chr14:29197669-29197681(+) ACAAGGAAGATC >mm10_chr14:29208647-29208658(+)::chr14:29208646-29208658(+) AGCAGGAAGTAG >mm10_chr14:29230141-29230152(+)::chr14:29230140-29230152(+) ACAAGGAAGCCT >mm10_chr14:29292185-29292196(+)::chr14:29292184-29292196(+) GACAGGAAGGTG >mm10_chr14:29569037-29569048(+)::chr14:29569036-29569048(+) AGAAGGAAGAAG >mm10_chr14:29569044-29569055(+)::chr14:29569043-29569055(+) AGAAGGAAGGAA >mm10_chr14:29569048-29569059(+)::chr14:29569047-29569059(+) GGAAGGAAGCTG >mm10_chr14:29569085-29569096(+)::chr14:29569084-29569096(+) ATAAGGAAGGGG >mm10_chr14:29968178-29968189(-)::chr14:29968177-29968189(-) GACCGGAAGTTG >mm10_chr14:29979077-29979088(+)::chr14:29979076-29979088(+) ATAGGGAAGGAT >mm10_chr14:29979125-29979136(-)::chr14:29979124-29979136(-) GAGAGGAAGAAG >mm10_chr14:30010630-30010641(+)::chr14:30010629-30010641(+) ATAAGGAATTGG >mm10_chr14:30232020-30232031(+)::chr14:30232019-30232031(+) TACAGGAAGCAG >mm10_chr14:30249788-30249799(+)::chr14:30249787-30249799(+) GGGAGGAAGTGG >mm10_chr14:30257899-30257910(+)::chr14:30257898-30257910(+) GTCGGGAAGTAG >mm10_chr14:30267966-30267977(+)::chr14:30267965-30267977(+) atgaggaagaGG >mm10_chr14:30291954-30291965(+)::chr14:30291953-30291965(+) GCCAGGAAGTTC >mm10_chr14:30292007-30292018(-)::chr14:30292006-30292018(-) TCCAGGAAGGAA >mm10_chr14:30370223-30370234(+)::chr14:30370222-30370234(+) AAAAGGAAGACA >mm10_chr14:30471886-30471897(-)::chr14:30471885-30471897(-) ATGAGGAAATTG >mm10_chr14:30471908-30471919(-)::chr14:30471907-30471919(-) ATAAGGAAAAGA >mm10_chr14:30534303-30534314(-)::chr14:30534302-30534314(-) GACAGGAAGATT >mm10_chr14:30547966-30547977(+)::chr14:30547965-30547977(+) aggaggaagagg >mm10_chr14:30547972-30547983(+)::chr14:30547971-30547983(+) aagaggaAGTTA >mm10_chr14:30559554-30559565(-)::chr14:30559553-30559565(-) ATGAGGAAGCCA >mm10_chr14:30561532-30561543(-)::chr14:30561531-30561543(-) TCAGGGAAGTGG >mm10_chr14:30593346-30593357(+)::chr14:30593345-30593357(+) atgaggaagtga >mm10_chr14:30608420-30608431(-)::chr14:30608419-30608431(-) AGAAGGAAGCTG >mm10_chr14:30613971-30613982(+)::chr14:30613970-30613982(+) GCGAGGAAGGCA >mm10_chr14:30622268-30622279(+)::chr14:30622267-30622279(+) AAGAGGAAGGGC >mm10_chr14:30626199-30626210(+)::chr14:30626198-30626210(+) ACACGGAAGTGC >mm10_chr14:30626602-30626613(-)::chr14:30626601-30626613(-) AGCAGGAAGAGG >mm10_chr14:30694830-30694841(+)::chr14:30694829-30694841(+) GGAATGAAGTTG >mm10_chr14:30695803-30695814(-)::chr14:30695802-30695814(-) AAGAGGAAGCAG >mm10_chr14:30715728-30715739(+)::chr14:30715727-30715739(+) AGCAGGAAGGAG >mm10_chr14:30715788-30715799(+)::chr14:30715787-30715799(+) AGAAGGAAAGAA >mm10_chr14:30736530-30736541(-)::chr14:30736529-30736541(-) AACAGGAAGCAG >mm10_chr14:30822145-30822156(+)::chr14:30822144-30822156(+) gcaaggaaggaa >mm10_chr14:30822149-30822160(+)::chr14:30822148-30822160(+) ggaaggaagaaa >mm10_chr14:30828264-30828275(-)::chr14:30828263-30828275(-) ACCAGGAAGACA >mm10_chr14:30834704-30834715(-)::chr14:30834703-30834715(-) AGGAGGAAGTTA >mm10_chr14:30869363-30869374(-)::chr14:30869362-30869374(-) TCTAGGAAGTAC >mm10_chr14:30879062-30879073(+)::chr14:30879061-30879073(+) ATGAGGAAGTGT >mm10_chr14:30879086-30879097(+)::chr14:30879085-30879097(+) GAAAGGAAGTTT >mm10_chr14:30881231-30881242(+)::chr14:30881230-30881242(+) AGAGGGAAGTGT >mm10_chr14:30900772-30900783(-)::chr14:30900771-30900783(-) accaggaagtca >mm10_chr14:30919738-30919749(+)::chr14:30919737-30919749(+) ATGGGGAAGTGG >mm10_chr14:30951255-30951266(+)::chr14:30951254-30951266(+) CGCAGGAAGTGC >mm10_chr14:30951341-30951352(-)::chr14:30951340-30951352(-) TCGCGGAAGCGG >mm10_chr14:31019590-31019601(-)::chr14:31019589-31019601(-) TAAAGGAAACGT >mm10_chr14:31105372-31105383(-)::chr14:31105371-31105383(-) GAGAGGAAGTCC >mm10_chr14:31153190-31153201(-)::chr14:31153189-31153201(-) agcaggaagatc >mm10_chr14:31153197-31153208(-)::chr14:31153196-31153208(-) aagaggaagcag >mm10_chr14:31183145-31183156(+)::chr14:31183144-31183156(+) ATGAGGAAATGC >mm10_chr14:31183173-31183184(-)::chr14:31183172-31183184(-) GGAAGGAAGTGG >mm10_chr14:31183177-31183188(-)::chr14:31183176-31183188(-) TCCAGGAAGGAA >mm10_chr14:31246485-31246496(+)::chr14:31246484-31246496(+) AGCAGGAAGGGA >mm10_chr14:31246496-31246507(-)::chr14:31246495-31246507(-) TTAAGGAAATCT >mm10_chr14:31250652-31250663(-)::chr14:31250651-31250663(-) ACTAGGAAGTAA >mm10_chr14:31376080-31376091(+)::chr14:31376079-31376091(+) cgaaggaaaGGG >mm10_chr14:31404374-31404385(-)::chr14:31404373-31404385(-) acaaggaaggct >mm10_chr14:31407962-31407973(-)::chr14:31407961-31407973(-) AGCAGGAAGAAC >mm10_chr14:31408030-31408041(+)::chr14:31408029-31408041(+) ATGAGGAAGCTA >mm10_chr14:31423321-31423332(+)::chr14:31423320-31423332(+) AAGAGGAAGTCC >mm10_chr14:31434481-31434492(+)::chr14:31434480-31434492(+) TCGAGGAAATCA >mm10_chr14:31436099-31436110(+)::chr14:31436098-31436110(+) CAGAGGAAGTGG >mm10_chr14:31439338-31439349(-)::chr14:31439337-31439349(-) TTGAGGAAGTTG >mm10_chr14:31443897-31443908(-)::chr14:31443896-31443908(-) GGCAGGAAGGCA >mm10_chr14:31443919-31443930(-)::chr14:31443918-31443930(-) ACAGGGAAGTCC >mm10_chr14:31443952-31443963(+)::chr14:31443951-31443963(+) ATAAGGAAAAGC >mm10_chr14:31446179-31446190(+)::chr14:31446178-31446190(+) AAGAGGAAGAAA >mm10_chr14:31459025-31459036(-)::chr14:31459024-31459036(-) TGAAGGAAGCGT >mm10_chr14:31495038-31495049(+)::chr14:31495037-31495049(+) AGACGGAAGTCC >mm10_chr14:31495058-31495069(+)::chr14:31495057-31495069(+) TGCCGGAAGTGC >mm10_chr14:31523142-31523153(+)::chr14:31523141-31523153(+) AAGAGGAAGCAG >mm10_chr14:31529696-31529707(+)::chr14:31529695-31529707(+) GTAAGGAAGAGA >mm10_chr14:31537584-31537595(+)::chr14:31537583-31537595(+) TGAAGGAACTGG >mm10_chr14:31662115-31662126(-)::chr14:31662114-31662126(-) CCGAGGAAGAAG >mm10_chr14:31662881-31662892(-)::chr14:31662880-31662892(-) AGCAGGAAGCCC >mm10_chr14:31662960-31662971(+)::chr14:31662959-31662971(+) GACAGGAAGTGG >mm10_chr14:31669913-31669924(+)::chr14:31669912-31669924(+) AGGAGGAAATAT >mm10_chr14:31781730-31781741(-)::chr14:31781729-31781741(-) TAGAGGAAGTTA >mm10_chr14:31796716-31796727(+)::chr14:31796715-31796727(+) AGGGGGAAGTAC >mm10_chr14:31879543-31879554(+)::chr14:31879542-31879554(+) ATAAGGAAAGGC >mm10_chr14:31909479-31909490(-)::chr14:31909478-31909490(-) AGGAGGAAGTGA >mm10_chr14:31911572-31911583(+)::chr14:31911571-31911583(+) aggaggaagaga >mm10_chr14:31911584-31911595(+)::chr14:31911583-31911595(+) aggaggaagagg >mm10_chr14:31911590-31911601(+)::chr14:31911589-31911601(+) aagaggaagagc >mm10_chr14:31930603-31930614(+)::chr14:31930602-31930614(+) tggaggaagtgg >mm10_chr14:31963744-31963755(+)::chr14:31963743-31963755(+) TTGAGGAAGTGA >mm10_chr14:31994274-31994285(-)::chr14:31994273-31994285(-) atcaggaaggta >mm10_chr14:31994285-31994296(+)::chr14:31994284-31994296(+) ttaaggaagctt >mm10_chr14:32006023-32006034(+)::chr14:32006022-32006034(+) GGGAGGAAGAGA >mm10_chr14:32082395-32082406(-)::chr14:32082394-32082406(-) GTGAGGAAGGAG >mm10_chr14:32085608-32085619(-)::chr14:32085607-32085619(-) GTCCGGAAGTGA >mm10_chr14:32159850-32159861(+)::chr14:32159849-32159861(+) GGAAGGAAATCT >mm10_chr14:32201904-32201915(-)::chr14:32201903-32201915(-) AAGCGGAAGTGC >mm10_chr14:32201930-32201941(+)::chr14:32201929-32201941(+) GCCCGGAAGTGG >mm10_chr14:32253517-32253528(-)::chr14:32253516-32253528(-) AGAAGGCAGTGC >mm10_chr14:32577761-32577772(+)::chr14:32577760-32577772(+) AGTAGGAAGTCT >mm10_chr14:32828231-32828242(-)::chr14:32828230-32828242(-) TGCAGGAAGCAG >mm10_chr14:32828264-32828275(-)::chr14:32828263-32828275(-) AGAAGGAAACAG >mm10_chr14:32838819-32838830(+)::chr14:32838818-32838830(+) ATAAGGAAATGT >mm10_chr14:32877824-32877835(+)::chr14:32877823-32877835(+) GAAAGGAAGTGA >mm10_chr14:32877882-32877893(-)::chr14:32877881-32877893(-) AGGAGGAAGGAG >mm10_chr14:32995148-32995159(-)::chr14:32995147-32995159(-) TCCAGGAAGCGG >mm10_chr14:33188090-33188101(+)::chr14:33188089-33188101(+) aggaggaagaag >mm10_chr14:33188102-33188113(+)::chr14:33188101-33188113(+) agaaggaagaga >mm10_chr14:33188123-33188134(+)::chr14:33188122-33188134(+) agaaggaagagg >mm10_chr14:33250647-33250658(+)::chr14:33250646-33250658(+) AAAGGGAAGCGG >mm10_chr14:33348335-33348346(+)::chr14:33348334-33348346(+) ACCAGGAAGATG >mm10_chr14:33437946-33437957(-)::chr14:33437945-33437957(-) AGTAGGAAGTGC >mm10_chr14:33498852-33498863(-)::chr14:33498851-33498863(-) ACAGGGAAGTCC >mm10_chr14:33521624-33521635(+)::chr14:33521623-33521635(+) AAGAGGAAATTA >mm10_chr14:33521687-33521698(-)::chr14:33521686-33521698(-) GGGAGGAAATGA >mm10_chr14:33666610-33666621(+)::chr14:33666609-33666621(+) tgaaggaagtcc >mm10_chr14:33697491-33697502(+)::chr14:33697490-33697502(+) AAGAGGAAGATG >mm10_chr14:33715932-33715943(+)::chr14:33715931-33715943(+) GTAAGGAAGGCA >mm10_chr14:33724056-33724067(-)::chr14:33724055-33724067(-) tagaggaagtgc >mm10_chr14:33733765-33733776(-)::chr14:33733764-33733776(-) ATAAGGAAGAGC >mm10_chr14:33755154-33755165(-)::chr14:33755153-33755165(-) TGGAGGAAGGGC >mm10_chr14:33755185-33755196(+)::chr14:33755184-33755196(+) ACAAGGAAGCCT >mm10_chr14:33795761-33795772(-)::chr14:33795760-33795772(-) GGAAGGAAGACC >mm10_chr14:33795765-33795776(-)::chr14:33795764-33795776(-) TCCAGGAAGGAA >mm10_chr14:33803552-33803563(+)::chr14:33803551-33803563(+) aagaggaagagg >mm10_chr14:33803558-33803569(+)::chr14:33803557-33803569(+) aagaggaagagg >mm10_chr14:33803564-33803575(+)::chr14:33803563-33803575(+) aagaggaagaag >mm10_chr14:33803573-33803584(+)::chr14:33803572-33803584(+) aagaggaagagg >mm10_chr14:33803579-33803590(+)::chr14:33803578-33803590(+) aagaggaagaag >mm10_chr14:33808744-33808755(+)::chr14:33808743-33808755(+) ggcaggatgtaa >mm10_chr14:33808753-33808764(-)::chr14:33808752-33808764(-) agcaggaagtta >mm10_chr14:33813556-33813567(-)::chr14:33813555-33813567(-) GCCAGGAAGAGG >mm10_chr14:33897359-33897370(+)::chr14:33897358-33897370(+) agaaggaagagg >mm10_chr14:33897368-33897379(+)::chr14:33897367-33897379(+) aggaggaagaag >mm10_chr14:33897396-33897407(+)::chr14:33897395-33897407(+) aggaggaagagg >mm10_chr14:33941863-33941874(-)::chr14:33941862-33941874(-) GGCAGGAAGCAG >mm10_chr14:33941879-33941890(-)::chr14:33941878-33941890(-) aggggGAAGTAG >mm10_chr14:34003937-34003948(-)::chr14:34003936-34003948(-) TGAAGAAAGTTA >mm10_chr14:34086664-34086675(-)::chr14:34086663-34086675(-) ACGAGGAAGGTG >mm10_chr14:34099403-34099414(+)::chr14:34099402-34099414(+) ATAAGGAAACGA >mm10_chr14:34099421-34099432(-)::chr14:34099420-34099432(-) ACAAGGAAGGCT >mm10_chr14:34099466-34099477(-)::chr14:34099465-34099477(-) TGAGGGAAGTGA >mm10_chr14:34101926-34101937(-)::chr14:34101925-34101937(-) gagaggaagtcc >mm10_chr14:34102762-34102773(-)::chr14:34102761-34102773(-) AGAAGGAAATGA >mm10_chr14:34119177-34119188(-)::chr14:34119176-34119188(-) ACAAGGAAGCCA >mm10_chr14:34179733-34179744(-)::chr14:34179732-34179744(-) TAAAGGAAGCTA >mm10_chr14:34179769-34179780(+)::chr14:34179768-34179780(+) AGACGGAAGAGG >mm10_chr14:34179775-34179786(+)::chr14:34179774-34179786(+) AAGAGGAAGCAC >mm10_chr14:34310778-34310789(+)::chr14:34310777-34310789(+) AGCAGGAAGCCG >mm10_chr14:34310816-34310827(-)::chr14:34310815-34310827(-) GGGAGGAAGGGC >mm10_chr14:34349251-34349262(-)::chr14:34349250-34349262(-) AGGAGGAAgggc >mm10_chr14:34379084-34379095(+)::chr14:34379083-34379095(+) CCAAGGAAGGGA >mm10_chr14:34455026-34455037(-)::chr14:34455025-34455037(-) TTAAggaagagc >mm10_chr14:34467740-34467751(+)::chr14:34467739-34467751(+) ATAAGGAAGCAA >mm10_chr14:34479787-34479798(+)::chr14:34479786-34479798(+) aagaggaagagg >mm10_chr14:34479796-34479807(+)::chr14:34479795-34479807(+) aggaggaaggag >mm10_chr14:34479822-34479833(+)::chr14:34479821-34479833(+) agaaggaagaag >mm10_chr14:34479837-34479848(+)::chr14:34479836-34479848(+) aggaggaagaag >mm10_chr14:34479855-34479866(+)::chr14:34479854-34479866(+) aggaggaagagg >mm10_chr14:34498519-34498530(+)::chr14:34498518-34498530(+) GTAAGGAAGTGG >mm10_chr14:34498531-34498542(+)::chr14:34498530-34498542(+) ATAAGGAAGGCC >mm10_chr14:34515151-34515162(+)::chr14:34515150-34515162(+) AAGAGGAAGCTA >mm10_chr14:34520840-34520851(+)::chr14:34520839-34520851(+) ACCAGGAAGTAC >mm10_chr14:34541982-34541993(-)::chr14:34541981-34541993(-) TCCAGGAAGTGA >mm10_chr14:34548633-34548644(-)::chr14:34548632-34548644(-) AGGAGGAAGAGA >mm10_chr14:34552472-34552483(+)::chr14:34552471-34552483(+) GGGAGGAAGCTA >mm10_chr14:34554336-34554347(-)::chr14:34554335-34554347(-) aggaggaagagg >mm10_chr14:34554360-34554371(-)::chr14:34554359-34554371(-) aggaggaagaag >mm10_chr14:34554375-34554386(-)::chr14:34554374-34554386(-) aggaggaagaag >mm10_chr14:34562558-34562569(-)::chr14:34562557-34562569(-) GGCAGGAAATAG >mm10_chr14:34569880-34569891(+)::chr14:34569879-34569891(+) GTGAGGAAGGAA >mm10_chr14:34569884-34569895(+)::chr14:34569883-34569895(+) GGAAGGAACCGT >mm10_chr14:34573146-34573157(+)::chr14:34573145-34573157(+) AGAAGGAAGTGA >mm10_chr14:34573154-34573165(+)::chr14:34573153-34573165(+) GTGAGGAAGAAA >mm10_chr14:34573158-34573169(+)::chr14:34573157-34573169(+) GGAAGAAAGTGC >mm10_chr14:34573173-34573184(+)::chr14:34573172-34573184(+) ACCAGGAAGTAG >mm10_chr14:34609601-34609612(-)::chr14:34609600-34609612(-) ACAAGGAATTGG >mm10_chr14:34621283-34621294(-)::chr14:34621282-34621294(-) ggagggaagtgg >mm10_chr14:34621307-34621318(-)::chr14:34621306-34621318(-) aggaggaagagg >mm10_chr14:34635646-34635657(-)::chr14:34635645-34635657(-) ATGAGGAAGACA >mm10_chr14:34659013-34659024(-)::chr14:34659012-34659024(-) ggaaggaagagc >mm10_chr14:34659017-34659028(-)::chr14:34659016-34659028(-) ggaaggaaggaa >mm10_chr14:34659021-34659032(-)::chr14:34659020-34659032(-) ggaaggaaggaa >mm10_chr14:34659025-34659036(-)::chr14:34659024-34659036(-) ggaaggaaggaa >mm10_chr14:34659029-34659040(-)::chr14:34659028-34659040(-) ggaaggaaggaa >mm10_chr14:34659033-34659044(-)::chr14:34659032-34659044(-) ggaaggaaggaa >mm10_chr14:34659037-34659048(-)::chr14:34659036-34659048(-) ggaaggaaggaa >mm10_chr14:34659041-34659052(-)::chr14:34659040-34659052(-) ggaaggaaggaa >mm10_chr14:34659045-34659056(-)::chr14:34659044-34659056(-) gagaggaaggaa >mm10_chr14:34661011-34661022(-)::chr14:34661010-34661022(-) ACAATGAAGTGC >mm10_chr14:34661032-34661043(+)::chr14:34661031-34661043(+) ACATGGAAGTGG >mm10_chr14:34661672-34661683(+)::chr14:34661671-34661683(+) GGCAGGAAGCGG >mm10_chr14:34662446-34662457(-)::chr14:34662445-34662457(-) AGCAGGAAGTGT >mm10_chr14:34676777-34676788(+)::chr14:34676776-34676788(+) GTATGGAAGTAA >mm10_chr14:34747182-34747193(+)::chr14:34747181-34747193(+) ATAAGGAAGTAA >mm10_chr14:34747213-34747224(-)::chr14:34747212-34747224(-) AGGAGGAAGAAA >mm10_chr14:34816423-34816434(+)::chr14:34816422-34816434(+) AGGAGGAAGATG >mm10_chr14:34816471-34816482(-)::chr14:34816470-34816482(-) CTAAGGATGTAC >mm10_chr14:35209540-35209551(+)::chr14:35209539-35209551(+) aggaggaaatgg >mm10_chr14:35209565-35209576(-)::chr14:35209564-35209576(-) accaggaagtgt >mm10_chr14:35538813-35538824(+)::chr14:35538812-35538824(+) AAAAGGAAGCTG >mm10_chr14:36184127-36184138(-)::chr14:36184126-36184138(-) ACAAGGAAAAAG >mm10_chr14:36478060-36478071(+)::chr14:36478059-36478071(+) TAAAGGAGGTAG >mm10_chr14:36774651-36774662(+)::chr14:36774650-36774662(+) agcaggaaatgg >mm10_chr14:36882616-36882627(+)::chr14:36882615-36882627(+) TCAAGGAAGTGT >mm10_chr14:36916906-36916917(-)::chr14:36916905-36916917(-) accaggaagtag >mm10_chr14:36919344-36919355(-)::chr14:36919343-36919355(-) GGAAGGAAGGCC >mm10_chr14:36919348-36919359(-)::chr14:36919347-36919359(-) AGCAGGAAGGAA >mm10_chr14:36923576-36923587(-)::chr14:36923575-36923587(-) GTCAGGAAGAGA >mm10_chr14:36923584-36923595(-)::chr14:36923583-36923595(-) AGGAGGAAGTCA >mm10_chr14:36932840-36932851(+)::chr14:36932839-36932851(+) acaaagaagtag >mm10_chr14:36932883-36932894(+)::chr14:36932882-36932894(+) atggggaagtcg >mm10_chr14:36942842-36942853(+)::chr14:36942841-36942853(+) AGCAGGAAGGGA >mm10_chr14:36953162-36953173(+)::chr14:36953161-36953173(+) taaaggaagtgt >mm10_chr14:36953188-36953199(+)::chr14:36953187-36953199(+) tcgaggaagttt >mm10_chr14:36953224-36953235(+)::chr14:36953223-36953235(+) tacaggaagcca >mm10_chr14:36970201-36970212(+)::chr14:36970200-36970212(+) ACCAGGAAGAGC >mm10_chr14:37005825-37005836(+)::chr14:37005824-37005836(+) ATGAGGAAGTTT >mm10_chr14:37012494-37012505(-)::chr14:37012493-37012505(-) gggaggaaggag >mm10_chr14:37026286-37026297(-)::chr14:37026285-37026297(-) AACAGGAAGTGA >mm10_chr14:37097839-37097850(-)::chr14:37097838-37097850(-) AGAAGGAAATTg >mm10_chr14:37108926-37108937(+)::chr14:37108925-37108937(+) agcaggaagtct >mm10_chr14:37109285-37109296(-)::chr14:37109284-37109296(-) ATAAGGAAATGG >mm10_chr14:37109537-37109548(-)::chr14:37109536-37109548(-) CTAAGGAAGCCA >mm10_chr14:37109560-37109571(-)::chr14:37109559-37109571(-) AGGAGGAAGACA >mm10_chr14:37109577-37109588(-)::chr14:37109576-37109588(-) AAGAGGAAGGGC >mm10_chr14:37112926-37112937(-)::chr14:37112925-37112937(-) ACAAGGAACTGG >mm10_chr14:37118312-37118323(+)::chr14:37118311-37118323(+) accaggaagctg >mm10_chr14:37135465-37135476(+)::chr14:37135464-37135476(+) GTAAGGAAGCCG >mm10_chr14:37179486-37179497(+)::chr14:37179485-37179497(+) aaacggaaatgt >mm10_chr14:37238674-37238685(+)::chr14:37238673-37238685(+) ACCAGGAAGCAG >mm10_chr14:37912433-37912444(-)::chr14:37912432-37912444(-) GAAAGGAAGAAA >mm10_chr14:37912466-37912477(-)::chr14:37912465-37912477(-) AAAAGGAAGTAT >mm10_chr14:37950400-37950411(+)::chr14:37950399-37950411(+) ACCAGGAAATAA >mm10_chr14:38402619-38402630(+)::chr14:38402618-38402630(+) CCAAGGAAGTAA >mm10_chr14:40431357-40431368(+)::chr14:40431356-40431368(+) acaaggaagtga >mm10_chr14:40431407-40431418(-)::chr14:40431406-40431418(-) aagaggaagtaa >mm10_chr14:40818129-40818140(+)::chr14:40818128-40818140(+) AGAAGGAAGTAT >mm10_chr14:40904820-40904831(+)::chr14:40904819-40904831(+) AGAAGGAAGTGT >mm10_chr14:40909035-40909046(+)::chr14:40909034-40909046(+) ACAAGGAAGTTC >mm10_chr14:40910469-40910480(+)::chr14:40910468-40910480(+) agaaggaagtca >mm10_chr14:40910503-40910514(+)::chr14:40910502-40910514(+) gccaggaagaca >mm10_chr14:40914951-40914962(+)::chr14:40914950-40914962(+) AACAGGAAGGAG >mm10_chr14:40914974-40914985(+)::chr14:40914973-40914985(+) GAGAGGAAGAAT >mm10_chr14:40915702-40915713(+)::chr14:40915701-40915713(+) AGGAGGAAGGAC >mm10_chr14:40921465-40921476(+)::chr14:40921464-40921476(+) ACAAGGAAGTAA >mm10_chr14:40931628-40931639(+)::chr14:40931627-40931639(+) AACAGGAAGCAA >mm10_chr14:40937366-40937377(-)::chr14:40937365-40937377(-) ATAGGGAAGTGT >mm10_chr14:40947837-40947848(+)::chr14:40947836-40947848(+) GGCAGGAAGACT >mm10_chr14:40947885-40947896(+)::chr14:40947884-40947896(+) GGAGGGAAGCGG >mm10_chr14:40951615-40951626(+)::chr14:40951614-40951626(+) TCAGGGAAGTAA >mm10_chr14:40951619-40951630(+)::chr14:40951618-40951630(+) GGAAGTAAGTAA >mm10_chr14:40959400-40959411(+)::chr14:40959399-40959411(+) TGGAGGAAGTGA >mm10_chr14:41006428-41006439(+)::chr14:41006427-41006439(+) TAGAGGAAGTAC >mm10_chr14:41008670-41008681(+)::chr14:41008669-41008681(+) CCCAGGAAGTCA >mm10_chr14:41050630-41050641(-)::chr14:41050629-41050641(-) TGGAGGAAGTGG >mm10_chr14:41050668-41050679(+)::chr14:41050667-41050679(+) AGAAGGAAGGAG >mm10_chr14:41111749-41111760(+)::chr14:41111748-41111760(+) GCAAGGAAGTAA >mm10_chr14:41111765-41111776(+)::chr14:41111764-41111776(+) ACCAGGAAGCAC >mm10_chr14:41111799-41111810(-)::chr14:41111798-41111810(-) GCAGGGAAGTCC >mm10_chr14:41167306-41167317(-)::chr14:41167305-41167317(-) GAGAGGAAGCGA >mm10_chr14:41167362-41167373(-)::chr14:41167361-41167373(-) AGTAGGAAGGAT >mm10_chr14:41177721-41177732(+)::chr14:41177720-41177732(+) GCAAGTAAGTAT >mm10_chr14:41184130-41184141(+)::chr14:41184129-41184141(+) ACGAGGAAGAGT >mm10_chr14:41185128-41185139(-)::chr14:41185127-41185139(-) ACAAGGAAGCAA >mm10_chr14:44843296-44843307(+)::chr14:44843295-44843307(+) ATAGGGAAGCGC >mm10_chr14:44865897-44865908(+)::chr14:44865896-44865908(+) GTGAGGAAGAAA >mm10_chr14:44870400-44870411(-)::chr14:44870399-44870411(-) AGAAGGAACTGA >mm10_chr14:44871370-44871381(-)::chr14:44871369-44871381(-) TAGAGGAAGGTG >mm10_chr14:44989961-44989972(-)::chr14:44989960-44989972(-) GGAAGGAAGTGG >mm10_chr14:44989965-44989976(-)::chr14:44989964-44989976(-) GGAAGGAAGGAA >mm10_chr14:44989969-44989980(-)::chr14:44989968-44989980(-) AGGAGGAAGGAA >mm10_chr14:45001277-45001288(-)::chr14:45001276-45001288(-) ATGAGGAAGTAA >mm10_chr14:45004393-45004404(-)::chr14:45004392-45004404(-) AGGAGGAAATGG >mm10_chr14:45023489-45023500(+)::chr14:45023488-45023500(+) agaaggaagagg >mm10_chr14:45023504-45023515(+)::chr14:45023503-45023515(+) aggaggaagagC >mm10_chr14:45050332-45050343(-)::chr14:45050331-45050343(-) GGGAGGAAGTCC >mm10_chr14:45075026-45075037(-)::chr14:45075025-45075037(-) AGCAGGAAGCAA >mm10_chr14:45078008-45078019(-)::chr14:45078007-45078019(-) AGAGGGAAGTGA >mm10_chr14:45078017-45078028(-)::chr14:45078016-45078028(-) GGCAGGAAGAGA >mm10_chr14:45078037-45078048(+)::chr14:45078036-45078048(+) ACCAGGAAGTCA >mm10_chr14:45118471-45118482(+)::chr14:45118470-45118482(+) TGCAGGAAATGA >mm10_chr14:45140542-45140553(+)::chr14:45140541-45140553(+) CTGAGGAAGTGG >mm10_chr14:45140932-45140943(-)::chr14:45140931-45140943(-) AACAGGAAGCAT >mm10_chr14:45140983-45140994(+)::chr14:45140982-45140994(+) GAAAGGAAGAAT >mm10_chr14:45155713-45155724(+)::chr14:45155712-45155724(+) taaaggaagtcc >mm10_chr14:45155725-45155736(+)::chr14:45155724-45155736(+) tgaaggaagttc >mm10_chr14:45155768-45155779(-)::chr14:45155767-45155779(-) aacaggaagaca >mm10_chr14:45175172-45175183(+)::chr14:45175171-45175183(+) TCCAGGAAGGGA >mm10_chr14:45175188-45175199(+)::chr14:45175187-45175199(+) AGCAGGAAGCAG >mm10_chr14:45236142-45236153(+)::chr14:45236141-45236153(+) AGAGGGAAATAT >mm10_chr14:45236335-45236346(-)::chr14:45236334-45236346(-) agtgggaagtcg >mm10_chr14:45239240-45239251(-)::chr14:45239239-45239251(-) AAAGGGAAGTAT >mm10_chr14:45316175-45316186(-)::chr14:45316174-45316186(-) TAAAGGAAGTTT >mm10_chr14:45318914-45318925(+)::chr14:45318913-45318925(+) AAAAGGATGTTG >mm10_chr14:45336175-45336186(+)::chr14:45336174-45336186(+) AGAAGGAAAAGG >mm10_chr14:45336181-45336192(+)::chr14:45336180-45336192(+) AAAAGGAAATGT >mm10_chr14:45336431-45336442(-)::chr14:45336430-45336442(-) aggaggaagagg >mm10_chr14:45336452-45336463(-)::chr14:45336451-45336463(-) aagaggaagaag >mm10_chr14:45336458-45336469(-)::chr14:45336457-45336469(-) agaaggaagagg >mm10_chr14:45336470-45336481(-)::chr14:45336469-45336481(-) aggaggaagaag >mm10_chr14:45350903-45350914(-)::chr14:45350902-45350914(-) AACAGGAAGAGT >mm10_chr14:45371833-45371844(+)::chr14:45371832-45371844(+) AGGAGGAAGAAG >mm10_chr14:45387895-45387906(+)::chr14:45387894-45387906(+) AGTAGGAAGATT >mm10_chr14:45443168-45443179(-)::chr14:45443167-45443179(-) AGTAGGAAGAAA >mm10_chr14:45443481-45443492(-)::chr14:45443480-45443492(-) AATAGGAAGCAT >mm10_chr14:45463782-45463793(-)::chr14:45463781-45463793(-) GGAAGGAAGGAC >mm10_chr14:45463829-45463840(-)::chr14:45463828-45463840(-) TGGCGGAAGTGC >mm10_chr14:45492268-45492279(-)::chr14:45492267-45492279(-) GACAGGAAATGA >mm10_chr14:45507346-45507357(+)::chr14:45507345-45507357(+) AGAAGGAAGTGC >mm10_chr14:45539812-45539823(-)::chr14:45539811-45539823(-) TGGAGGAAGTCC >mm10_chr14:45539855-45539866(+)::chr14:45539854-45539866(+) GGAAGGAAGGAT >mm10_chr14:45539859-45539870(+)::chr14:45539858-45539870(+) GGAAGGATGCGA >mm10_chr14:45540938-45540949(-)::chr14:45540937-45540949(-) gaagggaagtag >mm10_chr14:45540943-45540954(-)::chr14:45540942-45540954(-) aggaggaaggga >mm10_chr14:45540955-45540966(-)::chr14:45540954-45540966(-) aggaggaaggga >mm10_chr14:45543419-45543430(-)::chr14:45543418-45543430(-) GCAAGGAAGCTT >mm10_chr14:45587940-45587951(+)::chr14:45587939-45587951(+) CCGAGGAAGAAC >mm10_chr14:45633329-45633340(-)::chr14:45633328-45633340(-) GGAAGAAAGTAG >mm10_chr14:45633333-45633344(-)::chr14:45633332-45633344(-) AGGAGGAAGAAA >mm10_chr14:45633345-45633356(-)::chr14:45633344-45633356(-) AGAAGGAAGAGA >mm10_chr14:45652614-45652625(+)::chr14:45652613-45652625(+) TCCAGGAAATAA >mm10_chr14:45656708-45656719(-)::chr14:45656707-45656719(-) TGCAGGAAGTGG >mm10_chr14:45658719-45658730(-)::chr14:45658718-45658730(-) AACAGGAAGCAT >mm10_chr14:45738245-45738256(-)::chr14:45738244-45738256(-) AGGCGGAAGTAG >mm10_chr14:45806867-45806878(+)::chr14:45806866-45806878(+) TTAAGGAAGAGA >mm10_chr14:45878883-45878894(+)::chr14:45878882-45878894(+) ATGAGGAAGGTG >mm10_chr14:45878895-45878906(+)::chr14:45878894-45878906(+) GGGAGGAAGTGA >mm10_chr14:45879284-45879295(+)::chr14:45879283-45879295(+) TCTAGGAAGTAA >mm10_chr14:46014314-46014325(+)::chr14:46014313-46014325(+) ATGAGGAAATGG >mm10_chr14:46390584-46390595(-)::chr14:46390583-46390595(-) agaaggaaggag >mm10_chr14:46390617-46390628(-)::chr14:46390616-46390628(-) gggaggaaggga >mm10_chr14:46391720-46391731(+)::chr14:46391719-46391731(+) TGAAGGAAATCA >mm10_chr14:46438599-46438610(-)::chr14:46438598-46438610(-) AGCAGGAAATGC >mm10_chr14:46454940-46454951(+)::chr14:46454939-46454951(+) AAGAGGAAGTCT >mm10_chr14:46454983-46454994(+)::chr14:46454982-46454994(+) TGAAGGATGTCA >mm10_chr14:46455001-46455012(-)::chr14:46455000-46455012(-) AGCAGGAAGGGT >mm10_chr14:46455016-46455027(-)::chr14:46455015-46455027(-) GGTAGGAAGAAA >mm10_chr14:46513041-46513052(+)::chr14:46513040-46513052(+) ACAAGGAAGGAC >mm10_chr14:46513070-46513081(+)::chr14:46513069-46513081(+) CGGAGGAAGTGG >mm10_chr14:46532374-46532385(+)::chr14:46532373-46532385(+) tggaggaagtat >mm10_chr14:46532423-46532434(-)::chr14:46532422-46532434(-) atcaggaagcaa >mm10_chr14:46634152-46634163(+)::chr14:46634151-46634163(+) AGGAGGAAGCAC >mm10_chr14:46635435-46635446(+)::chr14:46635434-46635446(+) GGAAGGAAGCCC >mm10_chr14:46635464-46635475(-)::chr14:46635463-46635475(-) GACAGGAAGTTT >mm10_chr14:46635494-46635505(+)::chr14:46635493-46635505(+) AGCAGGATGTAC >mm10_chr14:46636614-46636625(-)::chr14:46636613-46636625(-) ACAAGGAAATAC >mm10_chr14:46640550-46640561(+)::chr14:46640549-46640561(+) aggaggaagagg >mm10_chr14:46640556-46640567(+)::chr14:46640555-46640567(+) aagaggaagagg >mm10_chr14:46704661-46704672(+)::chr14:46704660-46704672(+) AGGAGGAAGAGT >mm10_chr14:46761463-46761474(-)::chr14:46761462-46761474(-) aggaggaaatag >mm10_chr14:46824984-46824995(+)::chr14:46824983-46824995(+) ATGAGGAAGCAC >mm10_chr14:46824999-46825010(+)::chr14:46824998-46825010(+) GGAAGGAAGACT >mm10_chr14:46831590-46831601(+)::chr14:46831589-46831601(+) GAAAGGAAGCAG >mm10_chr14:46831610-46831621(-)::chr14:46831609-46831621(-) TACAGGAAGTAG >mm10_chr14:46886091-46886102(-)::chr14:46886090-46886102(-) ATGAGGAAGCCA >mm10_chr14:46923331-46923342(-)::chr14:46923330-46923342(-) AGAGGGAAATAC >mm10_chr14:47003842-47003853(-)::chr14:47003841-47003853(-) ACTAGGAAGGAC >mm10_chr14:47074526-47074537(-)::chr14:47074525-47074537(-) ACCAGGAAGCGC >mm10_chr14:47096219-47096230(+)::chr14:47096218-47096230(+) GGAAGGAAGTAA >mm10_chr14:47096244-47096255(+)::chr14:47096243-47096255(+) GACAGGAAGAGA >mm10_chr14:47117684-47117695(+)::chr14:47117683-47117695(+) TAAAGGAAGCCA >mm10_chr14:47177899-47177910(-)::chr14:47177898-47177910(-) GGAAGGAAGCAG >mm10_chr14:47177903-47177914(-)::chr14:47177902-47177914(-) TCCAGGAAGGAA >mm10_chr14:47186695-47186706(+)::chr14:47186694-47186706(+) ATAAGGAAGTGT >mm10_chr14:47186759-47186770(-)::chr14:47186758-47186770(-) ATGAGGAAGCTA >mm10_chr14:47276991-47277002(+)::chr14:47276990-47277002(+) ACCCGGAAGTGG >mm10_chr14:47305995-47306006(+)::chr14:47305994-47306006(+) ACAAGGAAGCTA >mm10_chr14:47349200-47349211(+)::chr14:47349199-47349211(+) TCAAGGAAGTTT >mm10_chr14:47349211-47349222(+)::chr14:47349210-47349222(+) TCAAGGAAGTTG >mm10_chr14:47359671-47359682(+)::chr14:47359670-47359682(+) aagaggaagtca >mm10_chr14:47359701-47359712(+)::chr14:47359700-47359712(+) cacaggaagtag >mm10_chr14:47370687-47370698(+)::chr14:47370686-47370698(+) AGCAGGAAGATC >mm10_chr14:47370697-47370708(-)::chr14:47370696-47370708(-) GAAAGGAAGAGA >mm10_chr14:47384143-47384154(+)::chr14:47384142-47384154(+) ACCAGGAAGGAA >mm10_chr14:47384715-47384726(+)::chr14:47384714-47384726(+) GAAAGGAAGAAA >mm10_chr14:47482522-47482533(-)::chr14:47482521-47482533(-) gaaaggaagccc >mm10_chr14:47482530-47482541(-)::chr14:47482529-47482541(-) agtaggaagaaa >mm10_chr14:47504196-47504207(-)::chr14:47504195-47504207(-) AACAGGAAGTCA >mm10_chr14:47515180-47515191(-)::chr14:47515179-47515191(-) ATCAGGAAGCAA >mm10_chr14:47515192-47515203(-)::chr14:47515191-47515203(-) AACAGGAAATAA >mm10_chr14:47515255-47515266(+)::chr14:47515254-47515266(+) ACCAGGAAGGAG >mm10_chr14:47524516-47524527(-)::chr14:47524515-47524527(-) AAGAGGAAGGAG >mm10_chr14:47800099-47800110(+)::chr14:47800098-47800110(+) GGCAGGAACTAG >mm10_chr14:47800106-47800117(+)::chr14:47800105-47800117(+) ACTAGGAAGAAG >mm10_chr14:47800113-47800124(+)::chr14:47800112-47800124(+) AGAAGGAAAGGG >mm10_chr14:47886565-47886576(+)::chr14:47886564-47886576(+) TTGAGGAAGTGT >mm10_chr14:47899015-47899026(-)::chr14:47899014-47899026(-) AACAGGAAATAG >mm10_chr14:47899065-47899076(-)::chr14:47899064-47899076(-) ATCAGGAAGCAG >mm10_chr14:47901364-47901375(-)::chr14:47901363-47901375(-) aggaggaaggaa >mm10_chr14:47901433-47901444(-)::chr14:47901432-47901444(-) agaaggaaataa >mm10_chr14:48122146-48122157(-)::chr14:48122145-48122157(-) TCAAGGTAGTAA >mm10_chr14:48122155-48122166(+)::chr14:48122154-48122166(+) TGAAGTAAGTGC >mm10_chr14:48131486-48131497(-)::chr14:48131485-48131497(-) GAAAGGAACTAT >mm10_chr14:48131773-48131784(+)::chr14:48131772-48131784(+) GGGAGGAAGTGG >mm10_chr14:48137678-48137689(-)::chr14:48137677-48137689(-) aggaggaagggg >mm10_chr14:48137699-48137710(-)::chr14:48137698-48137710(-) agaaggaaggtc >mm10_chr14:48137709-48137720(-)::chr14:48137708-48137720(-) tggaggaaggag >mm10_chr14:48137718-48137729(-)::chr14:48137717-48137729(-) aggaggaagtgg >mm10_chr14:48150633-48150644(-)::chr14:48150632-48150644(-) AAGAGGAAGGGG >mm10_chr14:48150639-48150650(-)::chr14:48150638-48150650(-) AGAAGGAAGAGG >mm10_chr14:48165143-48165154(-)::chr14:48165142-48165154(-) accaggaaggct >mm10_chr14:48170323-48170334(-)::chr14:48170322-48170334(-) ACAAGGAAGTTG >mm10_chr14:48170359-48170370(-)::chr14:48170358-48170370(-) agaaGGAAATAA >mm10_chr14:48192690-48192701(-)::chr14:48192689-48192701(-) TGCAGGAAGTGT >mm10_chr14:48192713-48192724(+)::chr14:48192712-48192724(+) ACCAGGAAGGAA >mm10_chr14:48192717-48192728(+)::chr14:48192716-48192728(+) GGAAGGAAGGTG >mm10_chr14:48193993-48194004(-)::chr14:48193992-48194004(-) ACAAGGAAGTCA >mm10_chr14:48291823-48291834(-)::chr14:48291822-48291834(-) AAGAGGAAGGCA >mm10_chr14:48291864-48291875(-)::chr14:48291863-48291875(-) AGGAGGAAGAAC >mm10_chr14:48291884-48291895(+)::chr14:48291883-48291895(+) AGAAGGAAGGAA >mm10_chr14:48291888-48291899(+)::chr14:48291887-48291899(+) GGAAGGAAATTC >mm10_chr14:48446101-48446112(-)::chr14:48446100-48446112(-) AGACGGAAGTGG >mm10_chr14:48589938-48589949(+)::chr14:48589937-48589949(+) ATCAGGAAGGGC >mm10_chr14:48589959-48589970(+)::chr14:48589958-48589970(+) GTCAGGAAGGGA >mm10_chr14:48625301-48625312(+)::chr14:48625300-48625312(+) AGAAGGAAGGAG >mm10_chr14:48632956-48632967(+)::chr14:48632955-48632967(+) ACAAGGAAAGAC >mm10_chr14:48632968-48632979(+)::chr14:48632967-48632979(+) ATAAGGAAGGAA >mm10_chr14:48641165-48641176(-)::chr14:48641164-48641176(-) ATAAGGAAACAA >mm10_chr14:48641582-48641593(+)::chr14:48641581-48641593(+) GGGAGGAAGTTT >mm10_chr14:48665469-48665480(+)::chr14:48665468-48665480(+) GAAAGGAAGAAG >mm10_chr14:48665476-48665487(+)::chr14:48665475-48665487(+) AGAAGGAGGTAC >mm10_chr14:49055057-49055068(+)::chr14:49055056-49055068(+) AAAAGGAAGTAG >mm10_chr14:49060240-49060251(-)::chr14:49060239-49060251(-) GGAAGGAAGCAA >mm10_chr14:49060244-49060255(-)::chr14:49060243-49060255(-) AAAAGGAAGGAA >mm10_chr14:49060262-49060273(-)::chr14:49060261-49060273(-) TTAGGGAAGTTT >mm10_chr14:49064409-49064420(+)::chr14:49064408-49064420(+) TGCAGGAAATGG >mm10_chr14:49066526-49066537(+)::chr14:49066525-49066537(+) TCCCGGAAGTGG >mm10_chr14:49128754-49128765(+)::chr14:49128753-49128765(+) CAAAGGAAGGTA >mm10_chr14:49129180-49129191(+)::chr14:49129179-49129191(+) AGACGGAAATGG >mm10_chr14:49921245-49921256(+)::chr14:49921244-49921256(+) agaaggaaaaga >mm10_chr14:50785464-50785475(-)::chr14:50785463-50785475(-) AAGAGGAAGAAG >mm10_chr14:50862645-50862656(+)::chr14:50862644-50862656(+) AACAGGAAGTGC >mm10_chr14:50864329-50864340(+)::chr14:50864328-50864340(+) ataaggaagcta >mm10_chr14:50870697-50870708(-)::chr14:50870696-50870708(-) agccggaagtat >mm10_chr14:50892438-50892449(-)::chr14:50892437-50892449(-) AACAGGAAGTGA >mm10_chr14:50893081-50893092(+)::chr14:50893080-50893092(+) GACAGGAAGAGC >mm10_chr14:50908317-50908328(+)::chr14:50908316-50908328(+) TTAAGGAAGTTT >mm10_chr14:50908327-50908338(+)::chr14:50908326-50908338(+) TTAAGGAAGTAG >mm10_chr14:50927747-50927758(+)::chr14:50927746-50927758(+) ATAAGGAAGGAA >mm10_chr14:50927751-50927762(+)::chr14:50927750-50927762(+) GGAAGGAAGGGA >mm10_chr14:50927763-50927774(-)::chr14:50927762-50927774(-) GGAAGGAAGCAG >mm10_chr14:50927767-50927778(-)::chr14:50927766-50927778(-) GGTAGGAAGGAA >mm10_chr14:50927808-50927819(-)::chr14:50927807-50927819(-) ACAAGGAAGCCA >mm10_chr14:50973839-50973850(+)::chr14:50973838-50973850(+) GGAAGGAAATGC >mm10_chr14:50978638-50978649(-)::chr14:50978637-50978649(-) TTGAGGAAGTGG >mm10_chr14:50981485-50981496(+)::chr14:50981484-50981496(+) GGCAGGAAGTGT >mm10_chr14:50981508-50981519(+)::chr14:50981507-50981519(+) TCAAGGAACTAT >mm10_chr14:50998531-50998542(+)::chr14:50998530-50998542(+) GGCAGGAAGTGG >mm10_chr14:50998598-50998609(-)::chr14:50998597-50998609(-) GGAAGGAGGTTA >mm10_chr14:50998602-50998613(-)::chr14:50998601-50998613(-) AAGAGGAAGGAG >mm10_chr14:50998608-50998619(-)::chr14:50998607-50998619(-) GACAGGAAGAGG >mm10_chr14:51005161-51005172(+)::chr14:51005160-51005172(+) tggaggaaggaa >mm10_chr14:51005186-51005197(-)::chr14:51005185-51005197(-) atcaggaagatc >mm10_chr14:51008585-51008596(-)::chr14:51008584-51008596(-) GAAAGGAAGTTA >mm10_chr14:51008602-51008613(-)::chr14:51008601-51008613(-) GGCAGGAAGATG >mm10_chr14:51063574-51063585(-)::chr14:51063573-51063585(-) TCAAGGAAGGAT >mm10_chr14:51083490-51083501(+)::chr14:51083489-51083501(+) GAAAGGAAGTCC >mm10_chr14:51093560-51093571(-)::chr14:51093559-51093571(-) TCCAGGAAGTCG >mm10_chr14:51093599-51093610(-)::chr14:51093598-51093610(-) ACCAGGAAGCAG >mm10_chr14:51097194-51097205(+)::chr14:51097193-51097205(+) AGGAGGAAGACC >mm10_chr14:51107316-51107327(+)::chr14:51107315-51107327(+) GTAAGGAAATAG >mm10_chr14:51145611-51145622(-)::chr14:51145610-51145622(-) AACAGGAAGAGC >mm10_chr14:51148018-51148029(-)::chr14:51148017-51148029(-) AGAAGGAAGAAA >mm10_chr14:51198300-51198311(+)::chr14:51198299-51198311(+) AGGAGGAAGTAC >mm10_chr14:51198320-51198331(-)::chr14:51198319-51198331(-) ACCAGGAAGTGC >mm10_chr14:51200299-51200310(+)::chr14:51200298-51200310(+) GAAAGGAAATGA >mm10_chr14:51430398-51430409(+)::chr14:51430397-51430409(+) ggaaggaggtaa >mm10_chr14:51715055-51715066(-)::chr14:51715054-51715066(-) GCAAGGAAGGAT >mm10_chr14:51715063-51715074(-)::chr14:51715062-51715074(-) GTAAGGAAGCAA >mm10_chr14:51884916-51884927(-)::chr14:51884915-51884927(-) AAACGGAAGTAC >mm10_chr14:51941392-51941403(-)::chr14:51941391-51941403(-) CCACGGAAGTTC >mm10_chr14:51941446-51941457(-)::chr14:51941445-51941457(-) GGAAGGAAGCTC >mm10_chr14:51941450-51941461(-)::chr14:51941449-51941461(-) ACAAGGAAGGAA >mm10_chr14:51943521-51943532(-)::chr14:51943520-51943532(-) acagggaagtaa >mm10_chr14:51987546-51987557(-)::chr14:51987545-51987557(-) ACCAGGAAGTCC >mm10_chr14:51989717-51989728(+)::chr14:51989716-51989728(+) AGCAGGAAGTCC >mm10_chr14:52010524-52010535(-)::chr14:52010523-52010535(-) AGGAGGAAGACC >mm10_chr14:52010543-52010554(-)::chr14:52010542-52010554(-) TCCAGGAAGGGG >mm10_chr14:52023159-52023170(-)::chr14:52023158-52023170(-) AGAAGGAAGACT >mm10_chr14:52042458-52042469(-)::chr14:52042457-52042469(-) aggaggaagagg >mm10_chr14:52042467-52042478(-)::chr14:52042466-52042478(-) aggaggaagagg >mm10_chr14:52042482-52042493(-)::chr14:52042481-52042493(-) agaaggaagaga >mm10_chr14:52042532-52042543(-)::chr14:52042531-52042543(-) aagaggaagagg >mm10_chr14:52042538-52042549(-)::chr14:52042537-52042549(-) aagaggaagagg >mm10_chr14:52042794-52042805(-)::chr14:52042793-52042805(-) aagaggaagagg >mm10_chr14:52042850-52042861(-)::chr14:52042849-52042861(-) aagaggaagagg >mm10_chr14:52042856-52042867(-)::chr14:52042855-52042867(-) aagaggaagagg >mm10_chr14:52100751-52100762(+)::chr14:52100750-52100762(+) CCAAGGAAGTAC >mm10_chr14:52104693-52104704(-)::chr14:52104692-52104704(-) ACAAGGAAGCGG >mm10_chr14:52106927-52106938(+)::chr14:52106926-52106938(+) AGCAGGAAGTTC >mm10_chr14:52119587-52119598(+)::chr14:52119586-52119598(+) GTGCGGAAGTCG >mm10_chr14:52120313-52120324(+)::chr14:52120312-52120324(+) ATAAGGAAGTGC >mm10_chr14:52122943-52122954(-)::chr14:52122942-52122954(-) AGAGGGAAGGGA >mm10_chr14:52149351-52149362(+)::chr14:52149350-52149362(+) aggaggaagggg >mm10_chr14:52149378-52149389(+)::chr14:52149377-52149389(+) aggaggaagagg >mm10_chr14:52149528-52149539(+)::chr14:52149527-52149539(+) aggaggaagagg >mm10_chr14:52149534-52149545(+)::chr14:52149533-52149545(+) aagaggaagagg >mm10_chr14:52149540-52149551(+)::chr14:52149539-52149551(+) aagaggaagaag >mm10_chr14:52149552-52149563(+)::chr14:52149551-52149563(+) aggaggaagagg >mm10_chr14:52197276-52197287(-)::chr14:52197275-52197287(-) ACCCGGAAGCGG >mm10_chr14:52197352-52197363(+)::chr14:52197351-52197363(+) GGGAGGAAGGGC >mm10_chr14:52244051-52244062(+)::chr14:52244050-52244062(+) GAAAGGAAGGAA >mm10_chr14:52244055-52244066(+)::chr14:52244054-52244066(+) GGAAGGAAGTAG >mm10_chr14:52257105-52257116(+)::chr14:52257104-52257116(+) TCAAGGAAGACA >mm10_chr14:52257132-52257143(-)::chr14:52257131-52257143(-) ACGAGGAAACGA >mm10_chr14:52257859-52257870(+)::chr14:52257858-52257870(+) TCCAGGAAGTCT >mm10_chr14:52279561-52279572(+)::chr14:52279560-52279572(+) TTGAGGAAGTGA >mm10_chr14:52390719-52390730(+)::chr14:52390718-52390730(+) AGAAGGAAATCT >mm10_chr14:52407546-52407557(+)::chr14:52407545-52407557(+) atgaggaagtaa >mm10_chr14:52407588-52407599(+)::chr14:52407587-52407599(+) atagggaaggaa >mm10_chr14:52410818-52410829(+)::chr14:52410817-52410829(+) AGAAGGAAATCT >mm10_chr14:52411967-52411978(+)::chr14:52411966-52411978(+) ACAAGGAAATGC >mm10_chr14:52446132-52446143(-)::chr14:52446131-52446143(-) AACAGGAAGTAA >mm10_chr14:52652218-52652229(+)::chr14:52652217-52652229(+) CCAGGGAAGTAT >mm10_chr14:52654591-52654602(+)::chr14:52654590-52654602(+) AGAAGGAAGGAA >mm10_chr14:52654595-52654606(+)::chr14:52654594-52654606(+) GGAAGGAAGAGG >mm10_chr14:52654611-52654622(+)::chr14:52654610-52654622(+) GGCAGGAAGAAG >mm10_chr14:54074327-54074338(+)::chr14:54074326-54074338(+) AGAAGGAAGAAC >mm10_chr14:54074381-54074392(-)::chr14:54074380-54074392(-) ACAGGGAAGTAC >mm10_chr14:54108758-54108769(-)::chr14:54108757-54108769(-) CGAGGGAAGTGA >mm10_chr14:54108788-54108799(-)::chr14:54108787-54108799(-) ACAAGGCAGTAA >mm10_chr14:54153106-54153117(+)::chr14:54153105-54153117(+) AGAAGGAAAACA >mm10_chr14:54227413-54227424(+)::chr14:54227412-54227424(+) AACAGGAAATGG >mm10_chr14:54228008-54228019(+)::chr14:54228007-54228019(+) CCTAGGAAGTCG >mm10_chr14:54234399-54234410(-)::chr14:54234398-54234410(-) acccggaagtct >mm10_chr14:54247980-54247991(+)::chr14:54247979-54247991(+) acgaggaagagg >mm10_chr14:54259096-54259107(+)::chr14:54259095-54259107(+) AGAAGGAAGCAC >mm10_chr14:54327164-54327175(+)::chr14:54327163-54327175(+) ggcaggaaatat >mm10_chr14:54332364-54332375(+)::chr14:54332363-54332375(+) GGAATGAAGTAG >mm10_chr14:54365468-54365479(-)::chr14:54365467-54365479(-) AGAAAGAAGTAG >mm10_chr14:54365818-54365829(+)::chr14:54365817-54365829(+) ATAAGGAAGGGG >mm10_chr14:54365825-54365836(+)::chr14:54365824-54365836(+) AGGGGGAAGTAT >mm10_chr14:54367772-54367783(-)::chr14:54367771-54367783(-) GGAAGGAAGGTG >mm10_chr14:54367776-54367787(-)::chr14:54367775-54367787(-) TGAAGGAAGGAA >mm10_chr14:54375536-54375547(+)::chr14:54375535-54375547(+) ACAAGGAAGTCA >mm10_chr14:54377156-54377167(+)::chr14:54377155-54377167(+) TCAAGGAAATCC >mm10_chr14:54383437-54383448(+)::chr14:54383436-54383448(+) AACAGGAAATGA >mm10_chr14:54398681-54398692(+)::chr14:54398680-54398692(+) aaaaGGAAGGAA >mm10_chr14:54398685-54398696(+)::chr14:54398684-54398696(+) GGAAGGAAGGGA >mm10_chr14:54402410-54402421(+)::chr14:54402409-54402421(+) aggaggaagaag >mm10_chr14:54402422-54402433(+)::chr14:54402421-54402433(+) aggaggaagagg >mm10_chr14:54402446-54402457(+)::chr14:54402445-54402457(+) aggaggaagagg >mm10_chr14:54402464-54402475(+)::chr14:54402463-54402475(+) aggaggaaATAA >mm10_chr14:54410180-54410191(-)::chr14:54410179-54410191(-) TGGAGGAAGGAG >mm10_chr14:54410609-54410620(+)::chr14:54410608-54410620(+) GGCAGGAAGGTT >mm10_chr14:54410654-54410665(+)::chr14:54410653-54410665(+) TGGCGGAAGTAT >mm10_chr14:54430925-54430936(+)::chr14:54430924-54430936(+) CGAAGGAACTGT >mm10_chr14:54448149-54448160(-)::chr14:54448148-54448160(-) aagaggaagttg >mm10_chr14:54448155-54448166(-)::chr14:54448154-54448166(-) aggaggaagagg >mm10_chr14:54464797-54464808(-)::chr14:54464796-54464808(-) TATAGGAAGGGG >mm10_chr14:54527808-54527819(-)::chr14:54527807-54527819(-) TGAGGGAAGTCC >mm10_chr14:54591547-54591558(-)::chr14:54591546-54591558(-) acacggaagtct >mm10_chr14:54679156-54679167(-)::chr14:54679155-54679167(-) TCCAGGAAGAGG >mm10_chr14:54686206-54686217(+)::chr14:54686205-54686217(+) AGAAGGAAGGAG >mm10_chr14:54687112-54687123(+)::chr14:54687111-54687123(+) AAAAGGAAGGTA >mm10_chr14:54714892-54714903(+)::chr14:54714891-54714903(+) AGCAGGAAATGA >mm10_chr14:54715057-54715068(+)::chr14:54715056-54715068(+) AGGAGGAAGCAA >mm10_chr14:54729835-54729846(-)::chr14:54729834-54729846(-) ttaaggaagtag >mm10_chr14:54740992-54741003(+)::chr14:54740991-54741003(+) GGCAGGAAGGTC >mm10_chr14:54754710-54754721(-)::chr14:54754709-54754721(-) AGAAGGAACTGC >mm10_chr14:54756625-54756636(-)::chr14:54756624-54756636(-) AGAAGGAAGTGC >mm10_chr14:54756632-54756643(-)::chr14:54756631-54756643(-) GGGAGGAAGAAG >mm10_chr14:54802611-54802622(+)::chr14:54802610-54802622(+) ACCAGGAAGTCG >mm10_chr14:54883893-54883904(-)::chr14:54883892-54883904(-) GAAAGGAAGAGG >mm10_chr14:54883932-54883943(-)::chr14:54883931-54883943(-) AATAGGAAGATG >mm10_chr14:54926723-54926734(-)::chr14:54926722-54926734(-) AGGAGGAAGGTG >mm10_chr14:54928458-54928469(+)::chr14:54928457-54928469(+) AGAAGGAAGTCT >mm10_chr14:54928494-54928505(-)::chr14:54928493-54928505(-) ACAAGGAAGGGA >mm10_chr14:54930635-54930646(+)::chr14:54930634-54930646(+) AACAGGAAGCTG >mm10_chr14:54936992-54937003(+)::chr14:54936991-54937003(+) AGACGGAAGTTA >mm10_chr14:54938384-54938395(-)::chr14:54938383-54938395(-) CCAAGGAAGTGA >mm10_chr14:54938581-54938592(-)::chr14:54938580-54938592(-) CGGAGGAAGTCC >mm10_chr14:55014790-55014801(+)::chr14:55014789-55014801(+) CAAAGGAAATAT >mm10_chr14:55015140-55015151(+)::chr14:55015139-55015151(+) GGACGGAAGCCG >mm10_chr14:55030946-55030957(+)::chr14:55030945-55030957(+) CTAAGGAAGGCA >mm10_chr14:55030950-55030961(+)::chr14:55030949-55030961(+) GGAAGGCAGTTA >mm10_chr14:55030972-55030983(+)::chr14:55030971-55030983(+) TGGAGGAAGAAT >mm10_chr14:55036780-55036791(+)::chr14:55036779-55036791(+) AACAGGAAGTAA >mm10_chr14:55038363-55038374(-)::chr14:55038362-55038374(-) GCAAGGAAGATG >mm10_chr14:55038408-55038419(-)::chr14:55038407-55038419(-) AGAAGGAAAAGG >mm10_chr14:55038420-55038431(+)::chr14:55038419-55038431(+) TTAGGGAAGTAA >mm10_chr14:55048891-55048902(-)::chr14:55048890-55048902(-) agcaggaagtgc >mm10_chr14:55054471-55054482(+)::chr14:55054470-55054482(+) GAGAGGAAGGAA >mm10_chr14:55054475-55054486(+)::chr14:55054474-55054486(+) GGAAGGAAGGAC >mm10_chr14:55054533-55054544(+)::chr14:55054532-55054544(+) AGAAGGAAGGAG >mm10_chr14:55083545-55083556(+)::chr14:55083544-55083556(+) TGAAGGAAATGG >mm10_chr14:55095072-55095083(-)::chr14:55095071-55095083(-) ACCCGGAAGTGG >mm10_chr14:55098097-55098108(+)::chr14:55098096-55098108(+) TTCAGGAAGTCA >mm10_chr14:55098108-55098119(-)::chr14:55098107-55098119(-) CACAGGAAGTAT >mm10_chr14:55106548-55106559(-)::chr14:55106547-55106559(-) ACCAGGAAGTGC >mm10_chr14:55122178-55122189(-)::chr14:55122177-55122189(-) CGGAGGAAATGC >mm10_chr14:55173316-55173327(-)::chr14:55173315-55173327(-) ACCAGGAAGATT >mm10_chr14:55173330-55173341(-)::chr14:55173329-55173341(-) TCCAGGAAGTCT >mm10_chr14:55264974-55264985(-)::chr14:55264973-55264985(-) aggaggaagagg >mm10_chr14:55339616-55339627(-)::chr14:55339615-55339627(-) GAAAGGAAGTAG >mm10_chr14:55342563-55342574(-)::chr14:55342562-55342574(-) acgaggaagacg >mm10_chr14:55367531-55367542(-)::chr14:55367530-55367542(-) acaaggaagctt >mm10_chr14:55432827-55432838(+)::chr14:55432826-55432838(+) CAAAGGAAGAGG >mm10_chr14:55432833-55432844(+)::chr14:55432832-55432844(+) AAGAGGAAGCTA >mm10_chr14:55471023-55471034(+)::chr14:55471022-55471034(+) GCACGGAAGTAC >mm10_chr14:55476826-55476837(-)::chr14:55476825-55476837(-) ACCAGGAAGAAA >mm10_chr14:55540407-55540418(-)::chr14:55540406-55540418(-) GGAAGGAAGGAG >mm10_chr14:55540420-55540431(-)::chr14:55540419-55540431(-) ACAAGGAAGGCG >mm10_chr14:55541037-55541048(+)::chr14:55541036-55541048(+) agaaggaaggaA >mm10_chr14:55541041-55541052(+)::chr14:55541040-55541052(+) ggaaggaAGCAA >mm10_chr14:55557212-55557223(+)::chr14:55557211-55557223(+) AACAGGAAGTCA >mm10_chr14:55576606-55576617(-)::chr14:55576605-55576617(-) AGGAGGAAGGTG >mm10_chr14:55585880-55585891(-)::chr14:55585879-55585891(-) ATGAGGAAGCCA >mm10_chr14:55591061-55591072(+)::chr14:55591060-55591072(+) ACTAGGAAGGGC >mm10_chr14:55591662-55591673(-)::chr14:55591661-55591673(-) ATCAGGAAGGGT >mm10_chr14:55604017-55604028(+)::chr14:55604016-55604028(+) GGGAGGAAGGAG >mm10_chr14:55606590-55606601(-)::chr14:55606589-55606601(-) CAGAGGAAGTTG >mm10_chr14:55606625-55606636(-)::chr14:55606624-55606636(-) ACAAGGAAGGGT >mm10_chr14:55606637-55606648(-)::chr14:55606636-55606648(-) AAGAGGAAGGGA >mm10_chr14:55643596-55643607(-)::chr14:55643595-55643607(-) AGGCGGAAGTGG >mm10_chr14:55644490-55644501(+)::chr14:55644489-55644501(+) AAACGGAAGGAA >mm10_chr14:55644494-55644505(+)::chr14:55644493-55644505(+) GGAAGGAAGCTG >mm10_chr14:55644528-55644539(+)::chr14:55644527-55644539(+) GGAAGCAAGTAC >mm10_chr14:55647622-55647633(+)::chr14:55647621-55647633(+) ACCAGGAAATCC >mm10_chr14:55647666-55647677(+)::chr14:55647665-55647677(+) AAGAGGAAGAGA >mm10_chr14:55648777-55648788(+)::chr14:55648776-55648788(+) ataaggaagtgt >mm10_chr14:55660233-55660244(+)::chr14:55660232-55660244(+) AAGAGGAAGGAG >mm10_chr14:55660240-55660251(+)::chr14:55660239-55660251(+) AGGAGGAAGAAG >mm10_chr14:55672377-55672388(+)::chr14:55672376-55672388(+) AACAGGAAGTGA >mm10_chr14:55705476-55705487(-)::chr14:55705475-55705487(-) AAAAGGAAGTGA >mm10_chr14:55707503-55707514(+)::chr14:55707502-55707514(+) AGAAGGAAGTAG >mm10_chr14:55717262-55717273(+)::chr14:55717261-55717273(+) AGCAGGAAGTTG >mm10_chr14:55722037-55722048(+)::chr14:55722036-55722048(+) AGGAGGAAGCGC >mm10_chr14:55737903-55737914(-)::chr14:55737902-55737914(-) GGACGGAAGCAG >mm10_chr14:55737947-55737958(+)::chr14:55737946-55737958(+) TCCAGGAAGTTA >mm10_chr14:55763480-55763491(+)::chr14:55763479-55763491(+) AGGAGGAAGAAA >mm10_chr14:55763507-55763518(+)::chr14:55763506-55763518(+) GGAAGGAAGTCT >mm10_chr14:55776950-55776961(-)::chr14:55776949-55776961(-) GGAAGGAAGACT >mm10_chr14:55776954-55776965(-)::chr14:55776953-55776965(-) AAGAGGAAGGAA >mm10_chr14:55776960-55776971(-)::chr14:55776959-55776971(-) AGGAGGAAGAGG >mm10_chr14:55793576-55793587(+)::chr14:55793575-55793587(+) ACAAGGAAGTTC >mm10_chr14:55793604-55793615(-)::chr14:55793603-55793615(-) GGAAGGAAGAAA >mm10_chr14:55795983-55795994(+)::chr14:55795982-55795994(+) CTGAGGAAGTTA >mm10_chr14:55815557-55815568(-)::chr14:55815556-55815568(-) agcgggaaatat >mm10_chr14:55815673-55815684(-)::chr14:55815672-55815684(-) ACAGGGAAGTAG >mm10_chr14:55822633-55822644(+)::chr14:55822632-55822644(+) AAGAGGAAGGAA >mm10_chr14:55822637-55822648(+)::chr14:55822636-55822648(+) GGAAGGAAGGGC >mm10_chr14:55933746-55933757(+)::chr14:55933745-55933757(+) TCAAGGAAGGGT >mm10_chr14:55933779-55933790(-)::chr14:55933778-55933790(-) AGCAGGAAGTAC >mm10_chr14:55950539-55950550(-)::chr14:55950538-55950550(-) TGGAGGAAGTGT >mm10_chr14:55950572-55950583(+)::chr14:55950571-55950583(+) GGGAGGAAGAGC >mm10_chr14:55950610-55950621(-)::chr14:55950609-55950621(-) AGAAGGAAGAGA >mm10_chr14:55952756-55952767(+)::chr14:55952755-55952767(+) gagaggaagtac >mm10_chr14:56315738-56315749(-)::chr14:56315737-56315749(-) AGCAGGAAGGCA >mm10_chr14:56333486-56333497(+)::chr14:56333485-56333497(+) accaggaaggaa >mm10_chr14:56333490-56333501(+)::chr14:56333489-56333501(+) ggaaggaagaga >mm10_chr14:56333514-56333525(+)::chr14:56333513-56333525(+) gggaggaagagg >mm10_chr14:56336182-56336193(+)::chr14:56336181-56336193(+) ataaggatgtgg >mm10_chr14:56337382-56337393(+)::chr14:56337381-56337393(+) AGGAGGAACTAA >mm10_chr14:56359315-56359326(+)::chr14:56359314-56359326(+) tccaggaagttt >mm10_chr14:56359364-56359375(+)::chr14:56359363-56359375(+) acaaggaagccc >mm10_chr14:56361879-56361890(-)::chr14:56361878-56361890(-) CCAGGGAAGTAG >mm10_chr14:56361889-56361900(+)::chr14:56361888-56361900(+) GGCAGGATGTAC >mm10_chr14:56361927-56361938(+)::chr14:56361926-56361938(+) ATGAGGAAATGA >mm10_chr14:56443208-56443219(-)::chr14:56443207-56443219(-) aaaagaaagtga >mm10_chr14:56514902-56514913(+)::chr14:56514901-56514913(+) ggagggaagtca >mm10_chr14:56581332-56581343(-)::chr14:56581331-56581343(-) AGGAGGAAGTTC >mm10_chr14:56602203-56602214(+)::chr14:56602202-56602214(+) ACAATGAAGCGA >mm10_chr14:56608702-56608713(-)::chr14:56608701-56608713(-) AAAAGGAAGTAA >mm10_chr14:56705789-56705800(-)::chr14:56705788-56705800(-) AGGAGGAAATGG >mm10_chr14:56808612-56808623(+)::chr14:56808611-56808623(+) ACAAGGAAGTAA >mm10_chr14:56810549-56810560(-)::chr14:56810548-56810560(-) ATAAGGAAAACA >mm10_chr14:56885346-56885357(+)::chr14:56885345-56885357(+) TTGAGGAAGTAA >mm10_chr14:56897812-56897823(-)::chr14:56897811-56897823(-) GGTAGGAAGAGG >mm10_chr14:57072444-57072455(+)::chr14:57072443-57072455(+) AGGAGGAAGGTG >mm10_chr14:57074952-57074963(+)::chr14:57074951-57074963(+) AAAAGGAAGCAA >mm10_chr14:57074989-57075000(-)::chr14:57074988-57075000(-) AGAAGGATGTAA >mm10_chr14:57074996-57075007(-)::chr14:57074995-57075007(-) TAGAGGAAGAAG >mm10_chr14:57084214-57084225(-)::chr14:57084213-57084225(-) ATGAGGAAGCGT >mm10_chr14:57086140-57086151(+)::chr14:57086139-57086151(+) TAAAGGAAGAGG >mm10_chr14:57092885-57092896(+)::chr14:57092884-57092896(+) AGAAAGAAGTCA >mm10_chr14:57092899-57092910(-)::chr14:57092898-57092910(-) GCAATGAAGTCG >mm10_chr14:57102206-57102217(-)::chr14:57102205-57102217(-) AACAGGATGTAA >mm10_chr14:57127519-57127530(-)::chr14:57127518-57127530(-) ACCAGGAAATGG >mm10_chr14:57147784-57147795(+)::chr14:57147783-57147795(+) TAAGGGAAGTCA >mm10_chr14:57147823-57147834(+)::chr14:57147822-57147834(+) AACAGGAAGACA >mm10_chr14:57154682-57154693(-)::chr14:57154681-57154693(-) TGAAGGAAGCAA >mm10_chr14:57161310-57161321(+)::chr14:57161309-57161321(+) CTGAGGAAGTGG >mm10_chr14:57161355-57161366(+)::chr14:57161354-57161366(+) GTACGGAAGGTG >mm10_chr14:57162340-57162351(+)::chr14:57162339-57162351(+) GCAAGGAAGTCT >mm10_chr14:57169154-57169165(-)::chr14:57169153-57169165(-) ttaaggaagtgt >mm10_chr14:57169163-57169174(+)::chr14:57169162-57169174(+) taaaggaaGCAA >mm10_chr14:57169993-57170004(-)::chr14:57169992-57170004(-) AGGAGGAAGGAC >mm10_chr14:57171370-57171381(+)::chr14:57171369-57171381(+) acacggaagaag >mm10_chr14:57171377-57171388(+)::chr14:57171376-57171388(+) agaaggaagctt >mm10_chr14:57195514-57195525(-)::chr14:57195513-57195525(-) TCCAGGAAGTAC >mm10_chr14:57224137-57224148(+)::chr14:57224136-57224148(+) aacaggaaatga >mm10_chr14:57234750-57234761(-)::chr14:57234749-57234761(-) AGGAGGAAGGGG >mm10_chr14:57256369-57256380(+)::chr14:57256368-57256380(+) TGGAGGAAGGGT >mm10_chr14:57264155-57264166(-)::chr14:57264154-57264166(-) TCGAGGAAGTGG >mm10_chr14:57281627-57281638(-)::chr14:57281626-57281638(-) GGAAGGAAGAAG >mm10_chr14:57281656-57281667(-)::chr14:57281655-57281667(-) AGAAGGAAGGGC >mm10_chr14:57281669-57281680(-)::chr14:57281668-57281680(-) GGCAGGAAGGCA >mm10_chr14:57318268-57318279(+)::chr14:57318267-57318279(+) AGGAGGAAGAGG >mm10_chr14:57318329-57318340(+)::chr14:57318328-57318340(+) CAAGGGAAGTGA >mm10_chr14:57318801-57318812(-)::chr14:57318800-57318812(-) ATCAGGAAGAGA >mm10_chr14:57343295-57343306(+)::chr14:57343294-57343306(+) ACCAGGAAGCAA >mm10_chr14:57353679-57353690(+)::chr14:57353678-57353690(+) aggaggaagagg >mm10_chr14:57353701-57353712(+)::chr14:57353700-57353712(+) gggaggaagagg >mm10_chr14:57361685-57361696(+)::chr14:57361684-57361696(+) ACAAGGAAGCTC >mm10_chr14:57361720-57361731(-)::chr14:57361719-57361731(-) GCACGGAAGTCA >mm10_chr14:57399005-57399016(+)::chr14:57399004-57399016(+) AAACGGAAGGAC >mm10_chr14:57399022-57399033(-)::chr14:57399021-57399033(-) ACAAGGAATTCA >mm10_chr14:57399058-57399069(-)::chr14:57399057-57399069(-) AGGAGGAAGTAA >mm10_chr14:57424014-57424025(-)::chr14:57424013-57424025(-) GACCGGAAGTGC >mm10_chr14:57424070-57424081(-)::chr14:57424069-57424081(-) CCAAGGAAGCCG >mm10_chr14:57424314-57424325(-)::chr14:57424313-57424325(-) CTACGGAAGCGC >mm10_chr14:57495827-57495838(+)::chr14:57495826-57495838(+) ACAAGGAAGGGC >mm10_chr14:57495843-57495854(+)::chr14:57495842-57495854(+) ACAAGGAAGTCC >mm10_chr14:57508400-57508411(+)::chr14:57508399-57508411(+) ACCAGGAAGAGT >mm10_chr14:57571956-57571967(-)::chr14:57571955-57571967(-) ATCAGGAAATCG >mm10_chr14:57604473-57604484(-)::chr14:57604472-57604484(-) GTGAGGAAGAAG >mm10_chr14:57604516-57604527(+)::chr14:57604515-57604527(+) GAAAGGAAGAGA >mm10_chr14:57604532-57604543(+)::chr14:57604531-57604543(+) ATAAGGAAATAC >mm10_chr14:57619644-57619655(-)::chr14:57619643-57619655(-) AGAGGGAAATAC >mm10_chr14:57619651-57619662(-)::chr14:57619650-57619662(-) TAAAGGAAGAGG >mm10_chr14:57636049-57636060(-)::chr14:57636048-57636060(-) ACAAGGAAGCTC >mm10_chr14:57664789-57664800(-)::chr14:57664788-57664800(-) GCCAGGAAGGGC >mm10_chr14:57665846-57665857(-)::chr14:57665845-57665857(-) AGAAGGAACTCA >mm10_chr14:57704313-57704324(-)::chr14:57704312-57704324(-) TTAAGGAAGTGG >mm10_chr14:57724637-57724648(+)::chr14:57724636-57724648(+) ACCAGGAAGCAA >mm10_chr14:57798134-57798145(+)::chr14:57798133-57798145(+) ATACGGAAATAC >mm10_chr14:57814103-57814114(-)::chr14:57814102-57814114(-) accaggaaatgg >mm10_chr14:57871233-57871244(+)::chr14:57871232-57871244(+) AGGAGGAAGGAG >mm10_chr14:57887231-57887242(-)::chr14:57887230-57887242(-) ACTAGGAAGTGA >mm10_chr14:57887258-57887269(-)::chr14:57887257-57887269(-) GGAAGGAACTTA >mm10_chr14:57887285-57887296(-)::chr14:57887284-57887296(-) TGTAGGAAGTGA >mm10_chr14:57900285-57900296(+)::chr14:57900284-57900296(+) ggcaggaagaca >mm10_chr14:57900332-57900343(+)::chr14:57900331-57900343(+) acacggaagtag >mm10_chr14:57900356-57900367(+)::chr14:57900355-57900367(+) agaaggaaacgt >mm10_chr14:57908588-57908599(+)::chr14:57908587-57908599(+) TGAAGGAAGAGG >mm10_chr14:57908594-57908605(+)::chr14:57908593-57908605(+) AAGAGGAAGGAA >mm10_chr14:57908598-57908609(+)::chr14:57908597-57908609(+) GGAAGGAAATGA >mm10_chr14:57910561-57910572(+)::chr14:57910560-57910572(+) GGAAGGAACTGC >mm10_chr14:57910581-57910592(-)::chr14:57910580-57910592(-) GGAAGGAAATGA >mm10_chr14:57910585-57910596(-)::chr14:57910584-57910596(-) AAGAGGAAGGAA >mm10_chr14:57981110-57981121(-)::chr14:57981109-57981121(-) ACAAGGAAGTTA >mm10_chr14:57981133-57981144(-)::chr14:57981132-57981144(-) TGGAGGAAGTAA >mm10_chr14:58079224-58079235(+)::chr14:58079223-58079235(+) TGGAGGAAGTGA >mm10_chr14:58175720-58175731(+)::chr14:58175719-58175731(+) acaaggaagtac >mm10_chr14:58206015-58206026(+)::chr14:58206014-58206026(+) AGCAGGAAGACC >mm10_chr14:58206041-58206052(-)::chr14:58206040-58206052(-) GGAAGGAAGCCA >mm10_chr14:58206045-58206056(-)::chr14:58206044-58206056(-) TGCAGGAAGGAA >mm10_chr14:58206057-58206068(-)::chr14:58206056-58206068(-) ATCAGGAAGACA >mm10_chr14:58253909-58253920(-)::chr14:58253908-58253920(-) GGCAGGAAGTGA >mm10_chr14:58347614-58347625(+)::chr14:58347613-58347625(+) AGGAGGAAATGC >mm10_chr14:58383093-58383104(-)::chr14:58383092-58383104(-) ATAAGGAAGCTT >mm10_chr14:58480604-58480615(+)::chr14:58480603-58480615(+) CCAAGGAAGTGA >mm10_chr14:58506116-58506127(+)::chr14:58506115-58506127(+) GGAAGGAAGTCC >mm10_chr14:58653670-58653681(+)::chr14:58653669-58653681(+) AGCAGGAAGAAG >mm10_chr14:58653703-58653714(+)::chr14:58653702-58653714(+) ACCAGGAAGGCT >mm10_chr14:58710084-58710095(+)::chr14:58710083-58710095(+) AAGAGGAAGGCA >mm10_chr14:58816475-58816486(-)::chr14:58816474-58816486(-) AGAAGGAAATGC >mm10_chr14:58816533-58816544(-)::chr14:58816532-58816544(-) ATAAGGAAGTTT >mm10_chr14:59142226-59142237(+)::chr14:59142225-59142237(+) CTGAGGAAGTGG >mm10_chr14:59207889-59207900(-)::chr14:59207888-59207900(-) atgaggaagaAG >mm10_chr14:59207914-59207925(-)::chr14:59207913-59207925(-) aggaggaagcaa >mm10_chr14:59207921-59207932(-)::chr14:59207920-59207932(-) aagaggaaggag >mm10_chr14:59401555-59401566(-)::chr14:59401554-59401566(-) gcaaggaagaaa >mm10_chr14:59401570-59401581(-)::chr14:59401569-59401581(-) agaaggaagaaa >mm10_chr14:59401591-59401602(-)::chr14:59401590-59401602(-) ggaaggaagaag >mm10_chr14:59401595-59401606(-)::chr14:59401594-59401606(-) ggaaggaaggaa >mm10_chr14:59401599-59401610(-)::chr14:59401598-59401610(-) gggaggaaggaa >mm10_chr14:59401615-59401626(-)::chr14:59401614-59401626(-) aggaggaagaga >mm10_chr14:59401732-59401743(+)::chr14:59401731-59401743(+) ACAATGAAGTAA >mm10_chr14:59463662-59463673(+)::chr14:59463661-59463673(+) ATGAGGAAGAAG >mm10_chr14:59463702-59463713(+)::chr14:59463701-59463713(+) AAAAGGAACTGA >mm10_chr14:59470934-59470945(+)::chr14:59470933-59470945(+) agcaggaagaga >mm10_chr14:59501856-59501867(+)::chr14:59501855-59501867(+) AGAAGGAAGGAA >mm10_chr14:59501860-59501871(+)::chr14:59501859-59501871(+) GGAAGGAAGAAC >mm10_chr14:59535079-59535090(-)::chr14:59535078-59535090(-) agaaggaagtat >mm10_chr14:59535086-59535097(-)::chr14:59535085-59535097(-) ctaaggaagaag >mm10_chr14:59535895-59535906(+)::chr14:59535894-59535906(+) actaggaagttt >mm10_chr14:59535937-59535948(+)::chr14:59535936-59535948(+) ATCAGGAAGTGC >mm10_chr14:59555054-59555065(+)::chr14:59555053-59555065(+) aacaggaaggag >mm10_chr14:59597980-59597991(-)::chr14:59597979-59597991(-) CGCCGGAAGCGA >mm10_chr14:59639713-59639724(-)::chr14:59639712-59639724(-) GAAAGGAAGGAG >mm10_chr14:59705462-59705473(+)::chr14:59705461-59705473(+) ATCAGGAAGTGT >mm10_chr14:59720573-59720584(+)::chr14:59720572-59720584(+) AGAAGGAAGTAG >mm10_chr14:59722202-59722213(+)::chr14:59722201-59722213(+) TACAGGAAGCCA >mm10_chr14:59746330-59746341(+)::chr14:59746329-59746341(+) agcaggaagata >mm10_chr14:59762392-59762403(+)::chr14:59762391-59762403(+) GTTAGGAAATCG >mm10_chr14:59762445-59762456(-)::chr14:59762444-59762456(-) TTAAGGACGTTA >mm10_chr14:59768447-59768458(-)::chr14:59768446-59768458(-) CCCAGGAAGTCT >mm10_chr14:59836086-59836097(+)::chr14:59836085-59836097(+) CGAAGGAAATCA >mm10_chr14:59857679-59857690(-)::chr14:59857678-59857690(-) ATGAGGAAGTGG >mm10_chr14:59938709-59938720(-)::chr14:59938708-59938720(-) AGAAGGAAGTTT >mm10_chr14:60009609-60009620(-)::chr14:60009608-60009620(-) GGAAGGAAATGG >mm10_chr14:60009613-60009624(-)::chr14:60009612-60009624(-) GTGAGGAAGGAA >mm10_chr14:60188483-60188494(-)::chr14:60188482-60188494(-) GGGAGGAAGGGA >mm10_chr14:60188575-60188586(+)::chr14:60188574-60188586(+) CAAAGGAAGCAC >mm10_chr14:60251632-60251643(-)::chr14:60251631-60251643(-) TTCAGGAAGTAA >mm10_chr14:60384496-60384507(+)::chr14:60384495-60384507(+) CAAAGGAAGTAG >mm10_chr14:60384531-60384542(+)::chr14:60384530-60384542(+) GAAAGGAAGTGA >mm10_chr14:60398617-60398628(-)::chr14:60398616-60398628(-) gtcaggaagaaa >mm10_chr14:60519056-60519067(+)::chr14:60519055-60519067(+) AGGAGGAAGTGC >mm10_chr14:60528164-60528175(-)::chr14:60528163-60528175(-) tggaggaagtgt >mm10_chr14:60556614-60556625(-)::chr14:60556613-60556625(-) tgcaggaaatat >mm10_chr14:60578409-60578420(+)::chr14:60578408-60578420(+) GGCAGGAAATGG >mm10_chr14:60578821-60578832(-)::chr14:60578820-60578832(-) GGGAGGAAGTGA >mm10_chr14:60586886-60586897(+)::chr14:60586885-60586897(+) gtaaggaagcaa >mm10_chr14:60586902-60586913(+)::chr14:60586901-60586913(+) agcaggaagtgg >mm10_chr14:60586916-60586927(+)::chr14:60586915-60586927(+) gtaaggcagtga >mm10_chr14:60592531-60592542(+)::chr14:60592530-60592542(+) TCAAGGAAGTAG >mm10_chr14:60595166-60595177(+)::chr14:60595165-60595177(+) agaagGACGATG >mm10_chr14:60599302-60599313(+)::chr14:60599301-60599313(+) AAGAGGAAGTGG >mm10_chr14:60618574-60618585(-)::chr14:60618573-60618585(-) TCCAGGAAGGAA >mm10_chr14:60618595-60618606(-)::chr14:60618594-60618606(-) aaaaggaagCTG >mm10_chr14:60636181-60636192(-)::chr14:60636180-60636192(-) GGGAGGAAGCAG >mm10_chr14:60645770-60645781(-)::chr14:60645769-60645781(-) AGAAGGAAAAGT >mm10_chr14:60645794-60645805(+)::chr14:60645793-60645805(+) GTTAGGAAGTGA >mm10_chr14:60645955-60645966(+)::chr14:60645954-60645966(+) AGGAGGAAGAAC >mm10_chr14:60666496-60666507(-)::chr14:60666495-60666507(-) TCCAGGAAGTGT >mm10_chr14:60666526-60666537(-)::chr14:60666525-60666537(-) GAGAGGAAGTGG >mm10_chr14:60677176-60677187(+)::chr14:60677175-60677187(+) ACAAGGAAATGA >mm10_chr14:60682091-60682102(-)::chr14:60682090-60682102(-) AGGAGGAAATTG >mm10_chr14:60682595-60682606(-)::chr14:60682594-60682606(-) TCAAGGAAGTCC >mm10_chr14:60703628-60703639(-)::chr14:60703627-60703639(-) accaggaagtga >mm10_chr14:60705072-60705083(-)::chr14:60705071-60705083(-) AGAAGGAAGTTA >mm10_chr14:60709202-60709213(+)::chr14:60709201-60709213(+) AGTAGGAAGAAG >mm10_chr14:60714223-60714234(+)::chr14:60714222-60714234(+) gcaaggcagtta >mm10_chr14:60714255-60714266(-)::chr14:60714254-60714266(-) tcgaggaagtac >mm10_chr14:60715114-60715125(+)::chr14:60715113-60715125(+) ataaggaagcag >mm10_chr14:60715179-60715190(-)::chr14:60715178-60715190(-) agaaggaactgg >mm10_chr14:60715193-60715204(-)::chr14:60715192-60715204(-) ggaaggaacttg >mm10_chr14:60733892-60733903(+)::chr14:60733891-60733903(+) TGAAGGAAGGCC >mm10_chr14:60733918-60733929(-)::chr14:60733917-60733929(-) ACAAGGAAGAAA >mm10_chr14:60738685-60738696(+)::chr14:60738684-60738696(+) aacaggaaatag >mm10_chr14:60738731-60738742(+)::chr14:60738730-60738742(+) agaaggaaagga >mm10_chr14:60738736-60738747(+)::chr14:60738735-60738747(+) gaaaggaagtta >mm10_chr14:60745382-60745393(-)::chr14:60745381-60745393(-) GTAGGGAAGTGT >mm10_chr14:60901202-60901213(-)::chr14:60901201-60901213(-) TGCAGGAAGTAA >mm10_chr14:60999491-60999502(-)::chr14:60999490-60999502(-) GACAGGAAATGC >mm10_chr14:61012705-61012716(+)::chr14:61012704-61012716(+) gaaaggaagggg >mm10_chr14:61039958-61039969(-)::chr14:61039957-61039969(-) TCAAGGAAGGTA >mm10_chr14:61047524-61047535(-)::chr14:61047523-61047535(-) GGAAGGAAGTGG >mm10_chr14:61047538-61047549(-)::chr14:61047537-61047549(-) AGAAGGAAGTCA >mm10_chr14:61050466-61050477(-)::chr14:61050465-61050477(-) acaaggaagtag >mm10_chr14:61050505-61050516(-)::chr14:61050504-61050516(-) acaaggaggtgg >mm10_chr14:61050988-61050999(+)::chr14:61050987-61050999(+) tacaggaaatag >mm10_chr14:61051017-61051028(+)::chr14:61051016-61051028(+) ggaaagaagtag >mm10_chr14:61051032-61051043(+)::chr14:61051031-61051043(+) aggaggaagggg >mm10_chr14:61060480-61060491(+)::chr14:61060479-61060491(+) atcaggaagcaa >mm10_chr14:61172939-61172950(+)::chr14:61172938-61172950(+) ACGCGGAAGGGC >mm10_chr14:61309827-61309838(-)::chr14:61309826-61309838(-) TGAAGGAAGTGT >mm10_chr14:61405928-61405939(+)::chr14:61405927-61405939(+) agggggaagtaa >mm10_chr14:61405944-61405955(+)::chr14:61405943-61405955(+) agaaggaagtaa >mm10_chr14:61410497-61410508(-)::chr14:61410496-61410508(-) agaaggaagtct >mm10_chr14:61506069-61506080(-)::chr14:61506068-61506080(-) ACCAGGAAGAAG >mm10_chr14:61608943-61608954(-)::chr14:61608942-61608954(-) ATAAGGAAGTGT >mm10_chr14:61647973-61647984(+)::chr14:61647972-61647984(+) AGAAGGAAAAAG >mm10_chr14:61666067-61666078(-)::chr14:61666066-61666078(-) ATGAGGAAGCAG >mm10_chr14:61667239-61667250(+)::chr14:61667238-61667250(+) agaaggaagagg >mm10_chr14:61667261-61667272(+)::chr14:61667260-61667272(+) tggaggaagaag >mm10_chr14:61667268-61667279(+)::chr14:61667267-61667279(+) agaaggaaaagg >mm10_chr14:61667274-61667285(+)::chr14:61667273-61667285(+) aaaaggaagaag >mm10_chr14:61667283-61667294(+)::chr14:61667282-61667294(+) aagaggaagaag >mm10_chr14:61674239-61674250(+)::chr14:61674238-61674250(+) aggaGGAAGGaa >mm10_chr14:61674243-61674254(+)::chr14:61674242-61674254(+) GGAAGGaaggaa >mm10_chr14:61674297-61674308(+)::chr14:61674296-61674308(+) gaaaggaagaca >mm10_chr14:61687840-61687851(-)::chr14:61687839-61687851(-) aggaggaagggt >mm10_chr14:61687847-61687858(-)::chr14:61687846-61687858(-) GTACGgaaggag >mm10_chr14:61687855-61687866(-)::chr14:61687854-61687866(-) GAAGGGAAGTAC >mm10_chr14:61761938-61761949(-)::chr14:61761937-61761949(-) ataaggaagaca >mm10_chr14:61761966-61761977(+)::chr14:61761965-61761977(+) atcaggaagtga >mm10_chr14:61775328-61775339(-)::chr14:61775327-61775339(-) aggaggaagaga >mm10_chr14:61775341-61775352(-)::chr14:61775340-61775352(-) ggaaggaagaga >mm10_chr14:61775345-61775356(-)::chr14:61775344-61775356(-) aggaggaaggaa >mm10_chr14:61797416-61797427(-)::chr14:61797415-61797427(-) agcaggaagcag >mm10_chr14:61971324-61971335(-)::chr14:61971323-61971335(-) AAGAGGAAGTAG >mm10_chr14:62105927-62105938(+)::chr14:62105926-62105938(+) ACAGGGAAGTTC >mm10_chr14:62144677-62144688(-)::chr14:62144676-62144688(-) ACAAGGAAGTGA >mm10_chr14:62296993-62297004(+)::chr14:62296992-62297004(+) agaaggaagaag >mm10_chr14:62297000-62297011(+)::chr14:62296999-62297011(+) agaaggaagaga >mm10_chr14:62297018-62297029(+)::chr14:62297017-62297029(+) aaaaggaagagg >mm10_chr14:62297024-62297035(+)::chr14:62297023-62297035(+) aagaggaagaag >mm10_chr14:62332094-62332105(-)::chr14:62332093-62332105(-) TGCCGGAAGTGG >mm10_chr14:62332355-62332366(+)::chr14:62332354-62332366(+) ACCCGGAAGCGA >mm10_chr14:62376330-62376341(-)::chr14:62376329-62376341(-) AAAAGGAAGAGG >mm10_chr14:62376341-62376352(-)::chr14:62376340-62376352(-) TCGAGGAAGCCA >mm10_chr14:62413531-62413542(+)::chr14:62413530-62413542(+) aaaaggaaatgg >mm10_chr14:62413575-62413586(-)::chr14:62413574-62413586(-) gtaaggaagtag >mm10_chr14:62540094-62540105(-)::chr14:62540093-62540105(-) TGACGGAAGTCT >mm10_chr14:62589353-62589364(+)::chr14:62589352-62589364(+) gtcaggaagggc >mm10_chr14:62726059-62726070(+)::chr14:62726058-62726070(+) AAGAGGAAGCAG >mm10_chr14:62783155-62783166(+)::chr14:62783154-62783166(+) AACAGGAAGAAA >mm10_chr14:62786672-62786683(+)::chr14:62786671-62786683(+) agcaggaaggag >mm10_chr14:62786684-62786695(+)::chr14:62786683-62786695(+) gaaaggaagcag >mm10_chr14:62786691-62786702(+)::chr14:62786690-62786702(+) agcaggaaggag >mm10_chr14:62837374-62837385(-)::chr14:62837373-62837385(-) TGCAGGAAGGAT >mm10_chr14:62838572-62838583(-)::chr14:62838571-62838583(-) GGAAGGAACTCA >mm10_chr14:62838590-62838601(-)::chr14:62838589-62838601(-) GAAAGGAAGTAG >mm10_chr14:62842838-62842849(-)::chr14:62842837-62842849(-) TTAAGGAACTGA >mm10_chr14:62845187-62845198(+)::chr14:62845186-62845198(+) AAAAGGAAGGAA >mm10_chr14:62845191-62845202(+)::chr14:62845190-62845202(+) GGAAGGAAGCTG >mm10_chr14:62845240-62845251(+)::chr14:62845239-62845251(+) AACAGGAAGTTC >mm10_chr14:62855204-62855215(+)::chr14:62855203-62855215(+) GCCAGGAAGAGG >mm10_chr14:62865211-62865222(+)::chr14:62865210-62865222(+) gccaggaaggag >mm10_chr14:62869626-62869637(+)::chr14:62869625-62869637(+) ggcaggaaggat >mm10_chr14:62928219-62928230(-)::chr14:62928218-62928230(-) AGAAGGAAATTC >mm10_chr14:63093803-63093814(+)::chr14:63093802-63093814(+) ACCAGGAAGGTA >mm10_chr14:63123771-63123782(-)::chr14:63123770-63123782(-) GGAAGGAAGGAG >mm10_chr14:63123775-63123786(-)::chr14:63123774-63123786(-) TTAAGGAAGGAA >mm10_chr14:63128105-63128116(+)::chr14:63128104-63128116(+) ACAAGGATGTAC >mm10_chr14:63128806-63128817(-)::chr14:63128805-63128817(-) TGAAGGAAGCAT >mm10_chr14:63129216-63129227(+)::chr14:63129215-63129227(+) ACAAGGAAGTGC >mm10_chr14:63215131-63215142(+)::chr14:63215130-63215142(+) AGACGGAAATAC >mm10_chr14:63348773-63348784(+)::chr14:63348772-63348784(+) GAAAGGAAGTTA >mm10_chr14:63409349-63409360(-)::chr14:63409348-63409360(-) ATAAGGAAACAG >mm10_chr14:63409364-63409375(-)::chr14:63409363-63409375(-) GAAATGAAGTGA >mm10_chr14:63411071-63411082(+)::chr14:63411070-63411082(+) ATAGGGAAGGTG >mm10_chr14:63480571-63480582(-)::chr14:63480570-63480582(-) aagaggaagaag >mm10_chr14:63480577-63480588(-)::chr14:63480576-63480588(-) aggaggaagagg >mm10_chr14:63480586-63480597(-)::chr14:63480585-63480597(-) agcaggaagagg >mm10_chr14:63544044-63544055(+)::chr14:63544043-63544055(+) GACCGGAAGTTG >mm10_chr14:63860350-63860361(-)::chr14:63860349-63860361(-) TTAAGGAAGAAG >mm10_chr14:63874389-63874400(-)::chr14:63874388-63874400(-) TGAAGGAAGGGA >mm10_chr14:63875846-63875857(-)::chr14:63875845-63875857(-) ATAAGGAAGTCC >mm10_chr14:63875878-63875889(-)::chr14:63875877-63875889(-) ACCAGGAAGAAA >mm10_chr14:63891956-63891967(-)::chr14:63891955-63891967(-) ACAAGGAAGAAT >mm10_chr14:63895041-63895052(+)::chr14:63895040-63895052(+) agaaggaagaaG >mm10_chr14:63895048-63895059(+)::chr14:63895047-63895059(+) agaaGGAAAAGG >mm10_chr14:63895054-63895065(+)::chr14:63895053-63895065(+) AAAAGGAAGCCA >mm10_chr14:63942906-63942917(+)::chr14:63942905-63942917(+) GTAAGGAAGTAG >mm10_chr14:63965655-63965666(+)::chr14:63965654-63965666(+) CCAGGGAAGTGT >mm10_chr14:63965677-63965688(-)::chr14:63965676-63965688(-) AGTAGGAAGAAG >mm10_chr14:64049357-64049368(-)::chr14:64049356-64049368(-) AGCAGGAACTAG >mm10_chr14:64104103-64104114(-)::chr14:64104102-64104114(-) GGAAGGAAGCTG >mm10_chr14:64104107-64104118(-)::chr14:64104106-64104118(-) ATAAGGAAGGAA >mm10_chr14:64127209-64127220(-)::chr14:64127208-64127220(-) AGAAGGAAGGCC >mm10_chr14:64133241-64133252(-)::chr14:64133240-64133252(-) aacaggaagtgg >mm10_chr14:64133292-64133303(-)::chr14:64133291-64133303(-) agcaggaagcag >mm10_chr14:64176506-64176517(+)::chr14:64176505-64176517(+) GAAAGAAAGTAC >mm10_chr14:64176528-64176539(+)::chr14:64176527-64176539(+) TGCAGGAAGTTA >mm10_chr14:64176537-64176548(-)::chr14:64176536-64176548(-) TGGAGGAAGTAA >mm10_chr14:64226396-64226407(+)::chr14:64226395-64226407(+) aggaggaagagg >mm10_chr14:64226402-64226413(+)::chr14:64226401-64226413(+) aagaggaagagg >mm10_chr14:64226408-64226419(+)::chr14:64226407-64226419(+) aagaggaagagg >mm10_chr14:64226444-64226455(-)::chr14:64226443-64226455(-) GGAAGGATGTTA >mm10_chr14:64229345-64229356(-)::chr14:64229344-64229356(-) AACAGGAAATCC >mm10_chr14:64234888-64234899(+)::chr14:64234887-64234899(+) GGAACGAAGTGC >mm10_chr14:64315921-64315932(+)::chr14:64315920-64315932(+) tcaaggaagaac >mm10_chr14:64418099-64418110(+)::chr14:64418098-64418110(+) AGCAGGAAGCTG >mm10_chr14:64444255-64444266(-)::chr14:64444254-64444266(-) TTAGGGAAGTCG >mm10_chr14:64453971-64453982(-)::chr14:64453970-64453982(-) TTAAGGAAGTGA >mm10_chr14:64597829-64597840(-)::chr14:64597828-64597840(-) AGAAGGAAGGCA >mm10_chr14:64597860-64597871(-)::chr14:64597859-64597871(-) GGCAGGAAGAGT >mm10_chr14:64640065-64640076(-)::chr14:64640064-64640076(-) AGGAGGAAGAAA >mm10_chr14:64652278-64652289(+)::chr14:64652277-64652289(+) GATAGGaaggaa >mm10_chr14:64652282-64652293(+)::chr14:64652281-64652293(+) GGaaggaaggaa >mm10_chr14:64652286-64652297(+)::chr14:64652285-64652297(+) ggaaggaagaga >mm10_chr14:64652296-64652307(+)::chr14:64652295-64652307(+) gagaggaagaag >mm10_chr14:64652317-64652328(+)::chr14:64652316-64652328(+) agaaggaaaaga >mm10_chr14:64653955-64653966(-)::chr14:64653954-64653966(-) ACAAGGAAGAGT >mm10_chr14:64653978-64653989(+)::chr14:64653977-64653989(+) GGGAGGAAGCTA >mm10_chr14:64656953-64656964(+)::chr14:64656952-64656964(+) ATGAGGAAATGA >mm10_chr14:64669312-64669323(-)::chr14:64669311-64669323(-) ggaaggaaggtg >mm10_chr14:64688986-64688997(-)::chr14:64688985-64688997(-) AACAGGAAGCTA >mm10_chr14:64698249-64698260(+)::chr14:64698248-64698260(+) AACAGGAAGATG >mm10_chr14:64699041-64699052(+)::chr14:64699040-64699052(+) AGGAGGAAGAAG >mm10_chr14:64703548-64703559(-)::chr14:64703547-64703559(-) aggaggaagaag >mm10_chr14:64703573-64703584(-)::chr14:64703572-64703584(-) ggaaggaagggg >mm10_chr14:64703596-64703607(-)::chr14:64703595-64703607(-) aggaggaagaag >mm10_chr14:64786325-64786336(+)::chr14:64786324-64786336(+) ACAAGGAAGCAG >mm10_chr14:64795752-64795763(-)::chr14:64795751-64795763(-) ACAAGGAAATAT >mm10_chr14:64834721-64834732(+)::chr14:64834720-64834732(+) TACAGGAAATGA >mm10_chr14:64870227-64870238(+)::chr14:64870226-64870238(+) aagaggaaatga >mm10_chr14:64870282-64870293(+)::chr14:64870281-64870293(+) tggaggaagaag >mm10_chr14:64904813-64904824(+)::chr14:64904812-64904824(+) ataaggaagaag >mm10_chr14:64934672-64934683(+)::chr14:64934671-64934683(+) ACGAGGAAGCCA >mm10_chr14:64934704-64934715(+)::chr14:64934703-64934715(+) TGGAGGAAGTGA >mm10_chr14:64934718-64934729(+)::chr14:64934717-64934729(+) CCAAGGAAGCCG >mm10_chr14:64934755-64934766(-)::chr14:64934754-64934766(-) ATAAGGAAAAGA >mm10_chr14:64935359-64935370(+)::chr14:64935358-64935370(+) AGGAGGAAGGAT >mm10_chr14:64941745-64941756(+)::chr14:64941744-64941756(+) AGGGGGAAGTGA >mm10_chr14:64948165-64948176(+)::chr14:64948164-64948176(+) CCaaggaaggaa >mm10_chr14:64948169-64948180(+)::chr14:64948168-64948180(+) ggaaggaaggaa >mm10_chr14:64948173-64948184(+)::chr14:64948172-64948184(+) ggaaggaaggaa >mm10_chr14:64948177-64948188(+)::chr14:64948176-64948188(+) ggaaggaaggaa >mm10_chr14:64948181-64948192(+)::chr14:64948180-64948192(+) ggaaggaaggaa >mm10_chr14:64948185-64948196(+)::chr14:64948184-64948196(+) ggaaggaaggaa >mm10_chr14:64948189-64948200(+)::chr14:64948188-64948200(+) ggaaggaaggaa >mm10_chr14:64948193-64948204(+)::chr14:64948192-64948204(+) ggaaggaaggaa >mm10_chr14:64948197-64948208(+)::chr14:64948196-64948208(+) ggaaggaaggGG >mm10_chr14:64950098-64950109(+)::chr14:64950097-64950109(+) GAAAGGAAGGAT >mm10_chr14:64950137-64950148(+)::chr14:64950136-64950148(+) GGACGGAAGGAG >mm10_chr14:64950141-64950152(+)::chr14:64950140-64950152(+) GGAAGGAGGTGA >mm10_chr14:64991485-64991496(-)::chr14:64991484-64991496(-) ATAAGGAAATTC >mm10_chr14:65071032-65071043(-)::chr14:65071031-65071043(-) CTACGGAAGTGT >mm10_chr14:65071084-65071095(-)::chr14:65071083-65071095(-) CAGAGGAAGTAG >mm10_chr14:65100664-65100675(-)::chr14:65100663-65100675(-) GAAGGGAAGTTT >mm10_chr14:65113548-65113559(+)::chr14:65113547-65113559(+) ATAAGGAAACAA >mm10_chr14:65127296-65127307(+)::chr14:65127295-65127307(+) AGCAGGAAGATC >mm10_chr14:65161557-65161568(-)::chr14:65161556-65161568(-) aggaggaagaga >mm10_chr14:65161566-65161577(-)::chr14:65161565-65161577(-) aggaggaagagg >mm10_chr14:65161584-65161595(-)::chr14:65161583-65161595(-) aagaggaagagg >mm10_chr14:65161590-65161601(-)::chr14:65161589-65161601(-) aggaggaagagg >mm10_chr14:65166993-65167004(+)::chr14:65166992-65167004(+) AAGAGGAAGCAA >mm10_chr14:65266581-65266592(-)::chr14:65266580-65266592(-) CCAAGGAAGGCT >mm10_chr14:65326188-65326199(+)::chr14:65326187-65326199(+) atgaggaagaag >mm10_chr14:65326199-65326210(+)::chr14:65326198-65326210(+) gagaggaaggga >mm10_chr14:65326684-65326695(+)::chr14:65326683-65326695(+) ATGGGGAAGTAA >mm10_chr14:65331092-65331103(+)::chr14:65331091-65331103(+) gaaaggaaggag >mm10_chr14:65331099-65331110(+)::chr14:65331098-65331110(+) aggaggaagagg >mm10_chr14:65335947-65335958(-)::chr14:65335946-65335958(-) ATCAGGAAGTCA >mm10_chr14:65345699-65345710(-)::chr14:65345698-65345710(-) TACGGGAAGTAG >mm10_chr14:65345769-65345780(+)::chr14:65345768-65345780(+) TTCAGGAAGTAT >mm10_chr14:65359556-65359567(-)::chr14:65359555-65359567(-) AGAAGGATGTCC >mm10_chr14:65359614-65359625(+)::chr14:65359613-65359625(+) ACAAGGAAATTG >mm10_chr14:65381697-65381708(-)::chr14:65381696-65381708(-) AGCCGGAAGTTT >mm10_chr14:65549946-65549957(+)::chr14:65549945-65549957(+) AGAAGGAAGGGA >mm10_chr14:65563456-65563467(+)::chr14:65563455-65563467(+) aggaggaagagg >mm10_chr14:65563462-65563473(+)::chr14:65563461-65563473(+) aagaggaagagg >mm10_chr14:65563468-65563479(+)::chr14:65563467-65563479(+) aagaggaagagg >mm10_chr14:65592942-65592953(-)::chr14:65592941-65592953(-) GGGAGGAAGTGG >mm10_chr14:65605476-65605487(+)::chr14:65605475-65605487(+) ggaaggaagctc >mm10_chr14:65683889-65683900(-)::chr14:65683888-65683900(-) ACCAGGAAGTGA >mm10_chr14:65687851-65687862(+)::chr14:65687850-65687862(+) aggaggaagtgg >mm10_chr14:65687888-65687899(-)::chr14:65687887-65687899(-) gacaggaagcaa >mm10_chr14:65720556-65720567(-)::chr14:65720555-65720567(-) ACCAGGAAGAGA >mm10_chr14:65742740-65742751(-)::chr14:65742739-65742751(-) TACAGGAAGGAT >mm10_chr14:65834125-65834136(+)::chr14:65834124-65834136(+) AGAAGGAAGGCA >mm10_chr14:65837352-65837363(+)::chr14:65837351-65837363(+) CGCCGGAAGTGT >mm10_chr14:65847884-65847895(-)::chr14:65847883-65847895(-) CGAAGGCAGTGA >mm10_chr14:65847931-65847942(-)::chr14:65847930-65847942(-) ACAAGGAAGCGC >mm10_chr14:65853284-65853295(-)::chr14:65853283-65853295(-) aggaggaagtag >mm10_chr14:65853311-65853322(-)::chr14:65853310-65853322(-) TAAAGGAAGAGT >mm10_chr14:65900659-65900670(+)::chr14:65900658-65900670(+) ACAAGGAAATGG >mm10_chr14:65903842-65903853(-)::chr14:65903841-65903853(-) AAGAGGAAATGT >mm10_chr14:65925858-65925869(-)::chr14:65925857-65925869(-) AACAGGAAggtt >mm10_chr14:65945311-65945322(+)::chr14:65945310-65945322(+) ACCAGGAAATGT >mm10_chr14:65945333-65945344(-)::chr14:65945332-65945344(-) AGACGGAAGGTG >mm10_chr14:65969713-65969724(+)::chr14:65969712-65969724(+) GACAGGAAGTAA >mm10_chr14:65969717-65969728(+)::chr14:65969716-65969728(+) GGAAGTAAGTCA >mm10_chr14:65970652-65970663(+)::chr14:65970651-65970663(+) TCCAGGAAGAGA >mm10_chr14:65970693-65970704(+)::chr14:65970692-65970704(+) TATAGGAAGTTG >mm10_chr14:66083499-66083510(-)::chr14:66083498-66083510(-) TTCAGGAAGTGT >mm10_chr14:66108171-66108182(+)::chr14:66108170-66108182(+) AGAAGGAAGTTA >mm10_chr14:66147874-66147885(-)::chr14:66147873-66147885(-) ACAAGGAAGAAA >mm10_chr14:66243013-66243024(-)::chr14:66243012-66243024(-) AGGAGGAAGTGA >mm10_chr14:66252137-66252148(-)::chr14:66252136-66252148(-) tgaaggaagccg >mm10_chr14:66272273-66272284(+)::chr14:66272272-66272284(+) ATGAGGAAGAGC >mm10_chr14:66281051-66281062(-)::chr14:66281050-66281062(-) TTAAGGAAGTGG >mm10_chr14:66296967-66296978(+)::chr14:66296966-66296978(+) AGACGGAAGTGG >mm10_chr14:66323608-66323619(-)::chr14:66323607-66323619(-) agcaggaagttg >mm10_chr14:66379738-66379749(+)::chr14:66379737-66379749(+) aggaggaagagg >mm10_chr14:66379753-66379764(+)::chr14:66379752-66379764(+) aggaggaagagg >mm10_chr14:66379759-66379770(+)::chr14:66379758-66379770(+) aagaggaagagg >mm10_chr14:66379765-66379776(+)::chr14:66379764-66379776(+) aagaggaagagg >mm10_chr14:66672942-66672953(+)::chr14:66672941-66672953(+) CAAAGGAAGAGA >mm10_chr14:66853608-66853619(-)::chr14:66853607-66853619(-) ATCAGGAAGAGT >mm10_chr14:66931770-66931781(-)::chr14:66931769-66931781(-) ACACGGAAGTGT >mm10_chr14:66977122-66977133(+)::chr14:66977121-66977133(+) GGCAGGAAGTCT >mm10_chr14:66980128-66980139(+)::chr14:66980127-66980139(+) CCAAGGAAGGTG >mm10_chr14:66980191-66980202(+)::chr14:66980190-66980202(+) AAGAGGAAGAGA >mm10_chr14:66984063-66984074(-)::chr14:66984062-66984074(-) TGGAGGAAATGG >mm10_chr14:67009104-67009115(+)::chr14:67009103-67009115(+) GCTAGGAAGTTG >mm10_chr14:67028408-67028419(+)::chr14:67028407-67028419(+) AGAAGAAAGTAA >mm10_chr14:67028429-67028440(+)::chr14:67028428-67028440(+) TTAAGGAAGGAG >mm10_chr14:67063404-67063415(-)::chr14:67063403-67063415(-) GGAAAGAAGTAA >mm10_chr14:67063408-67063419(-)::chr14:67063407-67063419(-) AGAAGGAAAGAA >mm10_chr14:67097077-67097088(+)::chr14:67097076-67097088(+) CCGAGGAAGCAA >mm10_chr14:67098357-67098368(-)::chr14:67098356-67098368(-) AAAAGGAAATTG >mm10_chr14:67098392-67098403(+)::chr14:67098391-67098403(+) GGGAGGAAGCAA >mm10_chr14:67188493-67188504(+)::chr14:67188492-67188504(+) GCTAGGAAGTGG >mm10_chr14:67189152-67189163(-)::chr14:67189151-67189163(-) GGCAGGAAGTGA >mm10_chr14:67200126-67200137(+)::chr14:67200125-67200137(+) tgcaggaagcag >mm10_chr14:67308224-67308235(+)::chr14:67308223-67308235(+) AGCAGGAAGCAA >mm10_chr14:67308228-67308239(+)::chr14:67308227-67308239(+) GGAAGCAAGTGT >mm10_chr14:67322174-67322185(+)::chr14:67322173-67322185(+) GCAAGGAAGAGT >mm10_chr14:67322216-67322227(-)::chr14:67322215-67322227(-) ACAAGGAAGAAA >mm10_chr14:67383837-67383848(+)::chr14:67383836-67383848(+) TTCAGGAAGTTG >mm10_chr14:67484766-67484777(-)::chr14:67484765-67484777(-) AAGGGGAAGTAT >mm10_chr14:67484772-67484783(-)::chr14:67484771-67484783(-) AGGAGGAAGGGG >mm10_chr14:67583201-67583212(-)::chr14:67583200-67583212(-) ttaaggaagttc >mm10_chr14:67596105-67596116(-)::chr14:67596104-67596116(-) ATGAGGAAGACA >mm10_chr14:67603027-67603038(+)::chr14:67603026-67603038(+) AAAAGGAAATAG >mm10_chr14:67771960-67771971(+)::chr14:67771959-67771971(+) TCAAGGAAGTGT >mm10_chr14:67771981-67771992(+)::chr14:67771980-67771992(+) ATAAGGAAATAG >mm10_chr14:67851759-67851770(-)::chr14:67851758-67851770(-) AGTAGGAAGTGT >mm10_chr14:67851776-67851787(-)::chr14:67851775-67851787(-) AAGAGGAAGTAA >mm10_chr14:67876884-67876895(+)::chr14:67876883-67876895(+) AGGAGGAAGAAC >mm10_chr14:67878079-67878090(+)::chr14:67878078-67878090(+) AACAGGAAGCTG >mm10_chr14:67885130-67885141(+)::chr14:67885129-67885141(+) AACAGGAAGTAG >mm10_chr14:67885181-67885192(-)::chr14:67885180-67885192(-) ATCAGGAAGAGT >mm10_chr14:67888241-67888252(-)::chr14:67888240-67888252(-) atcaggaagctg >mm10_chr14:67908677-67908688(-)::chr14:67908676-67908688(-) AACGGGAAGTTT >mm10_chr14:67920137-67920148(+)::chr14:67920136-67920148(+) AACAGGAAGCTA >mm10_chr14:67920158-67920169(-)::chr14:67920157-67920169(-) AACAGGAAGGTG >mm10_chr14:67920191-67920202(+)::chr14:67920190-67920202(+) GGTAGGAAGGTA >mm10_chr14:67928113-67928124(-)::chr14:67928112-67928124(-) GAAAGGAAGTTA >mm10_chr14:68084495-68084506(+)::chr14:68084494-68084506(+) ATGAGGAAGAAG >mm10_chr14:68376627-68376638(+)::chr14:68376626-68376638(+) gcaaggcagtac >mm10_chr14:68655867-68655878(+)::chr14:68655866-68655878(+) AGGCGGAAGTCC >mm10_chr14:68664997-68665008(+)::chr14:68664996-68665008(+) TACAGGAAGAGA >mm10_chr14:68695969-68695980(+)::chr14:68695968-68695980(+) ACAAGGAAGATG >mm10_chr14:68696002-68696013(+)::chr14:68696001-68696013(+) TAGAGGAAGTGG >mm10_chr14:68982111-68982122(+)::chr14:68982110-68982122(+) aaaaggaagtca >mm10_chr14:68995861-68995872(+)::chr14:68995860-68995872(+) ACAAGGAAAAGA >mm10_chr14:69021507-69021518(-)::chr14:69021506-69021518(-) gggaggaAGGGA >mm10_chr14:69021528-69021539(-)::chr14:69021527-69021539(-) agaaggaagagg >mm10_chr14:69021549-69021560(-)::chr14:69021548-69021560(-) aagaggaagagg >mm10_chr14:69026348-69026359(-)::chr14:69026347-69026359(-) GGGAGGAAGTTG >mm10_chr14:69026389-69026400(-)::chr14:69026388-69026400(-) TAAGGGAAGTGC >mm10_chr14:69026426-69026437(-)::chr14:69026425-69026437(-) TCGAGGAAATAC >mm10_chr14:69032631-69032642(+)::chr14:69032630-69032642(+) ACAAGGAAGCTT >mm10_chr14:69037311-69037322(+)::chr14:69037310-69037322(+) AGAAGGAAGAGG >mm10_chr14:69136025-69136036(-)::chr14:69136024-69136036(-) ATCAGGAAGGTA >mm10_chr14:69136045-69136056(+)::chr14:69136044-69136056(+) AACAGGAAATGG >mm10_chr14:69158278-69158289(+)::chr14:69158277-69158289(+) GGCAGGAAGTCA >mm10_chr14:69158293-69158304(+)::chr14:69158292-69158304(+) AGTAGGAAGAAA >mm10_chr14:69793265-69793276(+)::chr14:69793264-69793276(+) aggaggaagagg >mm10_chr14:69793271-69793282(+)::chr14:69793270-69793282(+) aagaggaagaag >mm10_chr14:69861179-69861190(-)::chr14:69861178-69861190(-) AAAGGGAAGTGA >mm10_chr14:69878048-69878059(+)::chr14:69878047-69878059(+) TAAaggaagagc >mm10_chr14:69878063-69878074(+)::chr14:69878062-69878074(+) aggaggaagggg >mm10_chr14:70019110-70019121(-)::chr14:70019109-70019121(-) AAGAGGAAATGC >mm10_chr14:70020350-70020361(+)::chr14:70020349-70020361(+) GGAAGGAAGTCG >mm10_chr14:70029186-70029197(+)::chr14:70029185-70029197(+) AAGAGGAAGTCT >mm10_chr14:70044643-70044654(+)::chr14:70044642-70044654(+) gaaaggaagaga >mm10_chr14:70044661-70044672(+)::chr14:70044660-70044672(+) gggaggaaggga >mm10_chr14:70044674-70044685(+)::chr14:70044673-70044685(+) aaaaggaaggag >mm10_chr14:70044681-70044692(+)::chr14:70044680-70044692(+) aggaggaaggga >mm10_chr14:70044694-70044705(+)::chr14:70044693-70044705(+) agaaggaaggag >mm10_chr14:70056354-70056365(+)::chr14:70056353-70056365(+) GTCAGGAAGGAA >mm10_chr14:70056358-70056369(+)::chr14:70056357-70056369(+) GGAAGGAAGCTA >mm10_chr14:70057185-70057196(-)::chr14:70057184-70057196(-) AGAAGGAAGAGG >mm10_chr14:70074865-70074876(-)::chr14:70074864-70074876(-) TAAAGGAACCGC >mm10_chr14:70090618-70090629(-)::chr14:70090617-70090629(-) CCCAGGAAGTCA >mm10_chr14:70100098-70100109(-)::chr14:70100097-70100109(-) TCCAGGAAGTGA >mm10_chr14:70159824-70159835(+)::chr14:70159823-70159835(+) GGAAGGAAATGG >mm10_chr14:70212187-70212198(-)::chr14:70212186-70212198(-) ATAGGGAAGCCG >mm10_chr14:70222186-70222197(-)::chr14:70222185-70222197(-) atcaggaaggag >mm10_chr14:70264652-70264663(+)::chr14:70264651-70264663(+) aggaggaagagg >mm10_chr14:70264658-70264669(+)::chr14:70264657-70264669(+) aagaggaagaga >mm10_chr14:70308768-70308779(+)::chr14:70308767-70308779(+) GAGAGGAAGTTG >mm10_chr14:70320785-70320796(-)::chr14:70320784-70320796(-) agcaggaagtta >mm10_chr14:70330099-70330110(+)::chr14:70330098-70330110(+) ACAAGGAAGTGG >mm10_chr14:70330116-70330127(+)::chr14:70330115-70330127(+) GGCAGGAAGCCA >mm10_chr14:70333527-70333538(-)::chr14:70333526-70333538(-) TGCAGGAAGTAG >mm10_chr14:70341198-70341209(+)::chr14:70341197-70341209(+) AACAGGAAGAGC >mm10_chr14:70341756-70341767(+)::chr14:70341755-70341767(+) tgaaggaagaag >mm10_chr14:70341935-70341946(-)::chr14:70341934-70341946(-) ggaaagaagtcg >mm10_chr14:70370680-70370691(-)::chr14:70370679-70370691(-) TCCAGGAAGTAC >mm10_chr14:70370702-70370713(-)::chr14:70370701-70370713(-) ATGAGGAAGTTC >mm10_chr14:70377328-70377339(+)::chr14:70377327-70377339(+) AAGAGGAAGGAA >mm10_chr14:70387935-70387946(-)::chr14:70387934-70387946(-) acaaggaacttc >mm10_chr14:70387950-70387961(-)::chr14:70387949-70387961(-) atcaggaagtac >mm10_chr14:70402563-70402574(+)::chr14:70402562-70402574(+) aacaggaagtgt >mm10_chr14:70402612-70402623(-)::chr14:70402611-70402623(-) aagaGGAAGTGA >mm10_chr14:70428259-70428270(-)::chr14:70428258-70428270(-) aaaaggaagaaa >mm10_chr14:70432434-70432445(+)::chr14:70432433-70432445(+) ACTAGGAAGCGC >mm10_chr14:70432452-70432463(+)::chr14:70432451-70432463(+) CTCAGGAAGTAG >mm10_chr14:70432472-70432483(+)::chr14:70432471-70432483(+) GGGAGGAAGTTG >mm10_chr14:70443409-70443420(+)::chr14:70443408-70443420(+) AGGCGGAAGTCT >mm10_chr14:70443456-70443467(+)::chr14:70443455-70443467(+) GGGCGGAAGTGA >mm10_chr14:70517356-70517367(+)::chr14:70517355-70517367(+) aagaggaagcag >mm10_chr14:70517366-70517377(+)::chr14:70517365-70517377(+) aggaggatgtag >mm10_chr14:70520706-70520717(-)::chr14:70520705-70520717(-) ACAAGGAAGGAC >mm10_chr14:70563311-70563322(-)::chr14:70563310-70563322(-) GGAAGGAAGAGA >mm10_chr14:70569398-70569409(+)::chr14:70569397-70569409(+) AACAGGAAGTTT >mm10_chr14:70571313-70571324(-)::chr14:70571312-70571324(-) GAGAGGAAGGGG >mm10_chr14:70583960-70583971(+)::chr14:70583959-70583971(+) AAAAGGAAGCAA >mm10_chr14:70650294-70650305(+)::chr14:70650293-70650305(+) CCAAGGAAGCGG >mm10_chr14:70701685-70701696(-)::chr14:70701684-70701696(-) TGAAGTAAGTGA >mm10_chr14:70701697-70701708(+)::chr14:70701696-70701708(+) ATAAGGAAGGCT >mm10_chr14:70713758-70713769(-)::chr14:70713757-70713769(-) TCCGGGAAGTGT >mm10_chr14:70713824-70713835(+)::chr14:70713823-70713835(+) TCCAGGAAGACG >mm10_chr14:70717787-70717798(+)::chr14:70717786-70717798(+) aacaggaagaat >mm10_chr14:70757881-70757892(+)::chr14:70757880-70757892(+) ACAAGGAAGCCT >mm10_chr14:70767602-70767613(-)::chr14:70767601-70767613(-) aggaggaagaca >mm10_chr14:70773132-70773143(-)::chr14:70773131-70773143(-) tccaggaagcga >mm10_chr14:70883553-70883564(-)::chr14:70883552-70883564(-) ATAAGGAAGCTA >mm10_chr14:70959013-70959024(-)::chr14:70959012-70959024(-) acaaggaagttc >mm10_chr14:70959034-70959045(-)::chr14:70959033-70959045(-) acaaggaaatat >mm10_chr14:71174399-71174410(-)::chr14:71174398-71174410(-) AGGAGGAAGAAA >mm10_chr14:71199778-71199789(-)::chr14:71199777-71199789(-) AAGAGGAAGCAG >mm10_chr14:71199784-71199795(-)::chr14:71199783-71199795(-) GCCAGGAAGAGG >mm10_chr14:71330002-71330013(+)::chr14:71330001-71330013(+) ATCAGGAAATGA >mm10_chr14:71330019-71330030(-)::chr14:71330018-71330030(-) AACAGGAAGAGG >mm10_chr14:71551161-71551172(+)::chr14:71551160-71551172(+) tccaggaagtga >mm10_chr14:71551207-71551218(-)::chr14:71551206-71551218(-) gcaaggatgtgc >mm10_chr14:71551219-71551230(-)::chr14:71551218-71551230(-) agcaggaagaag >mm10_chr14:71795806-71795817(+)::chr14:71795805-71795817(+) ACAAGGAAGAGG >mm10_chr14:71795812-71795823(+)::chr14:71795811-71795823(+) AAGAGGAAATGA >mm10_chr14:71816331-71816342(+)::chr14:71816330-71816342(+) ACTAGGAAGGGG >mm10_chr14:71816338-71816349(+)::chr14:71816337-71816349(+) AGGGGGAAGTGA >mm10_chr14:71965480-71965491(+)::chr14:71965479-71965491(+) AAGAGGAAATGC >mm10_chr14:71965500-71965511(-)::chr14:71965499-71965511(-) ACAAGGAAGAGC >mm10_chr14:71980494-71980505(+)::chr14:71980493-71980505(+) TCAAGGAAATGT >mm10_chr14:71980506-71980517(+)::chr14:71980505-71980517(+) ATAAGGAAATAC >mm10_chr14:72287672-72287683(-)::chr14:72287671-72287683(-) acaaggaaaatg >mm10_chr14:72294653-72294664(+)::chr14:72294652-72294664(+) TGAAGGAAGGAA >mm10_chr14:72294657-72294668(+)::chr14:72294656-72294668(+) GGAAGGAAGAAG >mm10_chr14:72294664-72294675(+)::chr14:72294663-72294675(+) AGAAGGAAGAGC >mm10_chr14:72502950-72502961(-)::chr14:72502949-72502961(-) acaaggaagtca >mm10_chr14:72592790-72592801(+)::chr14:72592789-72592801(+) AGCAGGAAGAAA >mm10_chr14:72592804-72592815(-)::chr14:72592803-72592815(-) CAAAGGAAGTAT >mm10_chr14:72604401-72604412(-)::chr14:72604400-72604412(-) ACAGGGAAGTAA >mm10_chr14:72643564-72643575(-)::chr14:72643563-72643575(-) cacaggaagtca >mm10_chr14:72643577-72643588(-)::chr14:72643576-72643588(-) tgaaggaaatca >mm10_chr14:72685038-72685049(-)::chr14:72685037-72685049(-) AAAATGAAGTAC >mm10_chr14:72685056-72685067(-)::chr14:72685055-72685067(-) AAGAGGAAGCGG >mm10_chr14:72685072-72685083(-)::chr14:72685071-72685083(-) TGAAGGAAGAAA >mm10_chr14:72710599-72710610(-)::chr14:72710598-72710610(-) TTAAGGAAATTG >mm10_chr14:72765560-72765571(-)::chr14:72765559-72765571(-) AACAGGAAGAGA >mm10_chr14:72770522-72770533(-)::chr14:72770521-72770533(-) aacaggaagctg >mm10_chr14:72770549-72770560(-)::chr14:72770548-72770560(-) gcaaggaagcaa >mm10_chr14:72777044-72777055(+)::chr14:72777043-72777055(+) AAAAGGAAATGA >mm10_chr14:72778023-72778034(+)::chr14:72778022-72778034(+) aggaggaaatgt >mm10_chr14:72789930-72789941(-)::chr14:72789929-72789941(-) agagggaagtct >mm10_chr14:72789979-72789990(+)::chr14:72789978-72789990(+) ctaaggaagggg >mm10_chr14:72825702-72825713(+)::chr14:72825701-72825713(+) ACTAGGAAGTGG >mm10_chr14:72851809-72851820(+)::chr14:72851808-72851820(+) AGAAGGAAGAAG >mm10_chr14:73064717-73064728(-)::chr14:73064716-73064728(-) GGAAGGAAGGGG >mm10_chr14:73111136-73111147(+)::chr14:73111135-73111147(+) acaaggaagtaa >mm10_chr14:73120154-73120165(+)::chr14:73120153-73120165(+) ACAGGGAAGTTA >mm10_chr14:73143710-73143721(+)::chr14:73143709-73143721(+) GGCCGGAAGTGG >mm10_chr14:73173951-73173962(+)::chr14:73173950-73173962(+) ACGAGGAAGAGG >mm10_chr14:73173957-73173968(+)::chr14:73173956-73173968(+) AAGAGGAAGGTA >mm10_chr14:73179183-73179194(-)::chr14:73179182-73179194(-) AGAAGGAAATAC >mm10_chr14:73234686-73234697(+)::chr14:73234685-73234697(+) ATAAGGAACTTT >mm10_chr14:73234731-73234742(-)::chr14:73234730-73234742(-) GAGAGGAAGAAA >mm10_chr14:73237894-73237905(+)::chr14:73237893-73237905(+) TAAAGGAACTGC >mm10_chr14:73237921-73237932(-)::chr14:73237920-73237932(-) CAAAGGAAGGCT >mm10_chr14:73245691-73245702(+)::chr14:73245690-73245702(+) agaaggaagagg >mm10_chr14:73245702-73245713(+)::chr14:73245701-73245713(+) gagaggaaggga >mm10_chr14:73245714-73245725(+)::chr14:73245713-73245725(+) aggaggaagagg >mm10_chr14:73245726-73245737(+)::chr14:73245725-73245737(+) aggaggatgtag >mm10_chr14:73252828-73252839(-)::chr14:73252827-73252839(-) ggaaggaagATG >mm10_chr14:73252832-73252843(-)::chr14:73252831-73252843(-) ggaaggaaggaa >mm10_chr14:73252855-73252866(-)::chr14:73252854-73252866(-) aaaaggaaggag >mm10_chr14:73253662-73253673(-)::chr14:73253661-73253673(-) CCAAGGAAGGAG >mm10_chr14:73269246-73269257(+)::chr14:73269245-73269257(+) ACGAGGAAGAAG >mm10_chr14:73269253-73269264(+)::chr14:73269252-73269264(+) AGAAGGAAACAC >mm10_chr14:73304534-73304545(-)::chr14:73304533-73304545(-) ACAAGGAAGAGC >mm10_chr14:73325824-73325835(-)::chr14:73325823-73325835(-) GGGCGGAAGTGA >mm10_chr14:73374724-73374735(+)::chr14:73374723-73374735(+) TGCAGGAAGAAA >mm10_chr14:73376206-73376217(+)::chr14:73376205-73376217(+) GCAAGGAAGACA >mm10_chr14:73376219-73376230(+)::chr14:73376218-73376230(+) CGCAGGAAGAAT >mm10_chr14:73403550-73403561(-)::chr14:73403549-73403561(-) aggaggaagtaa >mm10_chr14:73451847-73451858(+)::chr14:73451846-73451858(+) AGGAGGAAGCAG >mm10_chr14:73503734-73503745(+)::chr14:73503733-73503745(+) TGAAGGAAACGT >mm10_chr14:73504296-73504307(-)::chr14:73504295-73504307(-) aggaggaagcaa >mm10_chr14:73504314-73504325(-)::chr14:73504313-73504325(-) agaaggaagagg >mm10_chr14:73504362-73504373(-)::chr14:73504361-73504373(-) Agaaggatgacg >mm10_chr14:73509984-73509995(-)::chr14:73509983-73509995(-) AGAAGGAAAGAA >mm10_chr14:73524249-73524260(+)::chr14:73524248-73524260(+) AAGAGGAAGGAA >mm10_chr14:73570540-73570551(-)::chr14:73570539-73570551(-) ggcaggaaggca >mm10_chr14:73632898-73632909(-)::chr14:73632897-73632909(-) AGCAGGAAGCCA >mm10_chr14:73979616-73979627(+)::chr14:73979615-73979627(+) GCAAGAAAGTAG >mm10_chr14:74170372-74170383(+)::chr14:74170371-74170383(+) agaaggaaatgg >mm10_chr14:74235594-74235605(+)::chr14:74235593-74235605(+) ATAAGGAAGGAC >mm10_chr14:74296090-74296101(-)::chr14:74296089-74296101(-) aggaggaagagg >mm10_chr14:74296126-74296137(-)::chr14:74296125-74296137(-) aggaggaagatg >mm10_chr14:74296189-74296200(-)::chr14:74296188-74296200(-) aggaggaagagg >mm10_chr14:74296201-74296212(-)::chr14:74296200-74296212(-) aggaggaagagg >mm10_chr14:74296231-74296242(-)::chr14:74296230-74296242(-) agaaggaagagg >mm10_chr14:74296246-74296257(-)::chr14:74296245-74296257(-) aggaggaagagg >mm10_chr14:74344210-74344221(-)::chr14:74344209-74344221(-) agcaggaagtaa >mm10_chr14:74381772-74381783(+)::chr14:74381771-74381783(+) TAAAGGAAATAT >mm10_chr14:74643457-74643468(+)::chr14:74643456-74643468(+) AGCAGGAAGGCA >mm10_chr14:74764355-74764366(+)::chr14:74764354-74764366(+) AACAGGAAGGCA >mm10_chr14:74821924-74821935(+)::chr14:74821923-74821935(+) agcaggaagcag >mm10_chr14:74821948-74821959(+)::chr14:74821947-74821959(+) aggaggaagaac >mm10_chr14:74840272-74840283(+)::chr14:74840271-74840283(+) ACAAGGAAATTC >mm10_chr14:74840305-74840316(-)::chr14:74840304-74840316(-) AGCAGGAAGTTG >mm10_chr14:74846074-74846085(-)::chr14:74846073-74846085(-) agcaggaagcag >mm10_chr14:74846081-74846092(-)::chr14:74846080-74846092(-) agcaggaagcag >mm10_chr14:74846088-74846099(-)::chr14:74846087-74846099(-) agcaggaagcag >mm10_chr14:74846095-74846106(-)::chr14:74846094-74846106(-) Tgcaggaagcag >mm10_chr14:74847783-74847794(+)::chr14:74847782-74847794(+) gagcggaagtag >mm10_chr14:74852988-74852999(+)::chr14:74852987-74852999(+) ATCAGGAAGTTG >mm10_chr14:74855616-74855627(+)::chr14:74855615-74855627(+) gggaggaagagg >mm10_chr14:74855622-74855633(+)::chr14:74855621-74855633(+) aagaggaagtgg >mm10_chr14:74855634-74855645(+)::chr14:74855633-74855645(+) aagaggaagagg >mm10_chr14:74855640-74855651(+)::chr14:74855639-74855651(+) aagaggaagCAG >mm10_chr14:74866943-74866954(-)::chr14:74866942-74866954(-) gggaggaagaca >mm10_chr14:74873059-74873070(+)::chr14:74873058-74873070(+) GGGAGGAAATGC >mm10_chr14:74874654-74874665(+)::chr14:74874653-74874665(+) ACAAGGAAGGAG >mm10_chr14:74899747-74899758(+)::chr14:74899746-74899758(+) AGGAGGAAGATG >mm10_chr14:74899768-74899779(+)::chr14:74899767-74899779(+) TGGAGGAAGAAG >mm10_chr14:74906224-74906235(-)::chr14:74906223-74906235(-) TTAGGGAAGTGT >mm10_chr14:74915754-74915765(+)::chr14:74915753-74915765(+) ACAAGGAAAAGA >mm10_chr14:74939514-74939525(-)::chr14:74939513-74939525(-) AGAAGGAAGTCG >mm10_chr14:74941563-74941574(-)::chr14:74941562-74941574(-) ACCAGGAAGCCA >mm10_chr14:74949058-74949069(-)::chr14:74949057-74949069(-) AGGAGGAAGGTG >mm10_chr14:74949078-74949089(-)::chr14:74949077-74949089(-) TGAAGGAAGTGG >mm10_chr14:74964043-74964054(-)::chr14:74964042-74964054(-) AAGAGGAAGAGC >mm10_chr14:74972490-74972501(-)::chr14:74972489-74972501(-) ACCAGGAAGAAG >mm10_chr14:74972490-74972501(-)::chr14:74972489-74972501(-) ACCAGGAAGAAG >mm10_chr14:74977133-74977144(+)::chr14:74977132-74977144(+) TCCAGGAAGAGA >mm10_chr14:74977166-74977177(-)::chr14:74977165-74977177(-) AACAGGAAGCAA >mm10_chr14:75038543-75038554(+)::chr14:75038542-75038554(+) TCCAGGAAGAGG >mm10_chr14:75038581-75038592(-)::chr14:75038580-75038592(-) ACAAGGAAAACA >mm10_chr14:75060804-75060815(-)::chr14:75060803-75060815(-) TACAGGAAATCA >mm10_chr14:75069164-75069175(+)::chr14:75069163-75069175(+) AGCAGGAAGGCT >mm10_chr14:75069186-75069197(-)::chr14:75069185-75069197(-) AGGAGGAAGACA >mm10_chr14:75131405-75131416(-)::chr14:75131404-75131416(-) AGAAGGAAAACA >mm10_chr14:75132657-75132668(-)::chr14:75132656-75132668(-) ggaaggaaggaa >mm10_chr14:75132661-75132672(-)::chr14:75132660-75132672(-) ggaaggaaggaa >mm10_chr14:75132665-75132676(-)::chr14:75132664-75132676(-) ggaaggaaggaa >mm10_chr14:75132669-75132680(-)::chr14:75132668-75132680(-) aggaggaaggaa >mm10_chr14:75132693-75132704(-)::chr14:75132692-75132704(-) Tgaaggaagaca >mm10_chr14:75133710-75133721(+)::chr14:75133709-75133721(+) ACCAGGAAATGT >mm10_chr14:75136543-75136554(+)::chr14:75136542-75136554(+) CAGAGGAAGTAG >mm10_chr14:75136915-75136926(+)::chr14:75136914-75136926(+) GAGAGGAAGTGG >mm10_chr14:75136987-75136998(-)::chr14:75136986-75136998(-) GCAAGGAAACGG >mm10_chr14:75148422-75148433(+)::chr14:75148421-75148433(+) AAAAGGAAGCTG >mm10_chr14:75168750-75168761(+)::chr14:75168749-75168761(+) aagaggaagtag >mm10_chr14:75173126-75173137(+)::chr14:75173125-75173137(+) acaaggaagtag >mm10_chr14:75206082-75206093(+)::chr14:75206081-75206093(+) TGAAGGAACTAA >mm10_chr14:75284358-75284369(-)::chr14:75284357-75284369(-) CGACGGAAGTCG >mm10_chr14:75445148-75445159(+)::chr14:75445147-75445159(+) agaaggaagagg >mm10_chr14:75445159-75445170(+)::chr14:75445158-75445170(+) gagaggaagaag >mm10_chr14:75445191-75445202(+)::chr14:75445190-75445202(+) agaaggaagagg >mm10_chr14:75527514-75527525(-)::chr14:75527513-75527525(-) GAAAGGAAGTTG >mm10_chr14:75533165-75533176(+)::chr14:75533164-75533176(+) AGAAGGAAATCC >mm10_chr14:75533182-75533193(-)::chr14:75533181-75533193(-) AACAGGAAGTCG >mm10_chr14:75635633-75635644(-)::chr14:75635632-75635644(-) AACAGGAATTCG >mm10_chr14:75727399-75727410(+)::chr14:75727398-75727410(+) tgaaggaagccc >mm10_chr14:75757115-75757126(+)::chr14:75757114-75757126(+) GTAAGGAAGTTC >mm10_chr14:75787799-75787810(-)::chr14:75787798-75787810(-) ACGAGGAAATAA >mm10_chr14:75834899-75834910(+)::chr14:75834898-75834910(+) ATCCGGAAGTGA >mm10_chr14:75841932-75841943(-)::chr14:75841931-75841943(-) AGCAGGAAGTTG >mm10_chr14:75862281-75862292(-)::chr14:75862280-75862292(-) atgaggaagcaa >mm10_chr14:75862625-75862636(-)::chr14:75862624-75862636(-) ACcaggaagctg >mm10_chr14:75863828-75863839(-)::chr14:75863827-75863839(-) GGGAGGAAGCAG >mm10_chr14:75907182-75907193(-)::chr14:75907181-75907193(-) AGCAGGAAGAAA >mm10_chr14:75933334-75933345(+)::chr14:75933333-75933345(+) GGTAGGAAGGGG >mm10_chr14:75954955-75954966(+)::chr14:75954954-75954966(+) GTTAGGAAGTCC >mm10_chr14:76000119-76000130(-)::chr14:76000118-76000130(-) AGGAGGAAGGGG >mm10_chr14:76010968-76010979(-)::chr14:76010967-76010979(-) AGCAGGAAGTTT >mm10_chr14:76056742-76056753(+)::chr14:76056741-76056753(+) GCCAGGAAGGGA >mm10_chr14:76056790-76056801(+)::chr14:76056789-76056801(+) ACCAGGAAGTCC >mm10_chr14:76058106-76058117(+)::chr14:76058105-76058117(+) GACAGGAAGAAC >mm10_chr14:76058116-76058127(+)::chr14:76058115-76058127(+) ACAGGGAAGTCA >mm10_chr14:76058444-76058455(-)::chr14:76058443-76058455(-) ACGAGGAAAGTA >mm10_chr14:76110868-76110879(+)::chr14:76110867-76110879(+) TAACGGAAGTGA >mm10_chr14:76143847-76143858(+)::chr14:76143846-76143858(+) TCCAGGAAGGAC >mm10_chr14:76149736-76149747(-)::chr14:76149735-76149747(-) AGAAGGAAACGT >mm10_chr14:76162459-76162470(-)::chr14:76162458-76162470(-) CTAAGGAAGCCA >mm10_chr14:76162467-76162478(-)::chr14:76162466-76162478(-) ACACGGAACTAA >mm10_chr14:76191162-76191173(+)::chr14:76191161-76191173(+) ATGAGGAAGCGT >mm10_chr14:76191176-76191187(-)::chr14:76191175-76191187(-) AGAAGGAAGGAG >mm10_chr14:76211523-76211534(+)::chr14:76211522-76211534(+) ACAAGGAAGCTG >mm10_chr14:76212917-76212928(+)::chr14:76212916-76212928(+) AACAGGAAATTC >mm10_chr14:76225891-76225902(+)::chr14:76225890-76225902(+) ATGAGGAAGAAT >mm10_chr14:76254076-76254087(+)::chr14:76254075-76254087(+) ttaaggaagaag >mm10_chr14:76254083-76254094(+)::chr14:76254082-76254094(+) agaaggaaattg >mm10_chr14:76278895-76278906(-)::chr14:76278894-76278906(-) TGGAGGAAGGGA >mm10_chr14:76289223-76289234(+)::chr14:76289222-76289234(+) gaaaggaagctg >mm10_chr14:76289290-76289301(-)::chr14:76289289-76289301(-) accaggaaatac >mm10_chr14:76302946-76302957(+)::chr14:76302945-76302957(+) tgaaggaACTAC >mm10_chr14:76302986-76302997(+)::chr14:76302985-76302997(+) GTGAGGAAGTAG >mm10_chr14:76467171-76467182(-)::chr14:76467170-76467182(-) AAGAGGAAGCAG >mm10_chr14:76479205-76479216(-)::chr14:76479204-76479216(-) GGGAGGAAATAA >mm10_chr14:76623852-76623863(+)::chr14:76623851-76623863(+) AGGAGGAAGGAG >mm10_chr14:76631376-76631387(-)::chr14:76631375-76631387(-) GGAAGGAAGGCA >mm10_chr14:76631380-76631391(-)::chr14:76631379-76631391(-) GAAAGGAAGGAA >mm10_chr14:76654325-76654336(+)::chr14:76654324-76654336(+) agaaggaaggag >mm10_chr14:76711555-76711566(-)::chr14:76711554-76711566(-) cgagggaagttg >mm10_chr14:76772367-76772378(+)::chr14:76772366-76772378(+) TCCAGGAAGAAA >mm10_chr14:76787834-76787845(-)::chr14:76787833-76787845(-) CCGAGGAAGAAG >mm10_chr14:76819783-76819794(-)::chr14:76819782-76819794(-) AGAAGGAAGTCC >mm10_chr14:76825700-76825711(+)::chr14:76825699-76825711(+) ACAAGGAAGCAG >mm10_chr14:76832707-76832718(+)::chr14:76832706-76832718(+) aaaaggaagaag >mm10_chr14:76832722-76832733(+)::chr14:76832721-76832733(+) aggaggaagagg >mm10_chr14:76832728-76832739(+)::chr14:76832727-76832739(+) aagaggaagagg >mm10_chr14:76914085-76914096(-)::chr14:76914084-76914096(-) ATGAGGAAGCAG >mm10_chr14:76927729-76927740(+)::chr14:76927728-76927740(+) ACAAGGAAGGTA >mm10_chr14:76929864-76929875(+)::chr14:76929863-76929875(+) agcaggaagagt >mm10_chr14:77008376-77008387(+)::chr14:77008375-77008387(+) AAGAGGAAGAGC >mm10_chr14:77037374-77037385(+)::chr14:77037373-77037385(+) TGGAGGAAGGAG >mm10_chr14:77038271-77038282(-)::chr14:77038270-77038282(-) CCAAGGAAGCTA >mm10_chr14:77084181-77084192(-)::chr14:77084180-77084192(-) AGGAGGAAGATA >mm10_chr14:77164912-77164923(+)::chr14:77164911-77164923(+) GCCAGGAAGTGA >mm10_chr14:77413233-77413244(-)::chr14:77413232-77413244(-) AAGAGGAAGTAG >mm10_chr14:77416555-77416566(+)::chr14:77416554-77416566(+) AGAAGGAAGAAT >mm10_chr14:77416575-77416586(+)::chr14:77416574-77416586(+) AGAAGGAAGAGA >mm10_chr14:77448223-77448234(-)::chr14:77448222-77448234(-) ATGAGGAAGAGA >mm10_chr14:77448254-77448265(+)::chr14:77448253-77448265(+) TTGAGGAAGTAC >mm10_chr14:77533895-77533906(-)::chr14:77533894-77533906(-) AGCAGGAAGTAG >mm10_chr14:77533908-77533919(+)::chr14:77533907-77533919(+) ACCAGGAAGTTA >mm10_chr14:77544428-77544439(-)::chr14:77544427-77544439(-) AGAAGGAAGCAT >mm10_chr14:77544435-77544446(-)::chr14:77544434-77544446(-) AGGAGGAAGAAG >mm10_chr14:77546708-77546719(-)::chr14:77546707-77546719(-) AAAAGGAAGTGG >mm10_chr14:77577906-77577917(+)::chr14:77577905-77577917(+) ACAAGGATGTGT >mm10_chr14:77765438-77765449(+)::chr14:77765437-77765449(+) AAGAGGAAGAAG >mm10_chr14:77765463-77765474(+)::chr14:77765462-77765474(+) GGGAGGAAGAGA >mm10_chr14:77765472-77765483(+)::chr14:77765471-77765483(+) AGAAGGAAGGAA >mm10_chr14:77794907-77794918(+)::chr14:77794906-77794918(+) AAGAGGAAGGCA >mm10_chr14:77795485-77795496(+)::chr14:77795484-77795496(+) GGAAGGAAGGAA >mm10_chr14:77830827-77830838(+)::chr14:77830826-77830838(+) agaaggaagagg >mm10_chr14:77830836-77830847(+)::chr14:77830835-77830847(+) aggaggaagagg >mm10_chr14:77830845-77830856(+)::chr14:77830844-77830856(+) aggaggaagaag >mm10_chr14:77830880-77830891(+)::chr14:77830879-77830891(+) aggaggaagagg >mm10_chr14:77904321-77904332(+)::chr14:77904320-77904332(+) ATGAGGAAGTTC >mm10_chr14:77904690-77904701(+)::chr14:77904689-77904701(+) AGCAGGAAGTCC >mm10_chr14:77904729-77904740(-)::chr14:77904728-77904740(-) ACCAGGAAGGTA >mm10_chr14:77919556-77919567(+)::chr14:77919555-77919567(+) tccaggaagtag >mm10_chr14:77932819-77932830(+)::chr14:77932818-77932830(+) AACAGGAAGTGA >mm10_chr14:77933294-77933305(+)::chr14:77933293-77933305(+) TTAAGGAAGCCG >mm10_chr14:77979620-77979631(-)::chr14:77979619-77979631(-) GCAAGGAAGCAA >mm10_chr14:78011759-78011770(+)::chr14:78011758-78011770(+) acaaggaagggg >mm10_chr14:78083616-78083627(-)::chr14:78083615-78083627(-) aagaggaagaga >mm10_chr14:78097190-78097201(-)::chr14:78097189-78097201(-) AGGAGGAAGTGG >mm10_chr14:78097236-78097247(-)::chr14:78097235-78097247(-) CAAAGGAAGCAA >mm10_chr14:78216181-78216192(+)::chr14:78216180-78216192(+) ctaaGGAAGGGG >mm10_chr14:78395543-78395554(-)::chr14:78395542-78395554(-) GGGAGGAAGAAG >mm10_chr14:78399046-78399057(-)::chr14:78399045-78399057(-) GGAAGGAAGTGT >mm10_chr14:78399050-78399061(-)::chr14:78399049-78399061(-) ATAAGGAAGGAA >mm10_chr14:78528733-78528744(+)::chr14:78528732-78528744(+) TAAAGGAAGAAC >mm10_chr14:78537088-78537099(-)::chr14:78537087-78537099(-) ACCAGGAAGCGC >mm10_chr14:78538805-78538816(+)::chr14:78538804-78538816(+) AAACGGAAGTAG >mm10_chr14:78678547-78678558(-)::chr14:78678546-78678558(-) ACAAGGAAAACA >mm10_chr14:78734917-78734928(-)::chr14:78734916-78734928(-) aagaggaagaac >mm10_chr14:78734979-78734990(-)::chr14:78734978-78734990(-) atgaggaaggta >mm10_chr14:78844956-78844967(-)::chr14:78844955-78844967(-) TAAAGGAAGTAG >mm10_chr14:78844971-78844982(-)::chr14:78844970-78844982(-) GGAAGGAAGGGT >mm10_chr14:78904999-78905010(+)::chr14:78904998-78905010(+) ctgaggaagtgg >mm10_chr14:78984729-78984740(+)::chr14:78984728-78984740(+) ACTAGGAAGTCA >mm10_chr14:78984788-78984799(-)::chr14:78984787-78984799(-) GTAAGGAAGCTG >mm10_chr14:79034564-79034575(+)::chr14:79034563-79034575(+) AGTAGGAAGTGA >mm10_chr14:79109681-79109692(-)::chr14:79109680-79109692(-) AGCAGGAAATTG >mm10_chr14:79135314-79135325(-)::chr14:79135313-79135325(-) ATGAGGAAGTGT >mm10_chr14:79157701-79157712(-)::chr14:79157700-79157712(-) AAGAGGAAGGAA >mm10_chr14:79166626-79166637(+)::chr14:79166625-79166637(+) GCCAGGAAGGTG >mm10_chr14:79487424-79487435(+)::chr14:79487423-79487435(+) CGAAGGAAATCT >mm10_chr14:79494227-79494238(+)::chr14:79494226-79494238(+) TCCAGGAAGTCT >mm10_chr14:79499543-79499554(-)::chr14:79499542-79499554(-) ataaggaagtcc >mm10_chr14:79499565-79499576(+)::chr14:79499564-79499576(+) tcaaggaaatgc >mm10_chr14:79500790-79500801(+)::chr14:79500789-79500801(+) agcaggaagcag >mm10_chr14:79503507-79503518(+)::chr14:79503506-79503518(+) ataaggaagaaa >mm10_chr14:79521659-79521670(-)::chr14:79521658-79521670(-) aggaggaagatg >mm10_chr14:79523511-79523522(-)::chr14:79523510-79523522(-) AGGAGGAAGAAA >mm10_chr14:79541805-79541816(-)::chr14:79541804-79541816(-) tagaggaagtgt >mm10_chr14:79541848-79541859(-)::chr14:79541847-79541859(-) accaggaagtga >mm10_chr14:79563058-79563069(-)::chr14:79563057-79563069(-) ACAAGGAAATGT >mm10_chr14:79563124-79563135(-)::chr14:79563123-79563135(-) acaaggaagaag >mm10_chr14:79575526-79575537(+)::chr14:79575525-79575537(+) AGTAGGAAGAAA >mm10_chr14:79585979-79585990(+)::chr14:79585978-79585990(+) agaaggaagtca >mm10_chr14:79586030-79586041(-)::chr14:79586029-79586041(-) TAAAGGAAATGT >mm10_chr14:79587259-79587270(-)::chr14:79587258-79587270(-) CGCCGGAAGTCA >mm10_chr14:79632432-79632443(-)::chr14:79632431-79632443(-) GACAGGAAGGGA >mm10_chr14:79632457-79632468(+)::chr14:79632456-79632468(+) AGAAGGAAAATG >mm10_chr14:79699806-79699817(-)::chr14:79699805-79699817(-) aggaggaagtct >mm10_chr14:79758861-79758872(-)::chr14:79758860-79758872(-) cccaggaagtca >mm10_chr14:79883200-79883211(-)::chr14:79883199-79883211(-) ggaaggaagggc >mm10_chr14:79929569-79929580(+)::chr14:79929568-79929580(+) GACAGGAAGTTG >mm10_chr14:79929605-79929616(+)::chr14:79929604-79929616(+) GGCAGGAAGTTA >mm10_chr14:79991131-79991142(-)::chr14:79991130-79991142(-) acggggaagtcc >mm10_chr14:79991171-79991182(-)::chr14:79991170-79991182(-) agaaggaagttg >mm10_chr14:80160377-80160388(+)::chr14:80160376-80160388(+) AAAAGGAaggag >mm10_chr14:80160393-80160404(+)::chr14:80160392-80160404(+) aggaggaagtga >mm10_chr14:80160405-80160416(+)::chr14:80160404-80160416(+) aggaggaagtgg >mm10_chr14:80160444-80160455(+)::chr14:80160443-80160455(+) aggaggaagagg >mm10_chr14:84201489-84201500(-)::chr14:84201488-84201500(-) ttaaggaactca >mm10_chr14:84224132-84224143(-)::chr14:84224131-84224143(-) aacaggaaggct >mm10_chr14:84224152-84224163(-)::chr14:84224151-84224163(-) agaagcaagtag >mm10_chr14:84266465-84266476(+)::chr14:84266464-84266476(+) GGAAGGAAGGTT >mm10_chr14:84316423-84316434(-)::chr14:84316422-84316434(-) TCAAGGAAGAGA >mm10_chr14:84442753-84442764(+)::chr14:84442752-84442764(+) TGAAGAAAGTTA >mm10_chr14:85213599-85213610(-)::chr14:85213598-85213610(-) ACAAGGAAGCTG >mm10_chr14:85213618-85213629(+)::chr14:85213617-85213629(+) AACAGGAAGAAC >mm10_chr14:85341148-85341159(+)::chr14:85341147-85341159(+) tggaggaagttg >mm10_chr14:86309119-86309130(+)::chr14:86309118-86309130(+) ACAAGGAAATGT >mm10_chr14:86309137-86309148(+)::chr14:86309136-86309148(+) AGGAGGAAGGGC >mm10_chr14:86861797-86861808(-)::chr14:86861796-86861808(-) TACAGGAAGTGC >mm10_chr14:86861838-86861849(-)::chr14:86861837-86861849(-) AACAGGAAGAGT >mm10_chr14:87141164-87141175(-)::chr14:87141163-87141175(-) ACCGGGAAGTTG >mm10_chr14:87141400-87141411(+)::chr14:87141399-87141411(+) GTAAGGAACGGG >mm10_chr14:87141850-87141861(+)::chr14:87141849-87141861(+) ttaaggaagagc >mm10_chr14:87190877-87190888(-)::chr14:87190876-87190888(-) TTGAGGAAGTGC >mm10_chr14:87190893-87190904(+)::chr14:87190892-87190904(+) ATGAGGAAGCAG >mm10_chr14:87197494-87197505(+)::chr14:87197493-87197505(+) GAAAGGAAATGG >mm10_chr14:87208702-87208713(-)::chr14:87208701-87208713(-) ggaaggaaggaC >mm10_chr14:87208706-87208717(-)::chr14:87208705-87208717(-) gaaaggaaggaa >mm10_chr14:87208714-87208725(-)::chr14:87208713-87208725(-) agaaggaagaaa >mm10_chr14:87208726-87208737(-)::chr14:87208725-87208737(-) ggaaggaagcaa >mm10_chr14:87208730-87208741(-)::chr14:87208729-87208741(-) aggaggaaggaa >mm10_chr14:87208737-87208748(-)::chr14:87208736-87208748(-) agaaggaaggag >mm10_chr14:87208765-87208776(-)::chr14:87208764-87208776(-) ataaggaaagaa >mm10_chr14:87215410-87215421(+)::chr14:87215409-87215421(+) ACAAGGAAATGG >mm10_chr14:87270282-87270293(+)::chr14:87270281-87270293(+) TACAGGAAGGTG >mm10_chr14:87363983-87363994(-)::chr14:87363982-87363994(-) AGAAGGAAGCTG >mm10_chr14:87420006-87420017(-)::chr14:87420005-87420017(-) gggaggaagggg >mm10_chr14:87420031-87420042(-)::chr14:87420030-87420042(-) ggaaggaagaag >mm10_chr14:87420035-87420046(-)::chr14:87420034-87420046(-) agaaggaaggaa >mm10_chr14:87420047-87420058(-)::chr14:87420046-87420058(-) aggaggaagaag >mm10_chr14:87471695-87471706(+)::chr14:87471694-87471706(+) AACAGGAAGCAT >mm10_chr14:87471770-87471781(-)::chr14:87471769-87471781(-) AACAGGAAGAAG >mm10_chr14:87530238-87530249(+)::chr14:87530237-87530249(+) AGGGGGAAGTAG >mm10_chr14:87530288-87530299(-)::chr14:87530287-87530299(-) CAAAGGAAGGCA >mm10_chr14:87557956-87557967(+)::chr14:87557955-87557967(+) ttaaggaaggga >mm10_chr14:87567291-87567302(+)::chr14:87567290-87567302(+) ATGAGGAAGTGC >mm10_chr14:87595235-87595246(-)::chr14:87595234-87595246(-) AGGAGGAAGAAC >mm10_chr14:87648601-87648612(-)::chr14:87648600-87648612(-) gaaaggaattta >mm10_chr14:89826715-89826726(-)::chr14:89826714-89826726(-) TGCAGGAAGAGC >mm10_chr14:89826727-89826738(-)::chr14:89826726-89826738(-) ATAAGGAAGTAA >mm10_chr14:89826774-89826785(-)::chr14:89826773-89826785(-) GAAAGGAAGAAA >mm10_chr14:90588126-90588137(-)::chr14:90588125-90588137(-) aaaaggaaattt >mm10_chr14:91037329-91037340(-)::chr14:91037328-91037340(-) gaagggaagttc >mm10_chr14:91037334-91037345(-)::chr14:91037333-91037345(-) accaggaaggga >mm10_chr14:91089508-91089519(-)::chr14:91089507-91089519(-) ATAAGGAAACAA >mm10_chr14:91198697-91198708(-)::chr14:91198696-91198708(-) atcaggatgtaa >mm10_chr14:91198717-91198728(+)::chr14:91198716-91198728(+) agcaggaagaaa >mm10_chr14:91198772-91198783(-)::chr14:91198771-91198783(-) tagaggaagtgt >mm10_chr14:91797994-91798005(+)::chr14:91797993-91798005(+) accaggaaatcc >mm10_chr14:93734285-93734296(-)::chr14:93734284-93734296(-) TCAAGGAAGTGA >mm10_chr14:93923622-93923633(+)::chr14:93923621-93923633(+) GACAGGAAGTGG >mm10_chr14:94424427-94424438(+)::chr14:94424426-94424438(+) GAAAAGAAGTAG >mm10_chr14:95960313-95960324(-)::chr14:95960312-95960324(-) gggaggaaggaa >mm10_chr14:96091498-96091509(-)::chr14:96091497-96091509(-) AGGAGGAAGAAA >mm10_chr14:97449305-97449316(-)::chr14:97449304-97449316(-) ACCAGGAAGACA >mm10_chr14:97449330-97449341(-)::chr14:97449329-97449341(-) AAAAGGAAGCTC >mm10_chr14:97805260-97805271(-)::chr14:97805259-97805271(-) ccaaggaagtca >mm10_chr14:97805285-97805296(+)::chr14:97805284-97805296(+) atgaggaagtat >mm10_chr14:98008677-98008688(+)::chr14:98008676-98008688(+) ACAAGGAAGAAA >mm10_chr14:98397270-98397281(-)::chr14:98397269-98397281(-) GGGAGGAAGGCA >mm10_chr14:98406363-98406374(+)::chr14:98406362-98406374(+) AAGAGGAAGCAG >mm10_chr14:98406433-98406444(-)::chr14:98406432-98406444(-) ttcaggaagtct >mm10_chr14:98447536-98447547(-)::chr14:98447535-98447547(-) aggaggaagttt >mm10_chr14:98608360-98608371(-)::chr14:98608359-98608371(-) agtaggaagacc >mm10_chr14:98654128-98654139(+)::chr14:98654127-98654139(+) aagaggaagcaa >mm10_chr14:98663567-98663578(-)::chr14:98663566-98663578(-) gagaggaagaag >mm10_chr14:98663594-98663605(-)::chr14:98663593-98663605(-) aagaggaagaga >mm10_chr14:98663600-98663611(-)::chr14:98663599-98663611(-) agaaggaagagg >mm10_chr14:98663625-98663636(-)::chr14:98663624-98663636(-) aggaggaaggag >mm10_chr14:98663632-98663643(-)::chr14:98663631-98663643(-) gagaggaaggag >mm10_chr14:98831962-98831973(+)::chr14:98831961-98831973(+) AGAAGTAAGTTT >mm10_chr14:98873692-98873703(-)::chr14:98873691-98873703(-) ACGAGGAAGTGT >mm10_chr14:98873708-98873719(-)::chr14:98873707-98873719(-) AAGAGGAAGTGG >mm10_chr14:98945830-98945841(-)::chr14:98945829-98945841(-) ggaaggaagcaa >mm10_chr14:98945834-98945845(-)::chr14:98945833-98945845(-) gcaaggaaggaa >mm10_chr14:98945862-98945873(-)::chr14:98945861-98945873(-) gcaaggaagcaa >mm10_chr14:98945870-98945881(-)::chr14:98945869-98945881(-) gcaaggaagcaa >mm10_chr14:98945878-98945889(-)::chr14:98945877-98945889(-) gcaaggaagcaa >mm10_chr14:98945886-98945897(-)::chr14:98945885-98945897(-) gcaaggaagcaa >mm10_chr14:98945894-98945905(-)::chr14:98945893-98945905(-) gcaaggaagcaa >mm10_chr14:98951341-98951352(+)::chr14:98951340-98951352(+) acaaggaagttt >mm10_chr14:99010367-99010378(-)::chr14:99010366-99010378(-) gtgaggaagttc >mm10_chr14:99010388-99010399(+)::chr14:99010387-99010399(+) atgaggaagcgc >mm10_chr14:99019199-99019210(-)::chr14:99019198-99019210(-) AGGAGGAAATAG >mm10_chr14:99044410-99044421(-)::chr14:99044409-99044421(-) ACAAGGAAGTCT >mm10_chr14:99088790-99088801(+)::chr14:99088789-99088801(+) GGCAGGAAGCTA >mm10_chr14:99088851-99088862(-)::chr14:99088850-99088862(-) AAACGGAAGTGT >mm10_chr14:99141173-99141184(-)::chr14:99141172-99141184(-) AGCAGGAAGTAG >mm10_chr14:99141201-99141212(-)::chr14:99141200-99141212(-) aggaggaaggaa >mm10_chr14:99141208-99141219(-)::chr14:99141207-99141219(-) tgaaggaaggag >mm10_chr14:99141217-99141228(-)::chr14:99141216-99141228(-) agaaggaagtga >mm10_chr14:99141224-99141235(-)::chr14:99141223-99141235(-) gaaaggaagaag >mm10_chr14:99141237-99141248(-)::chr14:99141236-99141248(-) AAACggaagcga >mm10_chr14:99187204-99187215(+)::chr14:99187203-99187215(+) GGAAGGAAGCAG >mm10_chr14:99187211-99187222(+)::chr14:99187210-99187222(+) AGCAGGAAGGTC >mm10_chr14:99294558-99294569(+)::chr14:99294557-99294569(+) ACAAGGAAGTTT >mm10_chr14:99316654-99316665(+)::chr14:99316653-99316665(+) AGCAGGAAGTGG >mm10_chr14:99324837-99324848(-)::chr14:99324836-99324848(-) AGCAGGAAGCCA >mm10_chr14:99329256-99329267(-)::chr14:99329255-99329267(-) TGAAGGAAGGAC >mm10_chr14:99343987-99343998(+)::chr14:99343986-99343998(+) AGGAGGAAGTTT >mm10_chr14:99389585-99389596(-)::chr14:99389584-99389596(-) ACAAGGAACTTG >mm10_chr14:99392905-99392916(-)::chr14:99392904-99392916(-) acaaggaaatca >mm10_chr14:99400803-99400814(+)::chr14:99400802-99400814(+) AGGAGGAAGAGA >mm10_chr14:99400818-99400829(+)::chr14:99400817-99400829(+) ATCAGGAAGAGA >mm10_chr14:99400853-99400864(+)::chr14:99400852-99400864(+) ATGAGGAAGGAG >mm10_chr14:99425709-99425720(-)::chr14:99425708-99425720(-) AGGAGGAAATAC >mm10_chr14:99425723-99425734(-)::chr14:99425722-99425734(-) AGGAGGAAGTGG >mm10_chr14:99426132-99426143(-)::chr14:99426131-99426143(-) AGCAGGAAGCAG >mm10_chr14:99476179-99476190(-)::chr14:99476178-99476190(-) GAAAGGAAGGGG >mm10_chr14:99476206-99476217(-)::chr14:99476205-99476217(-) ATGAGGAAGGAG >mm10_chr14:99509972-99509983(+)::chr14:99509971-99509983(+) GAAAGGAAGCAG >mm10_chr14:99509995-99510006(-)::chr14:99509994-99510006(-) GGAAGGAACTCC >mm10_chr14:99509999-99510010(-)::chr14:99509998-99510010(-) AGAAGGAAGGAA >mm10_chr14:99622185-99622196(+)::chr14:99622184-99622196(+) AAAAGGAAGGAG >mm10_chr14:99622203-99622214(+)::chr14:99622202-99622214(+) ACAAGGAAGCTG >mm10_chr14:99626371-99626382(-)::chr14:99626370-99626382(-) ACCAGGAAGAAT >mm10_chr14:99932157-99932168(-)::chr14:99932156-99932168(-) ACAAGGAAGTAT >mm10_chr14:100111519-100111530(-)::chr14:100111518-100111530(-) GACAGGAAGTCT >mm10_chr14:100111537-100111548(+)::chr14:100111536-100111548(+) TCCCGGAAGTAC >mm10_chr14:100127116-100127127(-)::chr14:100127115-100127127(-) GCAAGGAAGGTA >mm10_chr14:100173142-100173153(+)::chr14:100173141-100173153(+) CGAAGGAAGCCA >mm10_chr14:100195143-100195154(+)::chr14:100195142-100195154(+) gaaaggaaggaa >mm10_chr14:100195147-100195158(+)::chr14:100195146-100195158(+) ggaaggaaggag >mm10_chr14:100195165-100195176(+)::chr14:100195164-100195176(+) gagaggaaggag >mm10_chr14:100195179-100195190(+)::chr14:100195178-100195190(+) aagaggaaggag >mm10_chr14:100195216-100195227(+)::chr14:100195215-100195227(+) aggaggaagaga >mm10_chr14:100315212-100315223(+)::chr14:100315211-100315223(+) AGAAGGAAGTAA >mm10_chr14:100361521-100361532(+)::chr14:100361520-100361532(+) GGGAGGAAGGGA >mm10_chr14:100550622-100550633(-)::chr14:100550621-100550633(-) aggaggaaggtg >mm10_chr14:100550667-100550678(-)::chr14:100550666-100550678(-) tggaggaagttc >mm10_chr14:100618966-100618977(-)::chr14:100618965-100618977(-) AGCAGGAAGGGA >mm10_chr14:100687380-100687391(-)::chr14:100687379-100687391(-) AGTGGGAAGTAA >mm10_chr14:100734989-100735000(+)::chr14:100734988-100735000(+) GAGAGGAAGTGA >mm10_chr14:100735519-100735530(-)::chr14:100735518-100735530(-) TGAAGGAAGGCC >mm10_chr14:100759059-100759070(+)::chr14:100759058-100759070(+) atgaggaagagc >mm10_chr14:100981130-100981141(+)::chr14:100981129-100981141(+) AGAAGGAAGATG >mm10_chr14:101046156-101046167(+)::chr14:101046155-101046167(+) TGAAGGAAGAGA >mm10_chr14:101142042-101142053(-)::chr14:101142041-101142053(-) tggaggaagaga >mm10_chr14:101256548-101256559(-)::chr14:101256547-101256559(-) CCAAGGAAGGTC >mm10_chr14:101276681-101276692(+)::chr14:101276680-101276692(+) AGCAGGAAGCTA >mm10_chr14:101360023-101360034(+)::chr14:101360022-101360034(+) ATGAGGAAGTTA >mm10_chr14:101453110-101453121(+)::chr14:101453109-101453121(+) AAGAGGAAGGAA >mm10_chr14:101453129-101453140(+)::chr14:101453128-101453140(+) CACAGGAAGTCA >mm10_chr14:101453793-101453804(+)::chr14:101453792-101453804(+) TCAAGGAAGCCA >mm10_chr14:101460614-101460625(-)::chr14:101460613-101460625(-) AGGAGGAAATGT >mm10_chr14:101460621-101460632(-)::chr14:101460620-101460632(-) ACGAGGAAGGAG >mm10_chr14:101481327-101481338(-)::chr14:101481326-101481338(-) caaaggaaatgt >mm10_chr14:101481360-101481371(-)::chr14:101481359-101481371(-) aagaggaagatg >mm10_chr14:101481379-101481390(-)::chr14:101481378-101481390(-) atgaggaagaac >mm10_chr14:101503278-101503289(-)::chr14:101503277-101503289(-) ACAAGGTAGTCG >mm10_chr14:101503296-101503307(-)::chr14:101503295-101503307(-) TGCAGGAAATGG >mm10_chr14:101503305-101503316(+)::chr14:101503304-101503316(+) GCAAGGAAGCCA >mm10_chr14:101521473-101521484(+)::chr14:101521472-101521484(+) ttaAggaaatgg >mm10_chr14:101530308-101530319(-)::chr14:101530307-101530319(-) agaaggaagagg >mm10_chr14:101530320-101530331(-)::chr14:101530319-101530331(-) aagaggaagagg >mm10_chr14:101530326-101530337(-)::chr14:101530325-101530337(-) aggaggaagagg >mm10_chr14:101542539-101542550(+)::chr14:101542538-101542550(+) ATGAGGAAGTAG >mm10_chr14:101575816-101575827(+)::chr14:101575815-101575827(+) TTAAGGAAGTTG >mm10_chr14:101586340-101586351(+)::chr14:101586339-101586351(+) AGCAGGAAGTTG >mm10_chr14:101609089-101609100(-)::chr14:101609088-101609100(-) GGAAGGAAGGAG >mm10_chr14:101609093-101609104(-)::chr14:101609092-101609104(-) GGAAGGAAGGAA >mm10_chr14:101609097-101609108(-)::chr14:101609096-101609108(-) GGGAGGAAGGAA >mm10_chr14:101614034-101614045(-)::chr14:101614033-101614045(-) GGGAGGAAGAAA >mm10_chr14:101625780-101625791(-)::chr14:101625779-101625791(-) aacaggaaatcc >mm10_chr14:101640461-101640472(-)::chr14:101640460-101640472(-) AAGCGGAAGTGC >mm10_chr14:101662054-101662065(+)::chr14:101662053-101662065(+) TAAAGGAAGTGA >mm10_chr14:101662096-101662107(-)::chr14:101662095-101662107(-) aagaggaagaga >mm10_chr14:101662102-101662113(-)::chr14:101662101-101662113(-) aagaggaagagg >mm10_chr14:101662108-101662119(-)::chr14:101662107-101662119(-) aagaggaagagg >mm10_chr14:101662114-101662125(-)::chr14:101662113-101662125(-) gagaggaagagg >mm10_chr14:101691399-101691410(-)::chr14:101691398-101691410(-) TTAATGAAGTAC >mm10_chr14:101734116-101734127(-)::chr14:101734115-101734127(-) AGCAGGAAGGGG >mm10_chr14:101862261-101862272(+)::chr14:101862260-101862272(+) AACAGGAAGAGC >mm10_chr14:101883221-101883232(+)::chr14:101883220-101883232(+) GGGAGGAAGTCT >mm10_chr14:101883739-101883750(-)::chr14:101883738-101883750(-) CAAAGGAAGATG >mm10_chr14:101883768-101883779(-)::chr14:101883767-101883779(-) TCCAGGAAGTAA >mm10_chr14:101905119-101905130(-)::chr14:101905118-101905130(-) ACAAGGAAAAAG >mm10_chr14:101912089-101912100(+)::chr14:101912088-101912100(+) GGGAGGAAGTTA >mm10_chr14:101916072-101916083(+)::chr14:101916071-101916083(+) AACAGGAAGACT >mm10_chr14:101916100-101916111(-)::chr14:101916099-101916111(-) AGCAGGAAGCTG >mm10_chr14:101926326-101926337(-)::chr14:101926325-101926337(-) AAGAGGAAGCGA >mm10_chr14:101973192-101973203(-)::chr14:101973191-101973203(-) AGAAGGAAAGGG >mm10_chr14:101973453-101973464(-)::chr14:101973452-101973464(-) ATGAGGAAGCTA >mm10_chr14:102072774-102072785(-)::chr14:102072773-102072785(-) ggaaggaaggaa >mm10_chr14:102072778-102072789(-)::chr14:102072777-102072789(-) ggaaggaaggaa >mm10_chr14:102072789-102072800(-)::chr14:102072788-102072800(-) agaaggaagagg >mm10_chr14:102072796-102072807(-)::chr14:102072795-102072807(-) gagaggaagaag >mm10_chr14:102072847-102072858(-)::chr14:102072846-102072858(-) ggaaggaagaaa >mm10_chr14:102429743-102429754(-)::chr14:102429742-102429754(-) agcaggaagagg >mm10_chr14:102598990-102599001(+)::chr14:102598989-102599001(+) AGAAGGAAGCAC >mm10_chr14:102599070-102599081(+)::chr14:102599069-102599081(+) AGAAGGAAATGA >mm10_chr14:102811254-102811265(+)::chr14:102811253-102811265(+) ATAGGGAAGGAG >mm10_chr14:102811872-102811883(+)::chr14:102811871-102811883(+) ACAAGGAAGACG >mm10_chr14:102827988-102827999(-)::chr14:102827987-102827999(-) TCTAGGAAGTAG >mm10_chr14:102828040-102828051(-)::chr14:102828039-102828051(-) GATAGGAAGAAA >mm10_chr14:102843890-102843901(+)::chr14:102843889-102843901(+) CAAAGGAAGAGG >mm10_chr14:102843896-102843907(+)::chr14:102843895-102843907(+) AAGAGGAAGAAC >mm10_chr14:102922623-102922634(+)::chr14:102922622-102922634(+) AAGAGGAAGGGA >mm10_chr14:102982175-102982186(+)::chr14:102982174-102982186(+) AAGAGGAAGCCG >mm10_chr14:102982905-102982916(-)::chr14:102982904-102982916(-) AAGAGGAAGAGG >mm10_chr14:103051498-103051509(+)::chr14:103051497-103051509(+) CCAAGGAAGCCA >mm10_chr14:103299648-103299659(+)::chr14:103299647-103299659(+) AGGAGGAAGACC >mm10_chr14:103299657-103299668(+)::chr14:103299656-103299668(+) ACCAGGAAGAGG >mm10_chr14:103299669-103299680(+)::chr14:103299668-103299680(+) GGAAGGAAGAGT >mm10_chr14:103299702-103299713(+)::chr14:103299701-103299713(+) AACAGGAAGACT >mm10_chr14:103343367-103343378(-)::chr14:103343366-103343378(-) ATGAGGAAATTA >mm10_chr14:103343393-103343404(-)::chr14:103343392-103343404(-) AGGAGGAAGGAG >mm10_chr14:103346993-103347004(+)::chr14:103346992-103347004(+) ACGAGGAAGAGC >mm10_chr14:103365285-103365296(+)::chr14:103365284-103365296(+) AGGAGGAAATCA >mm10_chr14:103365307-103365318(+)::chr14:103365306-103365318(+) GGTAGGAAGTGA >mm10_chr14:103366758-103366769(+)::chr14:103366757-103366769(+) AGAAGGAAAATG >mm10_chr14:103366767-103366778(-)::chr14:103366766-103366778(-) AAGAGGAAGCAT >mm10_chr14:103373236-103373247(+)::chr14:103373235-103373247(+) GAAaggaagagg >mm10_chr14:103373245-103373256(+)::chr14:103373244-103373256(+) aggaggaagagg >mm10_chr14:103373251-103373262(+)::chr14:103373250-103373262(+) aagaggaagagg >mm10_chr14:103373257-103373268(+)::chr14:103373256-103373268(+) aagaggaagagg >mm10_chr14:103373263-103373274(+)::chr14:103373262-103373274(+) aagaggaagagg >mm10_chr14:103373269-103373280(+)::chr14:103373268-103373280(+) aagaggaagCAG >mm10_chr14:103385266-103385277(+)::chr14:103385265-103385277(+) ATGAGGAAGCAG >mm10_chr14:103421511-103421522(-)::chr14:103421510-103421522(-) TGAAGGAAGGCA >mm10_chr14:103427840-103427851(+)::chr14:103427839-103427851(+) TAAAGGAAGAAA >mm10_chr14:103506795-103506806(-)::chr14:103506794-103506806(-) aggaggaagaag >mm10_chr14:103545941-103545952(+)::chr14:103545940-103545952(+) CTAAGGAAATGG >mm10_chr14:103558389-103558400(-)::chr14:103558388-103558400(-) gaaaggaaggta >mm10_chr14:103558597-103558608(-)::chr14:103558596-103558608(-) tgcaggaagtga >mm10_chr14:103569401-103569412(+)::chr14:103569400-103569412(+) ATAAGGAAGACA >mm10_chr14:103569418-103569429(+)::chr14:103569417-103569429(+) GAAAGGAAGGAG >mm10_chr14:103569470-103569481(+)::chr14:103569469-103569481(+) AGGAGGAAGCAA >mm10_chr14:103589990-103590001(+)::chr14:103589989-103590001(+) atacggaagagc >mm10_chr14:103650046-103650057(+)::chr14:103650045-103650057(+) GGCGGGAAGTTC >mm10_chr14:103665103-103665114(-)::chr14:103665102-103665114(-) gagaggaaggga >mm10_chr14:103665122-103665133(-)::chr14:103665121-103665133(-) gagaggaaggga >mm10_chr14:103665157-103665168(-)::chr14:103665156-103665168(-) agaaggaagggg >mm10_chr14:103682588-103682599(+)::chr14:103682587-103682599(+) GAGAGGAAGTGT >mm10_chr14:103682599-103682610(-)::chr14:103682598-103682610(-) AGGAGGAAGGGA >mm10_chr14:103747603-103747614(+)::chr14:103747602-103747614(+) AACAGGAAATTG >mm10_chr14:103779383-103779394(+)::chr14:103779382-103779394(+) ATAAGGAAGAGA >mm10_chr14:103779416-103779427(+)::chr14:103779415-103779427(+) ACCAGGAAATTA >mm10_chr14:103920377-103920388(+)::chr14:103920376-103920388(+) AACAGGAAGGAG >mm10_chr14:103920391-103920402(+)::chr14:103920390-103920402(+) TGAAGGAAACGT >mm10_chr14:103920672-103920683(-)::chr14:103920671-103920683(-) AACAGGAAGAAG >mm10_chr14:103920695-103920706(-)::chr14:103920694-103920706(-) GAAAGGAAGTGA >mm10_chr14:104028773-104028784(-)::chr14:104028772-104028784(-) TCAAGGAAGGGC >mm10_chr14:104033905-104033916(+)::chr14:104033904-104033916(+) AGAAGGAACTCA >mm10_chr14:104033952-104033963(+)::chr14:104033951-104033963(+) GGGAGGAAGAGA >mm10_chr14:104522635-104522646(+)::chr14:104522634-104522646(+) ACACGGAAGTCC >mm10_chr14:104652744-104652755(+)::chr14:104652743-104652755(+) ACCAGGAAGCAA >mm10_chr14:104652984-104652995(-)::chr14:104652983-104652995(-) AGAAGGAAGTAA >mm10_chr14:104871037-104871048(-)::chr14:104871036-104871048(-) GCCAGGAAGAGT >mm10_chr14:105164983-105164994(+)::chr14:105164982-105164994(+) agcaggaagcag >mm10_chr14:105165017-105165028(+)::chr14:105165016-105165028(+) accaggaagTCT >mm10_chr14:105172653-105172664(+)::chr14:105172652-105172664(+) ataaggaaagtg >mm10_chr14:105194551-105194562(-)::chr14:105194550-105194562(-) TAAAGGAAATGA >mm10_chr14:105221227-105221238(-)::chr14:105221226-105221238(-) AAGAGGAAGAAG >mm10_chr14:105221233-105221244(-)::chr14:105221232-105221244(-) AGAAGGAAGAGG >mm10_chr14:105251231-105251242(+)::chr14:105251230-105251242(+) TTCAGGAAGTGA >mm10_chr14:105251240-105251251(+)::chr14:105251239-105251251(+) TGAAGGAAGGTG >mm10_chr14:105288667-105288678(-)::chr14:105288666-105288678(-) ACAAGGAAGATA >mm10_chr14:105364950-105364961(+)::chr14:105364949-105364961(+) ACAAGGAAATAC >mm10_chr14:105426842-105426853(-)::chr14:105426841-105426853(-) GAAGGGAAGTAT >mm10_chr14:105483191-105483202(+)::chr14:105483190-105483202(+) ATGAGGAAGTGC >mm10_chr14:105501091-105501102(+)::chr14:105501090-105501102(+) AAGAGGAAGTCA >mm10_chr14:105510532-105510543(+)::chr14:105510531-105510543(+) aagaggaagttg >mm10_chr14:105527966-105527977(-)::chr14:105527965-105527977(-) AAAAGGAAGGAG >mm10_chr14:105528020-105528031(-)::chr14:105528019-105528031(-) tgcaggaagtat >mm10_chr14:105588465-105588476(-)::chr14:105588464-105588476(-) TGCAGGAAGAGG >mm10_chr14:105589591-105589602(+)::chr14:105589590-105589602(+) ACAAGGAAGGAG >mm10_chr14:105628214-105628225(+)::chr14:105628213-105628225(+) ATCAGGAACTAA >mm10_chr14:105675110-105675121(+)::chr14:105675109-105675121(+) AGCAGGAAGTAA >mm10_chr14:105676648-105676659(+)::chr14:105676647-105676659(+) TACAGGAAGAAT >mm10_chr14:105685149-105685160(-)::chr14:105685148-105685160(-) GGGGGGAAGTAG >mm10_chr14:105685178-105685189(+)::chr14:105685177-105685189(+) ACACGGAAGAAT >mm10_chr14:105687079-105687090(-)::chr14:105687078-105687090(-) ATGAGGAAATGT >mm10_chr14:105697475-105697486(-)::chr14:105697474-105697486(-) aggaggaagtta >mm10_chr14:105733194-105733205(-)::chr14:105733193-105733205(-) agcaggaaataa >mm10_chr14:105754888-105754899(+)::chr14:105754887-105754899(+) aaaaggaagaac >mm10_chr14:105779497-105779508(-)::chr14:105779496-105779508(-) AGAAGGAACCGG >mm10_chr14:105780494-105780505(+)::chr14:105780493-105780505(+) tccaggaagttg >mm10_chr14:105780530-105780541(-)::chr14:105780529-105780541(-) aataggaaatat >mm10_chr14:105862631-105862642(-)::chr14:105862630-105862642(-) AGAAGGAAGGAG >mm10_chr14:105863085-105863096(-)::chr14:105863084-105863096(-) gcCAGGAAATGG >mm10_chr14:105893841-105893852(-)::chr14:105893840-105893852(-) AACGGGAAGTCT >mm10_chr14:105893880-105893891(-)::chr14:105893879-105893891(-) TGAGGGAAGTGG >mm10_chr14:105896671-105896682(-)::chr14:105896670-105896682(-) AGAAGGAAAAAG >mm10_chr14:105908872-105908883(-)::chr14:105908871-105908883(-) ATGAGGAAGATG >mm10_chr14:105920283-105920294(-)::chr14:105920282-105920294(-) AGGAGGAAGACC >mm10_chr14:105920290-105920301(-)::chr14:105920289-105920301(-) TGCAGGAAGGAG >mm10_chr14:105920649-105920660(+)::chr14:105920648-105920660(+) CACAGGAAGTTT >mm10_chr14:105985570-105985581(+)::chr14:105985569-105985581(+) GGCAGGATGTAG >mm10_chr14:106088730-106088741(-)::chr14:106088729-106088741(-) GAAAGGAAATTG >mm10_chr14:106088775-106088786(+)::chr14:106088774-106088786(+) ATCAGGAAGAAG >mm10_chr14:106088925-106088936(+)::chr14:106088924-106088936(+) TCAAGGAAGTTA >mm10_chr14:106155412-106155423(-)::chr14:106155411-106155423(-) ACCAGGAAGCAG >mm10_chr14:106193517-106193528(-)::chr14:106193516-106193528(-) TCAAGGACGTAT >mm10_chr14:106193540-106193551(+)::chr14:106193539-106193551(+) TGGAGGAAATGG >mm10_chr14:106609194-106609205(-)::chr14:106609193-106609205(-) AACAGGAAGACT >mm10_chr14:106777301-106777312(-)::chr14:106777300-106777312(-) agaaggaagaaa >mm10_chr14:106777308-106777319(-)::chr14:106777307-106777319(-) agaaggaagaag >mm10_chr14:106777342-106777353(-)::chr14:106777341-106777353(-) acaaggaaggga >mm10_chr14:106851876-106851887(+)::chr14:106851875-106851887(+) ACAAGGAAAACA >mm10_chr14:106851924-106851935(+)::chr14:106851923-106851935(+) AGGAGGAAGATA >mm10_chr14:107268150-107268161(+)::chr14:107268149-107268161(+) tcaagtaagtgg >mm10_chr14:107915243-107915254(-)::chr14:107915242-107915254(-) aagaggaagttc >mm10_chr14:108379567-108379578(-)::chr14:108379566-108379578(-) aagaggaagagg >mm10_chr14:108379573-108379584(-)::chr14:108379572-108379584(-) aagaggaagagg >mm10_chr14:108379579-108379590(-)::chr14:108379578-108379590(-) aagaggaagagg >mm10_chr14:108379585-108379596(-)::chr14:108379584-108379596(-) aagaggaagagg >mm10_chr14:108459422-108459433(+)::chr14:108459421-108459433(+) ATCGGGAAGTAA >mm10_chr14:108793123-108793134(+)::chr14:108793122-108793134(+) ctaaggaagtag >mm10_chr14:108961575-108961586(+)::chr14:108961574-108961586(+) tgcaggaagatg >mm10_chr14:109115785-109115796(+)::chr14:109115784-109115796(+) gcaaggatgtgg >mm10_chr14:109335465-109335476(+)::chr14:109335464-109335476(+) tcaaggaagaaa >mm10_chr14:110623814-110623825(+)::chr14:110623813-110623825(+) AGAGGGAAGTCA >mm10_chr14:110979279-110979290(+)::chr14:110979278-110979290(+) gtaaggaactga >mm10_chr14:110979297-110979308(+)::chr14:110979296-110979308(+) aagaggaaggag >mm10_chr14:112663286-112663297(+)::chr14:112663285-112663297(+) AAAAGGAACTGT >mm10_chr14:114008267-114008278(-)::chr14:114008266-114008278(-) TACAGGAAGCGT >mm10_chr14:114520640-114520651(-)::chr14:114520639-114520651(-) taaaggaagagt >mm10_chr14:114840715-114840726(+)::chr14:114840714-114840726(+) AGGAGGAAGACA >mm10_chr14:114840756-114840767(+)::chr14:114840755-114840767(+) AGAAGGAAATTA >mm10_chr14:114855332-114855343(-)::chr14:114855331-114855343(-) GGAAGGAAGGAG >mm10_chr14:114883733-114883744(+)::chr14:114883732-114883744(+) ATAAGGAAACAG >mm10_chr14:114883740-114883751(+)::chr14:114883739-114883751(+) AACAGGAACTAA >mm10_chr14:114973896-114973907(+)::chr14:114973895-114973907(+) AAAAGGAAGCTA >mm10_chr14:114973945-114973956(+)::chr14:114973944-114973956(+) CAAAGGAAGAGT >mm10_chr14:114980273-114980284(-)::chr14:114980272-114980284(-) agaaggaagtaa >mm10_chr14:115042420-115042431(+)::chr14:115042419-115042431(+) AGAGGGAAGAAA >mm10_chr14:115129313-115129324(-)::chr14:115129312-115129324(-) CAAAGGAAGTGG >mm10_chr14:115129378-115129389(-)::chr14:115129377-115129389(-) ACAAGAAAGTGA >mm10_chr14:115353219-115353230(+)::chr14:115353218-115353230(+) CAAAGGAAGAAC >mm10_chr14:116032093-116032104(+)::chr14:116032092-116032104(+) GGAAGGAAAGTA >mm10_chr14:116032146-116032157(-)::chr14:116032145-116032157(-) AAGAGGAAGTAC >mm10_chr14:116054310-116054321(-)::chr14:116054309-116054321(-) ggcaggaaataa >mm10_chr14:116054344-116054355(-)::chr14:116054343-116054355(-) aggaggaagctg >mm10_chr14:116054356-116054367(-)::chr14:116054355-116054367(-) agaaggaagagc >mm10_chr14:116054365-116054376(-)::chr14:116054364-116054376(-) gagaggaagaga >mm10_chr14:116207218-116207229(+)::chr14:116207217-116207229(+) agaagcaagtca >mm10_chr14:116532907-116532918(-)::chr14:116532906-116532918(-) agtaggaaataa >mm10_chr14:116532914-116532925(-)::chr14:116532913-116532925(-) agcaggaagtag >mm10_chr14:116532934-116532945(-)::chr14:116532933-116532945(-) agcaggaagctg >mm10_chr14:116965135-116965146(+)::chr14:116965134-116965146(+) TGAAGGAAGTCT >mm10_chr14:116982019-116982030(-)::chr14:116982018-116982030(-) ACAGGGAAGTTC >mm10_chr14:116982090-116982101(+)::chr14:116982089-116982101(+) GAAAGGAAGCTT >mm10_chr14:117050124-117050135(+)::chr14:117050123-117050135(+) acaaggaagaga >mm10_chr14:117100023-117100034(-)::chr14:117100022-117100034(-) TGAAGGAACTAG >mm10_chr14:117100042-117100053(-)::chr14:117100041-117100053(-) ATGAGGAAGAGG >mm10_chr14:117100499-117100510(+)::chr14:117100498-117100510(+) ATAAGGAAGAGT >mm10_chr14:117155977-117155988(-)::chr14:117155976-117155988(-) ACGAGGAAATGA >mm10_chr14:117156039-117156050(-)::chr14:117156038-117156050(-) AGAAGGAAGTCT >mm10_chr14:117157613-117157624(-)::chr14:117157612-117157624(-) AGAAGGAACTGG >mm10_chr14:117458472-117458483(-)::chr14:117458471-117458483(-) AAAAGGAACTAA >mm10_chr14:117625529-117625540(+)::chr14:117625528-117625540(+) AACAGGAAGTCA >mm10_chr14:117625574-117625585(+)::chr14:117625573-117625585(+) ATGAGGAAGTGA >mm10_chr14:117633822-117633833(-)::chr14:117633821-117633833(-) TTCAGGAAGTTG >mm10_chr14:117646205-117646216(+)::chr14:117646204-117646216(+) ACAAGGAAGCCT >mm10_chr14:117712143-117712154(+)::chr14:117712142-117712154(+) AACAGGAAGTAC >mm10_chr14:117713769-117713780(+)::chr14:117713768-117713780(+) TAAGGGAAGTTC >mm10_chr14:117787081-117787092(-)::chr14:117787080-117787092(-) TCAAGGAAGCTA >mm10_chr14:118089649-118089660(-)::chr14:118089648-118089660(-) ACACGGAAGGAC >mm10_chr14:118132829-118132840(+)::chr14:118132828-118132840(+) CAAAGGAAGTCG >mm10_chr14:118137649-118137660(+)::chr14:118137648-118137660(+) CCCAGGAAGTTA >mm10_chr14:118169593-118169604(-)::chr14:118169592-118169604(-) AGGAGGAAGCCG >mm10_chr14:118169619-118169630(-)::chr14:118169618-118169630(-) AGCAGGAAGGAC >mm10_chr14:118221912-118221923(+)::chr14:118221911-118221923(+) atgaggaagtcc >mm10_chr14:118221973-118221984(+)::chr14:118221972-118221984(+) agcaggaagagg >mm10_chr14:118237317-118237328(+)::chr14:118237316-118237328(+) GCGAGGAAGAGG >mm10_chr14:118253614-118253625(-)::chr14:118253613-118253625(-) acacggaagtct >mm10_chr14:118385758-118385769(+)::chr14:118385757-118385769(+) TGCAGGAAGTTC >mm10_chr14:118664449-118664460(-)::chr14:118664448-118664460(-) gtaaggatgtcg >mm10_chr14:118664469-118664480(+)::chr14:118664468-118664480(+) tgaaggaagtaa >mm10_chr14:118664482-118664493(+)::chr14:118664481-118664493(+) gacaggaagtcc >mm10_chr14:118667787-118667798(-)::chr14:118667786-118667798(-) ATGAGGAAATAC >mm10_chr14:118696070-118696081(+)::chr14:118696069-118696081(+) AGCAGGAAGCTG >mm10_chr14:118834979-118834990(+)::chr14:118834978-118834990(+) TAAAGGAAGCTA >mm10_chr14:118836306-118836317(-)::chr14:118836305-118836317(-) ATGAGGAAGCAG >mm10_chr14:118842530-118842541(+)::chr14:118842529-118842541(+) AAGAGGAAGTCA >mm10_chr14:118865074-118865085(-)::chr14:118865073-118865085(-) TTCAGGAAGTTA >mm10_chr14:118937700-118937711(-)::chr14:118937699-118937711(-) AGGAGGAAGAGG >mm10_chr14:118947223-118947234(+)::chr14:118947222-118947234(+) ATCAGGAAATCA >mm10_chr14:118947233-118947244(-)::chr14:118947232-118947244(-) aaCAGGAAGATG >mm10_chr14:118951109-118951120(+)::chr14:118951108-118951120(+) accaggaagtct >mm10_chr14:118951119-118951130(-)::chr14:118951118-118951130(-) tgcaggaaggag >mm10_chr14:118999248-118999259(+)::chr14:118999247-118999259(+) AACAGGAAGAAG >mm10_chr14:118999255-118999266(+)::chr14:118999254-118999266(+) AGAAGGAAATGA >mm10_chr14:118999277-118999288(+)::chr14:118999276-118999288(+) AACAGGAAGAAG >mm10_chr14:118999284-118999295(+)::chr14:118999283-118999295(+) AGAAGGAAATTA >mm10_chr14:119007456-119007467(+)::chr14:119007455-119007467(+) aggaggaagggg >mm10_chr14:119007493-119007504(+)::chr14:119007492-119007504(+) tggaggaagtac >mm10_chr14:119260926-119260937(+)::chr14:119260925-119260937(+) AGCAGGAAGTAA >mm10_chr14:119397111-119397122(+)::chr14:119397110-119397122(+) cagaggaagtaa >mm10_chr14:119604658-119604669(+)::chr14:119604657-119604669(+) CCGAGGAAGTCT >mm10_chr14:119797716-119797727(-)::chr14:119797715-119797727(-) AAGAGGAAGGGA >mm10_chr14:119797747-119797758(-)::chr14:119797746-119797758(-) ACAAGGAAGAGA >mm10_chr14:119844234-119844245(+)::chr14:119844233-119844245(+) AAAAGGAAGAAG >mm10_chr14:119844241-119844252(+)::chr14:119844240-119844252(+) AGAAGGAAGGAA >mm10_chr14:119844245-119844256(+)::chr14:119844244-119844256(+) GGAAGGAAGCCA >mm10_chr14:119847712-119847723(-)::chr14:119847711-119847723(-) ATCAGGAAGTTG >mm10_chr14:119896407-119896418(-)::chr14:119896406-119896418(-) tttaggaagtca >mm10_chr14:119896611-119896622(-)::chr14:119896610-119896622(-) AGAAGGAACTCC >mm10_chr14:119966020-119966031(-)::chr14:119966019-119966031(-) GCACGGAAGTAA >mm10_chr14:119972281-119972292(-)::chr14:119972280-119972292(-) ACAAGGAAGTGC >mm10_chr14:119974636-119974647(+)::chr14:119974635-119974647(+) AACAGGAAGGAT >mm10_chr14:119976603-119976614(-)::chr14:119976602-119976614(-) aggaggaagggg >mm10_chr14:119989556-119989567(+)::chr14:119989555-119989567(+) AGCAGGAAGAAC >mm10_chr14:119989580-119989591(+)::chr14:119989579-119989591(+) TGGAGGAAGAGA >mm10_chr14:120015134-120015145(-)::chr14:120015133-120015145(-) AGAAGTAAGTTG >mm10_chr14:120020799-120020810(+)::chr14:120020798-120020810(+) CGCAGGAACTGA >mm10_chr14:120020837-120020848(-)::chr14:120020836-120020848(-) AAAAGGAAGTAC >mm10_chr14:120137876-120137887(-)::chr14:120137875-120137887(-) AAGAGGAAGGCG >mm10_chr14:120137908-120137919(+)::chr14:120137907-120137919(+) TCCAGGAAGTCA >mm10_chr14:120157615-120157626(+)::chr14:120157614-120157626(+) AGCAGGAAGTCC >mm10_chr14:120157669-120157680(-)::chr14:120157668-120157680(-) AGAAGGAAGCTC >mm10_chr14:120171996-120172007(-)::chr14:120171995-120172007(-) GACAGGAAGTAG >mm10_chr14:120172155-120172166(-)::chr14:120172154-120172166(-) ACCAGGAAGATT >mm10_chr14:120172193-120172204(+)::chr14:120172192-120172204(+) CTAAGGAAGTGA >mm10_chr14:120172201-120172212(+)::chr14:120172200-120172212(+) GTGAGGAAGTAG >mm10_chr14:120204742-120204753(-)::chr14:120204741-120204753(-) acccggaagtgt >mm10_chr14:120204767-120204778(+)::chr14:120204766-120204778(+) taagggaagtcc >mm10_chr14:120271092-120271103(+)::chr14:120271091-120271103(+) AGAAGGAAGCAG >mm10_chr14:120273136-120273147(+)::chr14:120273135-120273147(+) aggaggaagaag >mm10_chr14:120278232-120278243(+)::chr14:120278231-120278243(+) CCAAGGAAGTCA >mm10_chr14:120279028-120279039(+)::chr14:120279027-120279039(+) ACAAGGAAGCCA >mm10_chr14:120285314-120285325(-)::chr14:120285313-120285325(-) AAGAGGAAGATA >mm10_chr14:120285320-120285331(-)::chr14:120285319-120285331(-) AAGAGGAAGAGG >mm10_chr14:120292411-120292422(+)::chr14:120292410-120292422(+) TACAGGAAGAGG >mm10_chr14:120294674-120294685(-)::chr14:120294673-120294685(-) GGAAGGAAGTGG >mm10_chr14:120295482-120295493(+)::chr14:120295481-120295493(+) AGGAGGAAATGA >mm10_chr14:120297403-120297414(-)::chr14:120297402-120297414(-) GGAAGGAAGGAT >mm10_chr14:120297407-120297418(-)::chr14:120297406-120297418(-) GGAAGGAAGGAA >mm10_chr14:120297411-120297422(-)::chr14:120297410-120297422(-) ACGAGGAAGGAA >mm10_chr14:120303429-120303440(-)::chr14:120303428-120303440(-) TGAAGGAAGTTA >mm10_chr14:120313604-120313615(-)::chr14:120313603-120313615(-) ACAAGGATGTTG >mm10_chr14:120318582-120318593(+)::chr14:120318581-120318593(+) ACCAGGAAGGCT >mm10_chr14:120337790-120337801(-)::chr14:120337789-120337801(-) TCCAGGAAGTCA >mm10_chr14:120337821-120337832(-)::chr14:120337820-120337832(-) ACACGGAAATGA >mm10_chr14:120338563-120338574(+)::chr14:120338562-120338574(+) aggaggaagagc >mm10_chr14:120338577-120338588(+)::chr14:120338576-120338588(+) gagaggaaggag >mm10_chr14:120338584-120338595(+)::chr14:120338583-120338595(+) aggaggaagggg >mm10_chr14:120341833-120341844(+)::chr14:120341832-120341844(+) TGGAGGAAGGAG >mm10_chr14:120341883-120341894(+)::chr14:120341882-120341894(+) TGTAGGAAGATA >mm10_chr14:120341892-120341903(-)::chr14:120341891-120341903(-) GAAAGGATGTAT >mm10_chr14:120341912-120341923(+)::chr14:120341911-120341923(+) AGAAGGAAGTGT >mm10_chr14:120347973-120347984(+)::chr14:120347972-120347984(+) CACAGGAAGTGC >mm10_chr14:120353171-120353182(-)::chr14:120353170-120353182(-) AGTAGGAAATAA >mm10_chr14:120355597-120355608(+)::chr14:120355596-120355608(+) TTCAGGAAGTCC >mm10_chr14:120379899-120379910(+)::chr14:120379898-120379910(+) ACCAGGAAATGG >mm10_chr14:120387085-120387096(+)::chr14:120387084-120387096(+) gggaggaagagg >mm10_chr14:120407032-120407043(+)::chr14:120407031-120407043(+) AGGAGGAAGTGG >mm10_chr14:120509043-120509054(-)::chr14:120509042-120509054(-) TAAAGCAAGTCG >mm10_chr14:120509082-120509093(+)::chr14:120509081-120509093(+) AGCAGGAAGGGA >mm10_chr14:120544639-120544650(+)::chr14:120544638-120544650(+) AGCAGGAAGTAG >mm10_chr14:120832062-120832073(-)::chr14:120832061-120832073(-) GAAAGGAAGTTG >mm10_chr14:120841639-120841650(-)::chr14:120841638-120841650(-) ATAAGGAAGTGG >mm10_chr14:120846502-120846513(-)::chr14:120846501-120846513(-) GGGAGGAAGATC >mm10_chr14:120863317-120863328(-)::chr14:120863316-120863328(-) atgaggaaatta >mm10_chr14:120863364-120863375(+)::chr14:120863363-120863375(+) aagaggaagaat >mm10_chr14:120911791-120911802(+)::chr14:120911790-120911802(+) AAAAGGAACTGC >mm10_chr14:121014418-121014429(-)::chr14:121014417-121014429(-) ACCAGGAAGTCA >mm10_chr14:121014452-121014463(-)::chr14:121014451-121014463(-) GCTAGGAAGTCC >mm10_chr14:121027739-121027750(+)::chr14:121027738-121027750(+) AAGAGGAAGGAC >mm10_chr14:121027792-121027803(+)::chr14:121027791-121027803(+) acgaggaactgg >mm10_chr14:121034778-121034789(+)::chr14:121034777-121034789(+) CGAAGGAAAAAT >mm10_chr14:121044982-121044993(+)::chr14:121044981-121044993(+) cttaggaagtgg >mm10_chr14:121045002-121045013(+)::chr14:121045001-121045013(+) tggaggaagtag >mm10_chr14:121045030-121045041(-)::chr14:121045029-121045041(-) ggcaggaagttg >mm10_chr14:121062333-121062344(+)::chr14:121062332-121062344(+) AGGAGGAAGTGA >mm10_chr14:121082574-121082585(-)::chr14:121082573-121082585(-) AGGAGGAAATGA >mm10_chr14:121084657-121084668(+)::chr14:121084656-121084668(+) TTAAGGAAGTGC >mm10_chr14:121084682-121084693(-)::chr14:121084681-121084693(-) GGAATGAAGTAT >mm10_chr14:121084716-121084727(-)::chr14:121084715-121084727(-) TTAAGGAAGGGT >mm10_chr14:121097808-121097819(-)::chr14:121097807-121097819(-) ATAAGGAACTCA >mm10_chr14:121109483-121109494(-)::chr14:121109482-121109494(-) GAAAGGAAGTTC >mm10_chr14:121113688-121113699(+)::chr14:121113687-121113699(+) agcaggaaggca >mm10_chr14:121113719-121113730(-)::chr14:121113718-121113730(-) AACAGGAAGAAA >mm10_chr14:121138529-121138540(-)::chr14:121138528-121138540(-) GACAGGAAGTAC >mm10_chr14:121138580-121138591(-)::chr14:121138579-121138591(-) AGAAGGAAATGA >mm10_chr14:121165057-121165068(-)::chr14:121165056-121165068(-) CACAGGAAGTGG >mm10_chr14:121165103-121165114(-)::chr14:121165102-121165114(-) TACAGGAAATAG >mm10_chr14:121168776-121168787(+)::chr14:121168775-121168787(+) AGTAGGAAGAAA >mm10_chr14:121168824-121168835(-)::chr14:121168823-121168835(-) ACCAGGAAATGT >mm10_chr14:121171254-121171265(-)::chr14:121171253-121171265(-) AGAAGGAAATAG >mm10_chr14:121174726-121174737(+)::chr14:121174725-121174737(+) CACAGGAAGTCC >mm10_chr14:121175453-121175464(+)::chr14:121175452-121175464(+) TACAGGAAGAGT >mm10_chr14:121175500-121175511(+)::chr14:121175499-121175511(+) AACAGGAAGGAG >mm10_chr14:121205086-121205097(-)::chr14:121205085-121205097(-) ACAAGGAAATGA >mm10_chr14:121238135-121238146(+)::chr14:121238134-121238146(+) GGAAGGAAGAAA >mm10_chr14:121358577-121358588(-)::chr14:121358576-121358588(-) AACAGGAACTAC >mm10_chr14:121379989-121380000(+)::chr14:121379988-121380000(+) ACAAGGAAGGAT >mm10_chr14:121381976-121381987(-)::chr14:121381975-121381987(-) AAGAGGAAGGAG >mm10_chr14:121391971-121391982(-)::chr14:121391970-121391982(-) ACCCGGAAGTAA >mm10_chr14:121421121-121421132(+)::chr14:121421120-121421132(+) tgaaggaactgc >mm10_chr14:121423633-121423644(+)::chr14:121423632-121423644(+) ACAAGGAAGTGC >mm10_chr14:121455642-121455653(+)::chr14:121455641-121455653(+) CAAAGGAAGTTA >mm10_chr14:121471676-121471687(-)::chr14:121471675-121471687(-) AGAAGGAAGAAG >mm10_chr14:121521968-121521979(-)::chr14:121521967-121521979(-) ACGAGGAAGCTC >mm10_chr14:121522031-121522042(+)::chr14:121522030-121522042(+) AGAAGGAAGGCA >mm10_chr14:121615577-121615588(+)::chr14:121615576-121615588(+) AGAAGGAAGTGG >mm10_chr14:121646409-121646420(+)::chr14:121646408-121646420(+) CGTAGGAAGCAG >mm10_chr14:121678673-121678684(-)::chr14:121678672-121678684(-) AAAAGGAAGCCA >mm10_chr14:121685976-121685987(-)::chr14:121685975-121685987(-) TTCAGGAAGTCC >mm10_chr14:121688678-121688689(-)::chr14:121688677-121688689(-) GTGAGGAAATCG >mm10_chr14:121698009-121698020(+)::chr14:121698008-121698020(+) GGATGGAAGTAG >mm10_chr14:121698036-121698047(+)::chr14:121698035-121698047(+) ATAAGGAAAGGT >mm10_chr14:121706585-121706596(-)::chr14:121706584-121706596(-) GGGAGGAAGGTG >mm10_chr14:121709676-121709687(-)::chr14:121709675-121709687(-) ACAGGGAAGTGA >mm10_chr14:121728174-121728185(+)::chr14:121728173-121728185(+) ATAAGGAAGTAA >mm10_chr14:121878532-121878543(-)::chr14:121878531-121878543(-) GAGAGGAAGTGC >mm10_chr14:121884982-121884993(+)::chr14:121884981-121884993(+) aacagtaagtac >mm10_chr14:121929541-121929552(+)::chr14:121929540-121929552(+) CTAAGGAAGGAT >mm10_chr14:121929557-121929568(+)::chr14:121929556-121929568(+) ATAAGGAACAGG >mm10_chr14:121929563-121929574(+)::chr14:121929562-121929574(+) AACAGGAAGTTG >mm10_chr14:121933719-121933730(-)::chr14:121933718-121933730(-) AAGAGGAAGAGG >mm10_chr14:121933725-121933736(-)::chr14:121933724-121933736(-) AAGAGGAAGAGG >mm10_chr14:121946322-121946333(+)::chr14:121946321-121946333(+) agaaggaactgg >mm10_chr14:121985698-121985709(-)::chr14:121985697-121985709(-) AAAAGGAAGTCA >mm10_chr14:121985725-121985736(-)::chr14:121985724-121985736(-) AAAAGGAAGTTA >mm10_chr14:121995059-121995070(-)::chr14:121995058-121995070(-) ATCAGGAAGTCT >mm10_chr14:121997251-121997262(-)::chr14:121997250-121997262(-) TGCAGGAAGAGC >mm10_chr14:121997292-121997303(-)::chr14:121997291-121997303(-) AGCAGGAAGTTC >mm10_chr14:122003637-122003648(+)::chr14:122003636-122003648(+) GAAAGGAAGCTG >mm10_chr14:122003677-122003688(+)::chr14:122003676-122003688(+) GTAAGGAAGCAG >mm10_chr14:122018114-122018125(-)::chr14:122018113-122018125(-) agaaggaagctg >mm10_chr14:122106973-122106984(+)::chr14:122106972-122106984(+) AGGCGGAAGTCA >mm10_chr14:122161541-122161552(-)::chr14:122161540-122161552(-) ACAAGGAAGAGT >mm10_chr14:122164938-122164949(+)::chr14:122164937-122164949(+) acaaggaagccc >mm10_chr14:122164997-122165008(+)::chr14:122164996-122165008(+) tgcaggaagtga >mm10_chr14:122223688-122223699(-)::chr14:122223687-122223699(-) AGCAGGAAGTGG >mm10_chr14:122233425-122233436(+)::chr14:122233424-122233436(+) AGCAGGAAGGAT >mm10_chr14:122253623-122253634(-)::chr14:122253622-122253634(-) ATAAGGAGGTAG >mm10_chr14:122280139-122280150(-)::chr14:122280138-122280150(-) ACCAGGAAGTCT >mm10_chr14:122280151-122280162(+)::chr14:122280150-122280162(+) TTAAGAAAGTAG >mm10_chr14:122287943-122287954(-)::chr14:122287942-122287954(-) GGGAGGAAGTGC >mm10_chr14:122416499-122416510(-)::chr14:122416498-122416510(-) AAGAGGAAGATT >mm10_chr14:122416511-122416522(-)::chr14:122416510-122416522(-) AGCAGGAAGAAG >mm10_chr14:122636798-122636809(-)::chr14:122636797-122636809(-) GTCAGGAAGTGT >mm10_chr14:122766161-122766172(-)::chr14:122766160-122766172(-) AAAAGGCAGTGA >mm10_chr14:122803897-122803908(-)::chr14:122803896-122803908(-) AGCAGGAAGAAC >mm10_chr14:122886017-122886028(-)::chr14:122886016-122886028(-) TATAGGAAGAGA >mm10_chr14:122902394-122902405(-)::chr14:122902393-122902405(-) AGCAGGAAGGTC >mm10_chr14:122932850-122932861(-)::chr14:122932849-122932861(-) AGGAGGAAATAC >mm10_chr14:122935446-122935457(+)::chr14:122935445-122935457(+) ggaaggaagagg >mm10_chr14:122935452-122935463(+)::chr14:122935451-122935463(+) aagaggaagaag >mm10_chr14:122935472-122935483(+)::chr14:122935471-122935483(+) agaaggaagagg >mm10_chr14:122935478-122935489(+)::chr14:122935477-122935489(+) aagaggaagaag >mm10_chr14:122935485-122935496(+)::chr14:122935484-122935496(+) agaaggaagaaa >mm10_chr14:123096173-123096184(-)::chr14:123096172-123096184(-) TACAGGAAGAGG >mm10_chr14:123172024-123172035(-)::chr14:123172023-123172035(-) AGGAGGAAATAA >mm10_chr14:123241311-123241322(-)::chr14:123241310-123241322(-) AATAGGAAGAGA >mm10_chr14:123256136-123256147(-)::chr14:123256135-123256147(-) TGAAGGTAGTAA >mm10_chr14:123387746-123387757(+)::chr14:123387745-123387757(+) gtagggaagtgg >mm10_chr14:123387793-123387804(+)::chr14:123387792-123387804(+) acaaggaagaag >mm10_chr14:123464612-123464623(-)::chr14:123464611-123464623(-) AAAGGGAAGTTG >mm10_chr14:123512542-123512553(+)::chr14:123512541-123512553(+) TGAAGGATGTGA >mm10_chr14:124277689-124277700(-)::chr14:124277688-124277700(-) aaaaggaaatgg >mm10_chr15:3215686-3215697(+)::chr15:3215685-3215697(+) AAAAGTAAGTAC >mm10_chr15:3228291-3228302(-)::chr15:3228290-3228302(-) AACAGGAAATTA >mm10_chr15:3232484-3232495(+)::chr15:3232483-3232495(+) ATCAGGAAGCTG >mm10_chr15:3232793-3232804(-)::chr15:3232792-3232804(-) GACAGGAAGAAA >mm10_chr15:3232801-3232812(-)::chr15:3232800-3232812(-) GCAAGGAAGACA >mm10_chr15:3233269-3233280(+)::chr15:3233268-3233280(+) GGGAGGAAGGCA >mm10_chr15:3234347-3234358(-)::chr15:3234346-3234358(-) AACAGGAAGTGT >mm10_chr15:3293662-3293673(-)::chr15:3293661-3293673(-) gggaggaagtaa >mm10_chr15:3293674-3293685(-)::chr15:3293673-3293685(-) aggaggaaggga >mm10_chr15:3293717-3293728(-)::chr15:3293716-3293728(-) ggaaggaagaaa >mm10_chr15:3339757-3339768(-)::chr15:3339756-3339768(-) ACAAGGAAGTGG >mm10_chr15:3408902-3408913(+)::chr15:3408901-3408913(+) GCTAGGAAGTGT >mm10_chr15:3427753-3427764(+)::chr15:3427752-3427764(+) ACCAGGAAGTCA >mm10_chr15:3427814-3427825(-)::chr15:3427813-3427825(-) AGGGGGAAGTGT >mm10_chr15:3453194-3453205(-)::chr15:3453193-3453205(-) TTAAGGAAGTTG >mm10_chr15:3484974-3484985(-)::chr15:3484973-3484985(-) ACCAGGAAGCCA >mm10_chr15:3534685-3534696(-)::chr15:3534684-3534696(-) agaaggaagaag >mm10_chr15:3534706-3534717(-)::chr15:3534705-3534717(-) acaaggaagggg >mm10_chr15:3603631-3603642(-)::chr15:3603630-3603642(-) AGCAGGAAATTC >mm10_chr15:3707947-3707958(+)::chr15:3707946-3707958(+) TGAAGGAAATCA >mm10_chr15:3719747-3719758(+)::chr15:3719746-3719758(+) GAAAGGAAGAGA >mm10_chr15:3719775-3719786(+)::chr15:3719774-3719786(+) aggaggaagcag >mm10_chr15:3748952-3748963(-)::chr15:3748951-3748963(-) tacaggaagcaa >mm10_chr15:3752274-3752285(-)::chr15:3752273-3752285(-) AGTAGGAAGGTA >mm10_chr15:3756720-3756731(+)::chr15:3756719-3756731(+) aggaggaagagg >mm10_chr15:3756732-3756743(+)::chr15:3756731-3756743(+) aggaggaagagg >mm10_chr15:3763926-3763937(+)::chr15:3763925-3763937(+) tcagggaagtga >mm10_chr15:3912108-3912119(-)::chr15:3912107-3912119(-) GGAAGGAAGTTA >mm10_chr15:3912112-3912123(-)::chr15:3912111-3912123(-) ggAAGGAAGGAA >mm10_chr15:4016465-4016476(+)::chr15:4016464-4016476(+) AGTAGGAAGTGG >mm10_chr15:4016503-4016514(-)::chr15:4016502-4016514(-) ACAAGGAAGTAA >mm10_chr15:4027453-4027464(-)::chr15:4027452-4027464(-) ATAGGGAAGGGG >mm10_chr15:4029039-4029050(-)::chr15:4029038-4029050(-) agaaggaaggag >mm10_chr15:4029072-4029083(-)::chr15:4029071-4029083(-) ACAAGGAACTTG >mm10_chr15:4029217-4029228(-)::chr15:4029216-4029228(-) AGGAGGAAGCAT >mm10_chr15:4032842-4032853(-)::chr15:4032841-4032853(-) GGAAGGAAGTAA >mm10_chr15:4032846-4032857(-)::chr15:4032845-4032857(-) ATAAGGAAGGAA >mm10_chr15:4043189-4043200(+)::chr15:4043188-4043200(+) TAGAGGAAGGGT >mm10_chr15:4043229-4043240(+)::chr15:4043228-4043240(+) aggaggaagagg >mm10_chr15:4043235-4043246(+)::chr15:4043234-4043246(+) aagaggaaggag >mm10_chr15:4085828-4085839(+)::chr15:4085827-4085839(+) ataaggaaatgt >mm10_chr15:4086235-4086246(-)::chr15:4086234-4086246(-) GCAAGGAAGTGA >mm10_chr15:4112866-4112877(+)::chr15:4112865-4112877(+) aagaggaagagg >mm10_chr15:4112872-4112883(+)::chr15:4112871-4112883(+) aagaggaagagg >mm10_chr15:4112878-4112889(+)::chr15:4112877-4112889(+) aagaggaagagg >mm10_chr15:4124869-4124880(-)::chr15:4124868-4124880(-) AGCAGGAAGACC >mm10_chr15:5105233-5105244(-)::chr15:5105232-5105244(-) ACCAGGAAGGGC >mm10_chr15:5107989-5108000(+)::chr15:5107988-5108000(+) CTCAGGAAGTCA >mm10_chr15:5108383-5108394(+)::chr15:5108382-5108394(+) ACCAGGAAATGG >mm10_chr15:5116588-5116599(+)::chr15:5116587-5116599(+) CGCCGGAAGTAG >mm10_chr15:5244131-5244142(-)::chr15:5244130-5244142(-) GAGAGGAAGATG >mm10_chr15:5288766-5288777(+)::chr15:5288765-5288777(+) ACACGGAAGTAG >mm10_chr15:5288792-5288803(+)::chr15:5288791-5288803(+) ACACGGAAGTAG >mm10_chr15:5346990-5347001(-)::chr15:5346989-5347001(-) ATAAGGAAAAAA >mm10_chr15:5411094-5411105(-)::chr15:5411093-5411105(-) TACAGGAAATTG >mm10_chr15:5416238-5416249(-)::chr15:5416237-5416249(-) ATGAGGAAATGA >mm10_chr15:5434063-5434074(-)::chr15:5434062-5434074(-) GCCAGGAAGAGG >mm10_chr15:5447845-5447856(-)::chr15:5447844-5447856(-) accaggaagttg >mm10_chr15:5464138-5464149(+)::chr15:5464137-5464149(+) AGCAGGAAGGGA >mm10_chr15:5476115-5476126(-)::chr15:5476114-5476126(-) aggaggaagtac >mm10_chr15:5476968-5476979(-)::chr15:5476967-5476979(-) agaaggaaaaaa >mm10_chr15:5477034-5477045(-)::chr15:5477033-5477045(-) aagaggaagtag >mm10_chr15:5513441-5513452(+)::chr15:5513440-5513452(+) AGCAGGAAGGTA >mm10_chr15:5537481-5537492(-)::chr15:5537480-5537492(-) AGGAGGAAGAGT >mm10_chr15:5537517-5537528(-)::chr15:5537516-5537528(-) AACAGGAAGATC >mm10_chr15:5539500-5539511(+)::chr15:5539499-5539511(+) ATGAGGAAGTGG >mm10_chr15:5555640-5555651(+)::chr15:5555639-5555651(+) tgaaggaagttt >mm10_chr15:5574253-5574264(-)::chr15:5574252-5574264(-) ACAAGGAACTAT >mm10_chr15:5584256-5584267(-)::chr15:5584255-5584267(-) GTAAGGAAGTTA >mm10_chr15:5584281-5584292(+)::chr15:5584280-5584292(+) AAAAGGAACTAG >mm10_chr15:5584311-5584322(-)::chr15:5584310-5584322(-) ACCAGGAAGTGT >mm10_chr15:5759516-5759527(+)::chr15:5759515-5759527(+) ACCAGGAAGGAG >mm10_chr15:5856480-5856491(-)::chr15:5856479-5856491(-) taaaggaagtag >mm10_chr15:5856512-5856523(-)::chr15:5856511-5856523(-) aagaggaagtca >mm10_chr15:5872273-5872284(+)::chr15:5872272-5872284(+) ATGAGGAAGTGT >mm10_chr15:5872285-5872296(-)::chr15:5872284-5872296(-) AGCAGGAAATGG >mm10_chr15:5953283-5953294(+)::chr15:5953282-5953294(+) gagaggaagttg >mm10_chr15:6030592-6030603(+)::chr15:6030591-6030603(+) aagaggaagtca >mm10_chr15:6066831-6066842(+)::chr15:6066830-6066842(+) GATAGGAAGGAA >mm10_chr15:6278731-6278742(-)::chr15:6278730-6278742(-) CGAAGGAAATGA >mm10_chr15:6378016-6378027(+)::chr15:6378015-6378027(+) TCAAGGATGTGG >mm10_chr15:6389614-6389625(+)::chr15:6389613-6389625(+) ACCAGGAAGTTT >mm10_chr15:6389654-6389665(+)::chr15:6389653-6389665(+) ATGAGGAAGTCC >mm10_chr15:6393702-6393713(-)::chr15:6393701-6393713(-) AGAAGGAAATTC >mm10_chr15:6393709-6393720(-)::chr15:6393708-6393720(-) GAGAGGAAGAAG >mm10_chr15:6402920-6402931(-)::chr15:6402919-6402931(-) AGAGGGAAGTGA >mm10_chr15:6404035-6404046(+)::chr15:6404034-6404046(+) TCAAGGAAATAT >mm10_chr15:6406889-6406900(-)::chr15:6406888-6406900(-) GGAAGGAAGAGT >mm10_chr15:6406893-6406904(-)::chr15:6406892-6406904(-) ATAGGGAAGGAA >mm10_chr15:6407559-6407570(-)::chr15:6407558-6407570(-) AGAAGGAAGTGG >mm10_chr15:6518007-6518018(+)::chr15:6518006-6518018(+) CTAAGGAAGAAA >mm10_chr15:6518045-6518056(+)::chr15:6518044-6518056(+) GAGAGGAAGAAC >mm10_chr15:6518074-6518085(+)::chr15:6518073-6518085(+) AAGAGGAAGGTG >mm10_chr15:6519568-6519579(-)::chr15:6519567-6519579(-) TTAAGGAAGTTG >mm10_chr15:6519600-6519611(-)::chr15:6519599-6519611(-) GGCAGGAAGAGG >mm10_chr15:6525522-6525533(+)::chr15:6525521-6525533(+) agcaggaagcaa >mm10_chr15:6555649-6555660(-)::chr15:6555648-6555660(-) AAGAGGAAATAA >mm10_chr15:6565387-6565398(+)::chr15:6565386-6565398(+) AGAAGGAAGGGG >mm10_chr15:6625711-6625722(+)::chr15:6625710-6625722(+) ACCAGGAAGTGG >mm10_chr15:6740936-6740947(-)::chr15:6740935-6740947(-) tggaggaagaca >mm10_chr15:6740960-6740971(-)::chr15:6740959-6740971(-) acaaggaagaca >mm10_chr15:6858870-6858881(+)::chr15:6858869-6858881(+) AAAAGGAAGACC >mm10_chr15:6858884-6858895(+)::chr15:6858883-6858895(+) CCCAGGAAGTCC >mm10_chr15:6858938-6858949(+)::chr15:6858937-6858949(+) GCCAGGAAATGA >mm10_chr15:6874783-6874794(-)::chr15:6874782-6874794(-) TAGAGGAAGCAC >mm10_chr15:6995677-6995688(+)::chr15:6995676-6995688(+) AGGAGGAAGTGA >mm10_chr15:6999703-6999714(-)::chr15:6999702-6999714(-) AGAAGGAAGTTA >mm10_chr15:7014503-7014514(+)::chr15:7014502-7014514(+) CTCAGGAAGTGA >mm10_chr15:7014530-7014541(+)::chr15:7014529-7014541(+) TCCAGGAAGTTC >mm10_chr15:7015394-7015405(+)::chr15:7015393-7015405(+) AAGAGGAAGCAG >mm10_chr15:7015436-7015447(+)::chr15:7015435-7015447(+) CTAAGGAAGAGA >mm10_chr15:7015447-7015458(+)::chr15:7015446-7015458(+) ACAAGGAAGGTT >mm10_chr15:7073420-7073431(+)::chr15:7073419-7073431(+) CTGAGGAAGTAG >mm10_chr15:7085321-7085332(+)::chr15:7085320-7085332(+) TGAAGGAAGAGG >mm10_chr15:7126502-7126513(-)::chr15:7126501-7126513(-) GCAAGGAAGGCA >mm10_chr15:7145000-7145011(-)::chr15:7144999-7145011(-) TACAGGAAATAG >mm10_chr15:7146527-7146538(-)::chr15:7146526-7146538(-) ACAAGGAAATTC >mm10_chr15:7155474-7155485(-)::chr15:7155473-7155485(-) gaaaggaagaga >mm10_chr15:7155484-7155495(-)::chr15:7155483-7155495(-) ATACGGAagaga >mm10_chr15:7172926-7172937(+)::chr15:7172925-7172937(+) TCCAGGAAGGAT >mm10_chr15:7188819-7188830(+)::chr15:7188818-7188830(+) GGAAGGAAGAGG >mm10_chr15:7188825-7188836(+)::chr15:7188824-7188836(+) AAGAGGAAGCAG >mm10_chr15:7188832-7188843(+)::chr15:7188831-7188843(+) AGCAGGAAGGCT >mm10_chr15:7201909-7201920(-)::chr15:7201908-7201920(-) TGGAGGAAGTCT >mm10_chr15:7263987-7263998(-)::chr15:7263986-7263998(-) AGCAGGAAGAGC >mm10_chr15:7418829-7418840(+)::chr15:7418828-7418840(+) gtgaggaagagg >mm10_chr15:7418835-7418846(+)::chr15:7418834-7418846(+) aagaggaagagg >mm10_chr15:7418841-7418852(+)::chr15:7418840-7418852(+) aagaggaagagg >mm10_chr15:7418847-7418858(+)::chr15:7418846-7418858(+) aagaggaagaAA >mm10_chr15:7418861-7418872(-)::chr15:7418860-7418872(-) tagaggAAGGAT >mm10_chr15:7460726-7460737(+)::chr15:7460725-7460737(+) AGAAGGAAGAAG >mm10_chr15:7460802-7460813(+)::chr15:7460801-7460813(+) AGGAGGAAGGAG >mm10_chr15:7670119-7670130(-)::chr15:7670118-7670130(-) TAAAGGAAGTAC >mm10_chr15:7682930-7682941(+)::chr15:7682929-7682941(+) ACCAGGAAGTAA >mm10_chr15:7713320-7713331(-)::chr15:7713319-7713331(-) GAGAGGAAGTTC >mm10_chr15:7719950-7719961(-)::chr15:7719949-7719961(-) agcaggaagcat >mm10_chr15:7725109-7725120(+)::chr15:7725108-7725120(+) AGGAGGAAGGAG >mm10_chr15:7725751-7725762(-)::chr15:7725750-7725762(-) TGGAGGAAGAGA >mm10_chr15:7726064-7726075(+)::chr15:7726063-7726075(+) TCCAGGAAGAGG >mm10_chr15:7811909-7811920(+)::chr15:7811908-7811920(+) AGTAGGAAGGCA >mm10_chr15:7835971-7835982(-)::chr15:7835970-7835982(-) TAAAGTAAGTGA >mm10_chr15:7835982-7835993(+)::chr15:7835981-7835993(+) ATAAGGCAGTCC >mm10_chr15:7896432-7896443(-)::chr15:7896431-7896443(-) TGAGGGAAGTTT >mm10_chr15:7896491-7896502(+)::chr15:7896490-7896502(+) AGAAGAAAGTGT >mm10_chr15:7969469-7969480(+)::chr15:7969468-7969480(+) atcaggaaataa >mm10_chr15:7992441-7992452(+)::chr15:7992440-7992452(+) AGAAGGAAGACA >mm10_chr15:8099200-8099211(+)::chr15:8099199-8099211(+) CGACGGAAGTGT >mm10_chr15:8109251-8109262(-)::chr15:8109250-8109262(-) AAGAGGAAGCAG >mm10_chr15:8109303-8109314(+)::chr15:8109302-8109314(+) AACCGGAAGTGC >mm10_chr15:8298950-8298961(-)::chr15:8298949-8298961(-) ATAAGGAAGTGA >mm10_chr15:8387266-8387277(+)::chr15:8387265-8387277(+) ccaaggaaggag >mm10_chr15:8387273-8387284(+)::chr15:8387272-8387284(+) aggaggaaggcc >mm10_chr15:8413561-8413572(-)::chr15:8413560-8413572(-) atcaggaagcag >mm10_chr15:8444635-8444646(+)::chr15:8444634-8444646(+) GACCGGAAGTGC >mm10_chr15:8447265-8447276(-)::chr15:8447264-8447276(-) ATGAGGAAGATT >mm10_chr15:8447319-8447330(-)::chr15:8447318-8447330(-) TCAAGGAAGTTT >mm10_chr15:8451270-8451281(-)::chr15:8451269-8451281(-) AACAGGAAGTCA >mm10_chr15:8467606-8467617(-)::chr15:8467605-8467617(-) ggaaggaagaag >mm10_chr15:8467610-8467621(-)::chr15:8467609-8467621(-) aggaggaaggaa >mm10_chr15:8467617-8467628(-)::chr15:8467616-8467628(-) ggaaggaaggag >mm10_chr15:8467629-8467640(-)::chr15:8467628-8467640(-) ggaaggaaggag >mm10_chr15:8467633-8467644(-)::chr15:8467632-8467644(-) ggaaggaaggaa >mm10_chr15:8467637-8467648(-)::chr15:8467636-8467648(-) aaaaggaaggaa >mm10_chr15:8467650-8467661(-)::chr15:8467649-8467661(-) aagaggaagagg >mm10_chr15:8569327-8569338(+)::chr15:8569326-8569338(+) ACCAGGAAGCAA >mm10_chr15:8589841-8589852(+)::chr15:8589840-8589852(+) TGAAGGAAGTGA >mm10_chr15:8642975-8642986(+)::chr15:8642974-8642986(+) ACCAGGAAGTAG >mm10_chr15:8663814-8663825(-)::chr15:8663813-8663825(-) agtaggaagtga >mm10_chr15:8666408-8666419(+)::chr15:8666407-8666419(+) ACAGGGAAGTAA >mm10_chr15:8666417-8666428(+)::chr15:8666416-8666428(+) TAAAGGAAATGC >mm10_chr15:8672581-8672592(+)::chr15:8672580-8672592(+) ACCAGGAAGGAG >mm10_chr15:8683149-8683160(+)::chr15:8683148-8683160(+) TGGAGGAAGAGA >mm10_chr15:8698196-8698207(-)::chr15:8698195-8698207(-) AACAGGAAATAC >mm10_chr15:8710357-8710368(+)::chr15:8710356-8710368(+) GGGAGGAAGACA >mm10_chr15:8749376-8749387(+)::chr15:8749375-8749387(+) aagaggaagttg >mm10_chr15:8842236-8842247(-)::chr15:8842235-8842247(-) accaggaaggaa >mm10_chr15:8849576-8849587(-)::chr15:8849575-8849587(-) ACAAGGAAGAGT >mm10_chr15:8851328-8851339(-)::chr15:8851327-8851339(-) gcaaggaagcaa >mm10_chr15:8882930-8882941(-)::chr15:8882929-8882941(-) TTCAGGAAATAA >mm10_chr15:9070491-9070502(-)::chr15:9070490-9070502(-) CAAGGGAAGTTA >mm10_chr15:9127254-9127265(-)::chr15:9127253-9127265(-) AGCAGGAAATAA >mm10_chr15:9127268-9127279(-)::chr15:9127267-9127279(-) GGAAGGCAGTGA >mm10_chr15:9127272-9127283(-)::chr15:9127271-9127283(-) ACCAGGAAGGCA >mm10_chr15:9131706-9131717(+)::chr15:9131705-9131717(+) ATGAGGAAGTTC >mm10_chr15:9131770-9131781(+)::chr15:9131769-9131781(+) AGGAGGAAGTGT >mm10_chr15:9140539-9140550(+)::chr15:9140538-9140550(+) AGGCGGAAGTGG >mm10_chr15:9153149-9153160(-)::chr15:9153148-9153160(-) TGCAGGAAATGA >mm10_chr15:9435779-9435790(-)::chr15:9435778-9435790(-) AAAAGGAAATGC >mm10_chr15:9435845-9435856(-)::chr15:9435844-9435856(-) AGGCGGAAGTTC >mm10_chr15:9931997-9932008(-)::chr15:9931996-9932008(-) atagggaagtca >mm10_chr15:9932014-9932025(-)::chr15:9932013-9932025(-) ataaggaacaac >mm10_chr15:9956898-9956909(+)::chr15:9956897-9956909(+) AGAAGGAACTAT >mm10_chr15:9956943-9956954(+)::chr15:9956942-9956954(+) acggggaagacg >mm10_chr15:9958965-9958976(+)::chr15:9958964-9958976(+) AACAGGAAGAGT >mm10_chr15:9958998-9959009(-)::chr15:9958997-9959009(-) ACAAGGAAATGT >mm10_chr15:10140148-10140159(+)::chr15:10140147-10140159(+) ataagcaagttc >mm10_chr15:10146925-10146936(-)::chr15:10146924-10146936(-) AGAAGGAAAACA >mm10_chr15:10146940-10146951(+)::chr15:10146939-10146951(+) TAAAGGAAGTGG >mm10_chr15:10176001-10176012(+)::chr15:10176000-10176012(+) ACAAGGAAATCG >mm10_chr15:10210574-10210585(-)::chr15:10210573-10210585(-) ACAAGGAAATAG >mm10_chr15:10236170-10236181(+)::chr15:10236169-10236181(+) AGCAGGAAGCAC >mm10_chr15:10236192-10236203(+)::chr15:10236191-10236203(+) ACCAGGAAGGGC >mm10_chr15:10299789-10299800(+)::chr15:10299788-10299800(+) ACAAGGAAGTTT >mm10_chr15:10390033-10390044(-)::chr15:10390032-10390044(-) GGGAGGAAGACC >mm10_chr15:10390041-10390052(-)::chr15:10390040-10390052(-) ATGAGGAAGGGA >mm10_chr15:10413938-10413949(-)::chr15:10413937-10413949(-) AGTAGGAAGGAA >mm10_chr15:10452285-10452296(-)::chr15:10452284-10452296(-) AGGAGGAAGAAC >mm10_chr15:10462503-10462514(+)::chr15:10462502-10462514(+) ACCAGGAAGTCT >mm10_chr15:10470766-10470777(+)::chr15:10470765-10470777(+) ATAAGGAAGTAG >mm10_chr15:10563788-10563799(+)::chr15:10563787-10563799(+) agaaggaaagag >mm10_chr15:10578790-10578801(-)::chr15:10578789-10578801(-) GGGAGGAAGTCA >mm10_chr15:10673137-10673148(+)::chr15:10673136-10673148(+) ATAAGGAAGTGG >mm10_chr15:10683328-10683339(+)::chr15:10683327-10683339(+) TCTAGGAAGTTG >mm10_chr15:10721161-10721172(+)::chr15:10721160-10721172(+) AGAAGGAAGCAC >mm10_chr15:10721217-10721228(+)::chr15:10721216-10721228(+) GCCAGGAAGATG >mm10_chr15:10723606-10723617(+)::chr15:10723605-10723617(+) gagaggaagaga >mm10_chr15:10723659-10723670(-)::chr15:10723658-10723670(-) tggaggaagtat >mm10_chr15:10818405-10818416(-)::chr15:10818404-10818416(-) AAATGGAAGTGT >mm10_chr15:10873746-10873757(-)::chr15:10873745-10873757(-) AGGAGGAAGTCC >mm10_chr15:10972277-10972288(+)::chr15:10972276-10972288(+) aggaggaagggg >mm10_chr15:10972297-10972308(+)::chr15:10972296-10972308(+) aggaggaaggat >mm10_chr15:10972317-10972328(+)::chr15:10972316-10972328(+) agaaggaaggga >mm10_chr15:10972341-10972352(+)::chr15:10972340-10972352(+) tagaggaaggaa >mm10_chr15:10981687-10981698(+)::chr15:10981686-10981698(+) GGCAGGAAGTGC >mm10_chr15:11003202-11003213(+)::chr15:11003201-11003213(+) AAAAGGAAGTTT >mm10_chr15:11044887-11044898(-)::chr15:11044886-11044898(-) agaaggaaacac >mm10_chr15:11255152-11255163(-)::chr15:11255151-11255163(-) AATAGGAAGCAG >mm10_chr15:11523975-11523986(-)::chr15:11523974-11523986(-) AAAAGGAAATGA >mm10_chr15:11565976-11565987(+)::chr15:11565975-11565987(+) TGAAGGAAATCC >mm10_chr15:11566031-11566042(+)::chr15:11566030-11566042(+) GAGAGGAAGTGA >mm10_chr15:11713452-11713463(-)::chr15:11713451-11713463(-) AAGAGGAAATAA >mm10_chr15:11745700-11745711(-)::chr15:11745699-11745711(-) AGAAGGAAGTTT >mm10_chr15:11746149-11746160(+)::chr15:11746148-11746160(+) TACAGGAAGGCA >mm10_chr15:11802505-11802516(+)::chr15:11802504-11802516(+) AGCAGGAAGACA >mm10_chr15:11803779-11803790(-)::chr15:11803778-11803790(-) GGAAGGAAGAGA >mm10_chr15:11803783-11803794(-)::chr15:11803782-11803794(-) GCCAGGAAGGAA >mm10_chr15:11841997-11842008(+)::chr15:11841996-11842008(+) AAAAGGAAGTGG >mm10_chr15:11877437-11877448(+)::chr15:11877436-11877448(+) AATAGGAAGAAT >mm10_chr15:11972427-11972438(-)::chr15:11972426-11972438(-) TTGAGGAAGCGT >mm10_chr15:12010369-12010380(-)::chr15:12010368-12010380(-) AGGAGGAAATGC >mm10_chr15:12096545-12096556(+)::chr15:12096544-12096556(+) AGCAGGAAGAGG >mm10_chr15:12103967-12103978(+)::chr15:12103966-12103978(+) AAGAGGAAGGAG >mm10_chr15:12104692-12104703(+)::chr15:12104691-12104703(+) gccaggaagcaa >mm10_chr15:12104710-12104721(+)::chr15:12104709-12104721(+) caaaggaagaaa >mm10_chr15:12111529-12111540(-)::chr15:12111528-12111540(-) ATAAGGAAATAC >mm10_chr15:12195467-12195478(+)::chr15:12195466-12195478(+) TTAAGGAAGCAA >mm10_chr15:12243866-12243877(+)::chr15:12243865-12243877(+) TCCAGGAAGTTT >mm10_chr15:12261998-12262009(-)::chr15:12261997-12262009(-) TGAAGGAAACGG >mm10_chr15:12269932-12269943(-)::chr15:12269931-12269943(-) ACAAGGAAGACA >mm10_chr15:12285450-12285461(-)::chr15:12285449-12285461(-) GACAGGAAATGG >mm10_chr15:12298514-12298525(-)::chr15:12298513-12298525(-) aataggaagtct >mm10_chr15:12304150-12304161(-)::chr15:12304149-12304161(-) agaaggaaggga >mm10_chr15:12304162-12304173(-)::chr15:12304161-12304173(-) agaaggaaggga >mm10_chr15:12304174-12304185(-)::chr15:12304173-12304185(-) aggaggaaggga >mm10_chr15:12304188-12304199(-)::chr15:12304187-12304199(-) ggaaggaaggag >mm10_chr15:12304192-12304203(-)::chr15:12304191-12304203(-) gagaggaaggaa >mm10_chr15:12304205-12304216(-)::chr15:12304204-12304216(-) TGCaggaagaaa >mm10_chr15:12306856-12306867(+)::chr15:12306855-12306867(+) ACCAGGAAGACA >mm10_chr15:12306871-12306882(+)::chr15:12306870-12306882(+) GTCAGGAAGAGA >mm10_chr15:12344880-12344891(+)::chr15:12344879-12344891(+) AGGAGGAAGTAG >mm10_chr15:12344933-12344944(+)::chr15:12344932-12344944(+) AGAAGGAAATGT >mm10_chr15:12356082-12356093(-)::chr15:12356081-12356093(-) AGCAGGAAGTGT >mm10_chr15:12366280-12366291(-)::chr15:12366279-12366291(-) GGAAGGAAGCCC >mm10_chr15:12366284-12366295(-)::chr15:12366283-12366295(-) GGAAGGAAGGAA >mm10_chr15:12366288-12366299(-)::chr15:12366287-12366299(-) ACAAGGAAGGAA >mm10_chr15:12368013-12368024(+)::chr15:12368012-12368024(+) AGAAGGAAGTCC >mm10_chr15:12438746-12438757(-)::chr15:12438745-12438757(-) ataaggatgtgt >mm10_chr15:12521279-12521290(+)::chr15:12521278-12521290(+) agaaggaagagg >mm10_chr15:12521285-12521296(+)::chr15:12521284-12521296(+) aagaggaagagg >mm10_chr15:12521339-12521350(+)::chr15:12521338-12521350(+) aggaggaagaga >mm10_chr15:12521471-12521482(+)::chr15:12521470-12521482(+) aggaggaagagt >mm10_chr15:12521482-12521493(+)::chr15:12521481-12521493(+) tagaggaagggg >mm10_chr15:12521498-12521509(+)::chr15:12521497-12521509(+) aggaggaagagg >mm10_chr15:12521505-12521516(+)::chr15:12521504-12521516(+) agagggaaggga >mm10_chr15:12521548-12521559(+)::chr15:12521547-12521559(+) aggaggaagata >mm10_chr15:12577401-12577412(+)::chr15:12577400-12577412(+) ACGAGGAAATAT >mm10_chr15:12592915-12592926(+)::chr15:12592914-12592926(+) AAGAGGAAGAGG >mm10_chr15:12824728-12824739(-)::chr15:12824727-12824739(-) TGACGGACGTAT >mm10_chr15:13569837-13569848(+)::chr15:13569836-13569848(+) TCGAGGAAGAGG >mm10_chr15:13569843-13569854(+)::chr15:13569842-13569854(+) AAGAGGAAGGAA >mm10_chr15:15073091-15073102(+)::chr15:15073090-15073102(+) acaaggaagccc >mm10_chr15:15153839-15153850(+)::chr15:15153838-15153850(+) TTGAGGAAGTCA >mm10_chr15:15519121-15519132(-)::chr15:15519120-15519132(-) AAAAGGAAATAT >mm10_chr15:17135831-17135842(+)::chr15:17135830-17135842(+) gacaggaagcac >mm10_chr15:17837018-17837029(-)::chr15:17837017-17837029(-) ACAAGGAAATTA >mm10_chr15:17837058-17837069(+)::chr15:17837057-17837069(+) AACAGGAAATGC >mm10_chr15:18802539-18802550(-)::chr15:18802538-18802550(-) acaaggatatat >mm10_chr15:19129545-19129556(+)::chr15:19129544-19129556(+) AGCAGGAAGCTC >mm10_chr15:19312495-19312506(+)::chr15:19312494-19312506(+) acaaagaagtga >mm10_chr15:21258453-21258464(-)::chr15:21258452-21258464(-) agaaggaagcat >mm10_chr15:21258471-21258482(-)::chr15:21258470-21258482(-) tgaaggtagtta >mm10_chr15:21898464-21898475(-)::chr15:21898463-21898475(-) ggtaggaagtcc >mm10_chr15:22206892-22206903(+)::chr15:22206891-22206903(+) agaaggaaggtc >mm10_chr15:22898385-22898396(+)::chr15:22898384-22898396(+) TTGAGGAAGTGG >mm10_chr15:23099567-23099578(+)::chr15:23099566-23099578(+) ggcaggaagagc >mm10_chr15:23099627-23099638(-)::chr15:23099626-23099638(-) tgaagaaagtag >mm10_chr15:23632411-23632422(+)::chr15:23632410-23632422(+) TGTAGGAAGGGA >mm10_chr15:23702820-23702831(+)::chr15:23702819-23702831(+) aaaaggaacata >mm10_chr15:23792888-23792899(-)::chr15:23792887-23792899(-) gtgaggaagaat >mm10_chr15:24451096-24451107(-)::chr15:24451095-24451107(-) ggaAGGAAGGCA >mm10_chr15:24451100-24451111(-)::chr15:24451099-24451111(-) gaaaggaAGGAA >mm10_chr15:24771817-24771828(+)::chr15:24771816-24771828(+) ccaaggaaggga >mm10_chr15:24853809-24853820(-)::chr15:24853808-24853820(-) CCAAGGAACTAT >mm10_chr15:25048064-25048075(-)::chr15:25048063-25048075(-) tggaggaaatgg >mm10_chr15:25135650-25135661(+)::chr15:25135649-25135661(+) TAGAGGAAATGA >mm10_chr15:25135658-25135669(+)::chr15:25135657-25135669(+) ATGAGGAAGTTG >mm10_chr15:25170098-25170109(-)::chr15:25170097-25170109(-) agaaggaagctt >mm10_chr15:25267133-25267144(+)::chr15:25267132-25267144(+) AAAGGGAAGTTA >mm10_chr15:25268567-25268578(-)::chr15:25268566-25268578(-) AAGAGGAAGTAG >mm10_chr15:25269822-25269833(-)::chr15:25269821-25269833(-) AGGCGGAAGTAA >mm10_chr15:25269865-25269876(+)::chr15:25269864-25269876(+) AACAGGAAGAGT >mm10_chr15:25322767-25322778(+)::chr15:25322766-25322778(+) AGAAGGAAATGA >mm10_chr15:25365612-25365623(-)::chr15:25365611-25365623(-) AAGAGGAAGCAG >mm10_chr15:25377624-25377635(-)::chr15:25377623-25377635(-) ACAAGGAAGAGA >mm10_chr15:25420472-25420483(-)::chr15:25420471-25420483(-) ACCAGGAAATCC >mm10_chr15:25447801-25447812(-)::chr15:25447800-25447812(-) aggaggaagagg >mm10_chr15:25447835-25447846(-)::chr15:25447834-25447846(-) agaaggaagagg >mm10_chr15:25502649-25502660(-)::chr15:25502648-25502660(-) AGGAGGAAGGAC >mm10_chr15:25524399-25524410(-)::chr15:25524398-25524410(-) AGAAGGAAGCCA >mm10_chr15:25525540-25525551(+)::chr15:25525539-25525551(+) GGAAGGAAGCCT >mm10_chr15:25546387-25546398(+)::chr15:25546386-25546398(+) TGGAGGAAGGAA >mm10_chr15:25546391-25546402(+)::chr15:25546390-25546402(+) GGAAGGAAGTGT >mm10_chr15:25556313-25556324(+)::chr15:25556312-25556324(+) ACACGGAAGCAT >mm10_chr15:25587086-25587097(+)::chr15:25587085-25587097(+) ACCAGGAAGCAA >mm10_chr15:25615204-25615215(+)::chr15:25615203-25615215(+) ACAAGGAAGTTG >mm10_chr15:25622962-25622973(-)::chr15:25622961-25622973(-) TCGCGGAAGTCA >mm10_chr15:25634268-25634279(-)::chr15:25634267-25634279(-) GGAAGGAAGCAG >mm10_chr15:25637915-25637926(-)::chr15:25637914-25637926(-) AGAAGGAAGCAG >mm10_chr15:25644353-25644364(-)::chr15:25644352-25644364(-) GGAAGGAAGTGT >mm10_chr15:25644364-25644375(-)::chr15:25644363-25644375(-) TGGAGGAAGAGG >mm10_chr15:25676304-25676315(+)::chr15:25676303-25676315(+) AGCAGGAAGCCC >mm10_chr15:25707599-25707610(-)::chr15:25707598-25707610(-) ACCAGGAAGGGC >mm10_chr15:25723989-25724000(+)::chr15:25723988-25724000(+) GGGAGGAAGAAT >mm10_chr15:25764876-25764887(+)::chr15:25764875-25764887(+) GGAAGGAAGTCT >mm10_chr15:25764912-25764923(+)::chr15:25764911-25764923(+) AGAAGGAAGCTG >mm10_chr15:25767846-25767857(-)::chr15:25767845-25767857(-) AACAGGAAGCAA >mm10_chr15:25779777-25779788(+)::chr15:25779776-25779788(+) gggaggaagaga >mm10_chr15:25779795-25779806(+)::chr15:25779794-25779806(+) gagaggaagagg >mm10_chr15:25785875-25785886(+)::chr15:25785874-25785886(+) AGCAGGAAGCCC >mm10_chr15:25789610-25789621(-)::chr15:25789609-25789621(-) tgcaggaagata >mm10_chr15:25809113-25809124(+)::chr15:25809112-25809124(+) GGAAGGAAGTTT >mm10_chr15:25830639-25830650(+)::chr15:25830638-25830650(+) AACAGGAAGTAA >mm10_chr15:25843792-25843803(-)::chr15:25843791-25843803(-) ACAGGGAAGTGC >mm10_chr15:25871565-25871576(+)::chr15:25871564-25871576(+) ACAGGGAAATAA >mm10_chr15:25877139-25877150(-)::chr15:25877138-25877150(-) TGAAGGAAGAAC >mm10_chr15:25877170-25877181(-)::chr15:25877169-25877181(-) ACCAGGAAATGC >mm10_chr15:25889516-25889527(-)::chr15:25889515-25889527(-) TGACGGAAATGA >mm10_chr15:25889558-25889569(+)::chr15:25889557-25889569(+) TCAAGGAAATGG >mm10_chr15:25893293-25893304(-)::chr15:25893292-25893304(-) AGGAGGAAGATG >mm10_chr15:25893910-25893921(-)::chr15:25893909-25893921(-) aggaggaagggg >mm10_chr15:25899215-25899226(-)::chr15:25899214-25899226(-) GAGAGGAAGAAA >mm10_chr15:25905380-25905391(-)::chr15:25905379-25905391(-) GTAAGGAAGGAC >mm10_chr15:25905414-25905425(-)::chr15:25905413-25905425(-) ACAAGGAAAACG >mm10_chr15:25933642-25933653(-)::chr15:25933641-25933653(-) AGCAGGAAGAAA >mm10_chr15:25949600-25949611(+)::chr15:25949599-25949611(+) ACAAGGAAGGGA >mm10_chr15:25951364-25951375(-)::chr15:25951363-25951375(-) ACCAGGAAGATA >mm10_chr15:25956040-25956051(+)::chr15:25956039-25956051(+) ACAGGGAAGTGA >mm10_chr15:25991553-25991564(-)::chr15:25991552-25991564(-) AGAAGGAAGTAT >mm10_chr15:26582734-26582745(+)::chr15:26582733-26582745(+) tagaggaagaga >mm10_chr15:26583530-26583541(-)::chr15:26583529-26583541(-) AGGAGGAAGCCA >mm10_chr15:26583550-26583561(-)::chr15:26583549-26583561(-) AGGAGGAAGAAC >mm10_chr15:26583567-26583578(+)::chr15:26583566-26583578(+) ACCaggaagaag >mm10_chr15:26590311-26590322(-)::chr15:26590310-26590322(-) TGGAGGAAATAT >mm10_chr15:26597080-26597091(-)::chr15:26597079-26597091(-) AACAGGAAATCA >mm10_chr15:26608429-26608440(+)::chr15:26608428-26608440(+) ACCAGGAAATCA >mm10_chr15:26673014-26673025(-)::chr15:26673013-26673025(-) ACAAGGAAGGGG >mm10_chr15:26834625-26834636(-)::chr15:26834624-26834636(-) tgcaggaagtca >mm10_chr15:26862213-26862224(+)::chr15:26862212-26862224(+) ACAAGGAAGTAA >mm10_chr15:26862299-26862310(+)::chr15:26862298-26862310(+) TCAAGGAAGATT >mm10_chr15:26868933-26868944(-)::chr15:26868932-26868944(-) AGAAGGAAGAGG >mm10_chr15:27137476-27137487(-)::chr15:27137475-27137487(-) TGAAGGAAGAAC >mm10_chr15:27188836-27188847(-)::chr15:27188835-27188847(-) ATCAGGAAATAT >mm10_chr15:27188874-27188885(-)::chr15:27188873-27188885(-) AGAATGAAGTAC >mm10_chr15:27341920-27341931(-)::chr15:27341919-27341931(-) GAAAGGAAGAGT >mm10_chr15:27585949-27585960(-)::chr15:27585948-27585960(-) ggaaggaagtgc >mm10_chr15:27585953-27585964(-)::chr15:27585952-27585964(-) ggaaggaaggaa >mm10_chr15:27585957-27585968(-)::chr15:27585956-27585968(-) ggaaggaaggaa >mm10_chr15:27616280-27616291(-)::chr15:27616279-27616291(-) TTCAGGAAGTTC >mm10_chr15:27624261-27624272(+)::chr15:27624260-27624272(+) AGACGGAAGAAA >mm10_chr15:27630688-27630699(-)::chr15:27630687-27630699(-) TTACGGAAGCGC >mm10_chr15:27665836-27665847(+)::chr15:27665835-27665847(+) ATGAGGAAGAGG >mm10_chr15:27863008-27863019(-)::chr15:27863007-27863019(-) ATGAGGAAGAGG >mm10_chr15:27863043-27863054(+)::chr15:27863042-27863054(+) ACACGGAAGGAA >mm10_chr15:27941221-27941232(-)::chr15:27941220-27941232(-) ATCGGGAAGTGA >mm10_chr15:27941240-27941251(+)::chr15:27941239-27941251(+) AAAAGGAAATGG >mm10_chr15:27974290-27974301(-)::chr15:27974289-27974301(-) TGGAGGAAGGGA >mm10_chr15:27974376-27974387(+)::chr15:27974375-27974387(+) AAAAGGAAGACT >mm10_chr15:28020798-28020809(-)::chr15:28020797-28020809(-) TGAAGGAAGAAA >mm10_chr15:28022447-28022458(+)::chr15:28022446-28022458(+) ACAAGGAACTTC >mm10_chr15:28022452-28022463(-)::chr15:28022451-28022463(-) TAAAGGAAGTTC >mm10_chr15:28076822-28076833(+)::chr15:28076821-28076833(+) tacaggaagaat >mm10_chr15:28243742-28243753(-)::chr15:28243741-28243753(-) AGgaggaaatgt >mm10_chr15:28243779-28243790(-)::chr15:28243778-28243790(-) AGAAGGAAGCAG >mm10_chr15:28309435-28309446(+)::chr15:28309434-28309446(+) GGAAGGAAGCAA >mm10_chr15:28654112-28654123(+)::chr15:28654111-28654123(+) ACAAGGAAGCAG >mm10_chr15:30284989-30285000(+)::chr15:30284988-30285000(+) ATGAGGAAGTGC >mm10_chr15:30285264-30285275(+)::chr15:30285263-30285275(+) AGAAGGATATAG >mm10_chr15:30285809-30285820(-)::chr15:30285808-30285820(-) CCAAGGAAGGGG >mm10_chr15:30342133-30342144(-)::chr15:30342132-30342144(-) GTAAGGAAGGAC >mm10_chr15:30381678-30381689(-)::chr15:30381677-30381689(-) ATCAGGAAGTCC >mm10_chr15:30515648-30515659(-)::chr15:30515647-30515659(-) AAAAGGAAATCC >mm10_chr15:30520198-30520209(-)::chr15:30520197-30520209(-) ggaaggaagtcc >mm10_chr15:30520217-30520228(+)::chr15:30520216-30520228(+) aaatggaagtgt >mm10_chr15:30520236-30520247(-)::chr15:30520235-30520247(-) TAaaggaagggc >mm10_chr15:30520952-30520963(-)::chr15:30520951-30520963(-) TTAAGGATGTGA >mm10_chr15:30520987-30520998(+)::chr15:30520986-30520998(+) ACAAGGAAATGG >mm10_chr15:30556804-30556815(-)::chr15:30556803-30556815(-) ACGAGGAAGAGG >mm10_chr15:30556841-30556852(-)::chr15:30556840-30556852(-) ATAGGGAAGAAG >mm10_chr15:30700997-30701008(+)::chr15:30700996-30701008(+) GGAAGGAAGCAG >mm10_chr15:30702128-30702139(-)::chr15:30702127-30702139(-) TTAAGGAAGTCT >mm10_chr15:30718944-30718955(-)::chr15:30718943-30718955(-) ACAGGGAAGTTA >mm10_chr15:30807892-30807903(-)::chr15:30807891-30807903(-) ACAAGGAAATGA >mm10_chr15:30807904-30807915(-)::chr15:30807903-30807915(-) TGAAGGAAGGAA >mm10_chr15:30807930-30807941(-)::chr15:30807929-30807941(-) ACAAGGAAGAGA >mm10_chr15:30809202-30809213(+)::chr15:30809201-30809213(+) ACCAGGAAGGCT >mm10_chr15:30816166-30816177(+)::chr15:30816165-30816177(+) AACAGGAAGTTG >mm10_chr15:30816189-30816200(-)::chr15:30816188-30816200(-) AACAGGAAGACC >mm10_chr15:30986969-30986980(-)::chr15:30986968-30986980(-) acaaggaaaagg >mm10_chr15:30986986-30986997(-)::chr15:30986985-30986997(-) acagggaagtag >mm10_chr15:30992504-30992515(-)::chr15:30992503-30992515(-) GGCAGGAAATGC >mm10_chr15:31195841-31195852(-)::chr15:31195840-31195852(-) ATAAGGAAGCAG >mm10_chr15:31222548-31222559(+)::chr15:31222547-31222559(+) AGTAGGAAATGT >mm10_chr15:31238064-31238075(-)::chr15:31238063-31238075(-) TCCAGGAAGTGC >mm10_chr15:31238107-31238118(-)::chr15:31238106-31238118(-) AGAAGGAAGCCA >mm10_chr15:31238114-31238125(-)::chr15:31238113-31238125(-) AAGAGGAAGAAG >mm10_chr15:31279666-31279677(+)::chr15:31279665-31279677(+) ACCAGGAAGCGG >mm10_chr15:31302810-31302821(-)::chr15:31302809-31302821(-) GGCAGGAAGGGC >mm10_chr15:31336884-31336895(+)::chr15:31336883-31336895(+) CCCAGGAAGTAA >mm10_chr15:31341195-31341206(-)::chr15:31341194-31341206(-) GCAAGGAAGAAA >mm10_chr15:31349224-31349235(+)::chr15:31349223-31349235(+) ATCAGGAACTAT >mm10_chr15:31350011-31350022(-)::chr15:31350010-31350022(-) GAAAGGAAACGT >mm10_chr15:31350036-31350047(-)::chr15:31350035-31350047(-) ATGAGGAAGTTT >mm10_chr15:31350260-31350271(-)::chr15:31350259-31350271(-) GGAAGGAAGCCA >mm10_chr15:31350264-31350275(-)::chr15:31350263-31350275(-) ATGAGGAAGGAA >mm10_chr15:31373649-31373660(-)::chr15:31373648-31373660(-) CTAAGGAAGGAC >mm10_chr15:31413079-31413090(-)::chr15:31413078-31413090(-) aggaggaagTTG >mm10_chr15:31413111-31413122(-)::chr15:31413110-31413122(-) aggaggaagaag >mm10_chr15:31418193-31418204(-)::chr15:31418192-31418204(-) AAAGGGAAGTGT >mm10_chr15:31418577-31418588(-)::chr15:31418576-31418588(-) AGAAGGAAGTGA >mm10_chr15:31454715-31454726(+)::chr15:31454714-31454726(+) ATACGGAAGTGT >mm10_chr15:31454749-31454760(+)::chr15:31454748-31454760(+) GCAAGGAAATGG >mm10_chr15:31466045-31466056(-)::chr15:31466044-31466056(-) GCCAGGAAGTAG >mm10_chr15:31523437-31523448(-)::chr15:31523436-31523448(-) agaaggaactgt >mm10_chr15:31532204-31532215(+)::chr15:31532203-31532215(+) aggaggaagcag >mm10_chr15:31541971-31541982(+)::chr15:31541970-31541982(+) AGTAGGAAGGAT >mm10_chr15:31542046-31542057(+)::chr15:31542045-31542057(+) GACAGGAAGGTG >mm10_chr15:31572470-31572481(+)::chr15:31572469-31572481(+) tgagggaagtca >mm10_chr15:31572527-31572538(+)::chr15:31572526-31572538(+) aagcggaagttg >mm10_chr15:31602046-31602057(-)::chr15:31602045-31602057(-) TTAAGGAAATAA >mm10_chr15:31602110-31602121(-)::chr15:31602109-31602121(-) GACCGGAAGTGG >mm10_chr15:31631959-31631970(-)::chr15:31631958-31631970(-) aggaggaagagg >mm10_chr15:31631968-31631979(-)::chr15:31631967-31631979(-) agaaggaagagg >mm10_chr15:31631980-31631991(-)::chr15:31631979-31631991(-) aagaggaagagg >mm10_chr15:31631986-31631997(-)::chr15:31631985-31631997(-) agaaggaagagg >mm10_chr15:31632812-31632823(-)::chr15:31632811-31632823(-) gtcaggaagagg >mm10_chr15:31648355-31648366(-)::chr15:31648354-31648366(-) aacaggaagtag >mm10_chr15:31648368-31648379(+)::chr15:31648367-31648379(+) acgaggaaggat >mm10_chr15:31743594-31743605(+)::chr15:31743593-31743605(+) AAAAGGAAGGGG >mm10_chr15:31949994-31950005(-)::chr15:31949993-31950005(-) AGCAGGAAGACA >mm10_chr15:31987519-31987530(-)::chr15:31987518-31987530(-) TGCAGGAAGAAA >mm10_chr15:31987531-31987542(+)::chr15:31987530-31987542(+) AGAAGGAAGCCA >mm10_chr15:31987884-31987895(+)::chr15:31987883-31987895(+) GGACGGAAGCAG >mm10_chr15:31987900-31987911(-)::chr15:31987899-31987911(-) AGGAGGAAGCTG >mm10_chr15:32024918-32024929(-)::chr15:32024917-32024929(-) AAAAGGAAATCC >mm10_chr15:32144724-32144735(-)::chr15:32144723-32144735(-) ACAAGGAAGAAA >mm10_chr15:32144761-32144772(-)::chr15:32144760-32144772(-) GGAAGGAAGCAA >mm10_chr15:32144765-32144776(-)::chr15:32144764-32144776(-) TACAGGAAGGAA >mm10_chr15:32205147-32205158(-)::chr15:32205146-32205158(-) GGAAGGAACTAG >mm10_chr15:32270254-32270265(-)::chr15:32270253-32270265(-) GCAAGGAAGCCA >mm10_chr15:32270282-32270293(+)::chr15:32270281-32270293(+) AGAATGAAGTTC >mm10_chr15:32329489-32329500(-)::chr15:32329488-32329500(-) CAAAGGAAGAGA >mm10_chr15:32487168-32487179(-)::chr15:32487167-32487179(-) agcaggaattaa >mm10_chr15:32496916-32496927(-)::chr15:32496915-32496927(-) ACAAGGAAGATA >mm10_chr15:32497161-32497172(-)::chr15:32497160-32497172(-) AGCAGGAAGTGG >mm10_chr15:32562868-32562879(+)::chr15:32562867-32562879(+) TAGAGGAAGTCT >mm10_chr15:32563058-32563069(-)::chr15:32563057-32563069(-) ATCAGGAAGGTG >mm10_chr15:32563091-32563102(+)::chr15:32563090-32563102(+) TATAGGAAGTGC >mm10_chr15:32576886-32576897(-)::chr15:32576885-32576897(-) aaaaggaagagg >mm10_chr15:32576898-32576909(-)::chr15:32576897-32576909(-) atgaggaagaag >mm10_chr15:32576911-32576922(-)::chr15:32576910-32576922(-) agtaggaaggag >mm10_chr15:32576927-32576938(-)::chr15:32576926-32576938(-) AACAGGAaggag >mm10_chr15:32730987-32730998(+)::chr15:32730986-32730998(+) ACAAGGAAGGAA >mm10_chr15:32730991-32731002(+)::chr15:32730990-32731002(+) GGAAGGAAGTGT >mm10_chr15:32885545-32885556(+)::chr15:32885544-32885556(+) ggaaggaagaaa >mm10_chr15:32885556-32885567(+)::chr15:32885555-32885567(+) aaaaggaaggaa >mm10_chr15:32885560-32885571(+)::chr15:32885559-32885571(+) ggaaggaaataa >mm10_chr15:32885569-32885580(+)::chr15:32885568-32885580(+) taaaggaagaca >mm10_chr15:32885577-32885588(+)::chr15:32885576-32885588(+) gacaggaagaga >mm10_chr15:32885600-32885611(+)::chr15:32885599-32885611(+) ggggggaagtaa >mm10_chr15:32906861-32906872(+)::chr15:32906860-32906872(+) AGAAGGAAGTTT >mm10_chr15:32907122-32907133(+)::chr15:32907121-32907133(+) GAAAGGAAGTTC >mm10_chr15:33026316-33026327(-)::chr15:33026315-33026327(-) TCAAGGAAGCAC >mm10_chr15:33282952-33282963(+)::chr15:33282951-33282963(+) TTCAGGAAGTCT >mm10_chr15:33662372-33662383(+)::chr15:33662371-33662383(+) AAAAGGAACTCA >mm10_chr15:33676577-33676588(+)::chr15:33676576-33676588(+) TGAAGGTAGTAA >mm10_chr15:33924728-33924739(-)::chr15:33924727-33924739(-) GAAAGGAAGAGT >mm10_chr15:34046606-34046617(+)::chr15:34046605-34046617(+) ACAAGGAAGTCC >mm10_chr15:34055004-34055015(+)::chr15:34055003-34055015(+) Tgaaggaaggcg >mm10_chr15:34055022-34055033(+)::chr15:34055021-34055033(+) aggaggaagaga >mm10_chr15:34055037-34055048(+)::chr15:34055036-34055048(+) aggaggaAATAA >mm10_chr15:34083768-34083779(+)::chr15:34083767-34083779(+) TCACGGAAGATA >mm10_chr15:34096564-34096575(+)::chr15:34096563-34096575(+) AGGAGGAAGGAA >mm10_chr15:34096568-34096579(+)::chr15:34096567-34096579(+) GGAAGGAAGGAA >mm10_chr15:34096880-34096891(-)::chr15:34096879-34096891(-) AAAGGGAAGGTA >mm10_chr15:34135336-34135347(-)::chr15:34135335-34135347(-) TCTAGGAAGTCA >mm10_chr15:34135371-34135382(+)::chr15:34135370-34135382(+) AATAGGAAGTTg >mm10_chr15:34215815-34215826(-)::chr15:34215814-34215826(-) AAGCGGAAGTCA >mm10_chr15:34239754-34239765(-)::chr15:34239753-34239765(-) GAAAGGAAGCCT >mm10_chr15:34443043-34443054(-)::chr15:34443042-34443054(-) GGCAGGAAGATG >mm10_chr15:34443217-34443228(+)::chr15:34443216-34443228(+) GAAAGGAAGGAG >mm10_chr15:34495293-34495304(+)::chr15:34495292-34495304(+) TGGAGGAAGAAG >mm10_chr15:34497349-34497360(-)::chr15:34497348-34497360(-) AGCAGGAAGCTC >mm10_chr15:34526318-34526329(+)::chr15:34526317-34526329(+) GTAAGGAAGGAA >mm10_chr15:34526322-34526333(+)::chr15:34526321-34526333(+) GGAAGGAACGAT >mm10_chr15:34586517-34586528(+)::chr15:34586516-34586528(+) aggaggaagggg >mm10_chr15:34751042-34751053(+)::chr15:34751041-34751053(+) AAAAGGAAGACA >mm10_chr15:34790573-34790584(-)::chr15:34790572-34790584(-) AGAAGGAATTGG >mm10_chr15:34818250-34818261(+)::chr15:34818249-34818261(+) AGGAGGAACTAG >mm10_chr15:35065130-35065141(+)::chr15:35065129-35065141(+) ACAAGGAAATGG >mm10_chr15:35114972-35114983(+)::chr15:35114971-35114983(+) AAAAGGAAATTA >mm10_chr15:35114992-35115003(+)::chr15:35114991-35115003(+) AAAAGTAAGTTA >mm10_chr15:35150590-35150601(+)::chr15:35150589-35150601(+) gagaggaagaag >mm10_chr15:35150597-35150608(+)::chr15:35150596-35150608(+) agaaggaaggga >mm10_chr15:35273052-35273063(-)::chr15:35273051-35273063(-) AGGAGGAAGCAG >mm10_chr15:35371299-35371310(-)::chr15:35371298-35371310(-) ATCCGGAAGTGG >mm10_chr15:35371545-35371556(+)::chr15:35371544-35371556(+) CGGAGGAAGAGC >mm10_chr15:35373305-35373316(-)::chr15:35373304-35373316(-) aaaaggaagagg >mm10_chr15:35373320-35373331(-)::chr15:35373319-35373331(-) aggaggaagaag >mm10_chr15:35373338-35373349(-)::chr15:35373337-35373349(-) aggaggaagagg >mm10_chr15:35582596-35582607(-)::chr15:35582595-35582607(-) ataaggaactgt >mm10_chr15:35664278-35664289(+)::chr15:35664277-35664289(+) ATAAGGAAGTTA >mm10_chr15:35681873-35681884(-)::chr15:35681872-35681884(-) AAAAGGAAGTAA >mm10_chr15:35681886-35681897(-)::chr15:35681885-35681897(-) AGGAGGAAGGAG >mm10_chr15:35681922-35681933(-)::chr15:35681921-35681933(-) CTAAGGAAGTGG >mm10_chr15:35728074-35728085(+)::chr15:35728073-35728085(+) ccaaggaagtgg >mm10_chr15:35799597-35799608(+)::chr15:35799596-35799608(+) ggcaggaagggt >mm10_chr15:35831106-35831117(+)::chr15:35831105-35831117(+) GACAGGAAGGGT >mm10_chr15:35861026-35861037(+)::chr15:35861025-35861037(+) ACCAGGAACTAC >mm10_chr15:35861055-35861066(-)::chr15:35861054-35861066(-) ATAAGGAAGCAT >mm10_chr15:35869887-35869898(+)::chr15:35869886-35869898(+) AGAAGGAAAAGA >mm10_chr15:35869892-35869903(+)::chr15:35869891-35869903(+) GAAAAGAAGTAA >mm10_chr15:35919945-35919956(-)::chr15:35919944-35919956(-) TCGGGGAAGTGA >mm10_chr15:36003848-36003859(+)::chr15:36003847-36003859(+) AGGAGGAAGTGT >mm10_chr15:36004441-36004452(+)::chr15:36004440-36004452(+) acaaggaagtga >mm10_chr15:36015501-36015512(-)::chr15:36015500-36015512(-) CTAAGGAAGTAA >mm10_chr15:36024966-36024977(-)::chr15:36024965-36024977(-) CCAAGGACGTGA >mm10_chr15:36141939-36141950(+)::chr15:36141938-36141950(+) TCAAGGAAGCAG >mm10_chr15:36179672-36179683(-)::chr15:36179671-36179683(-) AAACGGAAGTGG >mm10_chr15:36214858-36214869(-)::chr15:36214857-36214869(-) ACCAGGAAGCCG >mm10_chr15:36216731-36216742(+)::chr15:36216730-36216742(+) aggaggaagaga >mm10_chr15:36216751-36216762(+)::chr15:36216750-36216762(+) aggaggaagagg >mm10_chr15:36250090-36250101(-)::chr15:36250089-36250101(-) ggaaggaagttg >mm10_chr15:36250115-36250126(+)::chr15:36250114-36250126(+) atcaggaagcta >mm10_chr15:36276500-36276511(-)::chr15:36276499-36276511(-) AACAGGAAATTA >mm10_chr15:36334861-36334872(-)::chr15:36334860-36334872(-) CCAAGGAAGAAC >mm10_chr15:36351903-36351914(+)::chr15:36351902-36351914(+) AGCAGGAAGGGC >mm10_chr15:36367390-36367401(+)::chr15:36367389-36367401(+) agaaggaaggat >mm10_chr15:36433257-36433268(+)::chr15:36433256-36433268(+) TCCAGGAAGTAC >mm10_chr15:36433275-36433286(+)::chr15:36433274-36433286(+) ACCAGGAAATAT >mm10_chr15:36433305-36433316(+)::chr15:36433304-36433316(+) ACCAGGAAGTAT >mm10_chr15:36500582-36500593(-)::chr15:36500581-36500593(-) GGAAGGAAATTA >mm10_chr15:36518151-36518162(-)::chr15:36518150-36518162(-) aggaggaagagg >mm10_chr15:36518182-36518193(-)::chr15:36518181-36518193(-) aggaggaagagg >mm10_chr15:36518210-36518221(-)::chr15:36518209-36518221(-) aggaggaagagg >mm10_chr15:36563305-36563316(-)::chr15:36563304-36563316(-) aggaggaagaag >mm10_chr15:36563326-36563337(-)::chr15:36563325-36563337(-) aggaggaagaag >mm10_chr15:36578696-36578707(-)::chr15:36578695-36578707(-) AGGCGGAAGTTC >mm10_chr15:36580586-36580597(+)::chr15:36580585-36580597(+) AAGAGGAAATGA >mm10_chr15:36581104-36581115(-)::chr15:36581103-36581115(-) TGCAGGAAGTGT >mm10_chr15:36615623-36615634(+)::chr15:36615622-36615634(+) TCCAGGAAGTGA >mm10_chr15:36621364-36621375(+)::chr15:36621363-36621375(+) agtaggaagatc >mm10_chr15:36639749-36639760(+)::chr15:36639748-36639760(+) AGGAGGAAGGAG >mm10_chr15:36639756-36639767(+)::chr15:36639755-36639767(+) AGGAGGAAGGAT >mm10_chr15:36666811-36666822(+)::chr15:36666810-36666822(+) ACCAGGAAGTCA >mm10_chr15:36700046-36700057(-)::chr15:36700045-36700057(-) AGAAGGAAGTTC >mm10_chr15:36700061-36700072(-)::chr15:36700060-36700072(-) TCAAGGAAGACA >mm10_chr15:36704457-36704468(+)::chr15:36704456-36704468(+) TGCAGGAAGTAG >mm10_chr15:36794612-36794623(+)::chr15:36794611-36794623(+) AGACGGAAGTTG >mm10_chr15:36818656-36818667(-)::chr15:36818655-36818667(-) AACAGGAAGAAA >mm10_chr15:36823634-36823645(-)::chr15:36823633-36823645(-) TAGAGGAAGAAG >mm10_chr15:36843229-36843240(+)::chr15:36843228-36843240(+) GACAGGAAGGTG >mm10_chr15:36843269-36843280(-)::chr15:36843268-36843280(-) ACACGGAAGAAG >mm10_chr15:36877690-36877701(-)::chr15:36877689-36877701(-) AACAGGAAGAAC >mm10_chr15:36879863-36879874(+)::chr15:36879862-36879874(+) Atgaggaagtgc >mm10_chr15:36880770-36880781(-)::chr15:36880769-36880781(-) ATAAGAAAGTAT >mm10_chr15:36880789-36880800(+)::chr15:36880788-36880800(+) TAAAGGAAGTTG >mm10_chr15:36892649-36892660(-)::chr15:36892648-36892660(-) ACCAGGAAGGAA >mm10_chr15:36895715-36895726(-)::chr15:36895714-36895726(-) TGAAGGAAGGCT >mm10_chr15:36930469-36930480(-)::chr15:36930468-36930480(-) AGGAGGAACTAG >mm10_chr15:36930658-36930669(+)::chr15:36930657-36930669(+) AGCAGGAAGCCA >mm10_chr15:36933880-36933891(+)::chr15:36933879-36933891(+) CAGAGGAAGTGA >mm10_chr15:36968514-36968525(-)::chr15:36968513-36968525(-) aggaggaagagg >mm10_chr15:36968521-36968532(-)::chr15:36968520-36968532(-) gggaggaaggag >mm10_chr15:36968535-36968546(-)::chr15:36968534-36968546(-) tggaggaaggag >mm10_chr15:37006562-37006573(-)::chr15:37006561-37006573(-) GAAAGGAAATCT >mm10_chr15:37006793-37006804(+)::chr15:37006792-37006804(+) ACCAGGAAGAGT >mm10_chr15:37029827-37029838(+)::chr15:37029826-37029838(+) ACAAGGAAGTAA >mm10_chr15:37029870-37029881(-)::chr15:37029869-37029881(-) AAAAGGAACGCC >mm10_chr15:37041771-37041782(-)::chr15:37041770-37041782(-) aagaggaaggaa >mm10_chr15:37041777-37041788(-)::chr15:37041776-37041788(-) aggaggaagagg >mm10_chr15:37053868-37053879(+)::chr15:37053867-37053879(+) GGAAGGAAGTTT >mm10_chr15:37065427-37065438(+)::chr15:37065426-37065438(+) AGCAGGAAGACA >mm10_chr15:37084038-37084049(-)::chr15:37084037-37084049(-) TGTAGGAAGTGA >mm10_chr15:37084085-37084096(-)::chr15:37084084-37084096(-) ACAAGGAAAATG >mm10_chr15:37135057-37135068(-)::chr15:37135056-37135068(-) AACAGGAAGTTT >mm10_chr15:37154441-37154452(+)::chr15:37154440-37154452(+) AAGAGGAAGAGG >mm10_chr15:37154967-37154978(+)::chr15:37154966-37154978(+) GGGAGGAAGTGC >mm10_chr15:37165204-37165215(+)::chr15:37165203-37165215(+) AGAAGGAAGTAT >mm10_chr15:37165472-37165483(-)::chr15:37165471-37165483(-) aggaggaagagg >mm10_chr15:37165484-37165495(-)::chr15:37165483-37165495(-) ACCaggaagagg >mm10_chr15:37166274-37166285(+)::chr15:37166273-37166285(+) AACAGGAAGAGA >mm10_chr15:37196766-37196777(+)::chr15:37196765-37196777(+) AGGAGGAAGAAG >mm10_chr15:37199575-37199586(+)::chr15:37199574-37199586(+) CGCGGGAAGTGG >mm10_chr15:37199586-37199597(-)::chr15:37199585-37199597(-) AGCAGGAAGTGC >mm10_chr15:37226847-37226858(-)::chr15:37226846-37226858(-) AGGAGGAAGTCC >mm10_chr15:37234532-37234543(-)::chr15:37234531-37234543(-) GGAAGGAAGTGT >mm10_chr15:37243226-37243237(+)::chr15:37243225-37243237(+) TCCAGGAAGTGG >mm10_chr15:37256056-37256067(-)::chr15:37256055-37256067(-) agaaggaagcag >mm10_chr15:37256063-37256074(-)::chr15:37256062-37256074(-) gagaggaagaag >mm10_chr15:37262648-37262659(-)::chr15:37262647-37262659(-) AGCAGGAAGCAT >mm10_chr15:37262663-37262674(+)::chr15:37262662-37262674(+) TAAAGGAAGTCT >mm10_chr15:37262688-37262699(-)::chr15:37262687-37262699(-) AGAAGGAAGGTC >mm10_chr15:37267595-37267606(+)::chr15:37267594-37267606(+) GCCAGGAAGAGA >mm10_chr15:37299407-37299418(-)::chr15:37299406-37299418(-) ACAAGGAAGTTG >mm10_chr15:37308422-37308433(+)::chr15:37308421-37308433(+) GGAAGGAAGGGG >mm10_chr15:37308438-37308449(-)::chr15:37308437-37308449(-) TACAGGATGTAG >mm10_chr15:37310007-37310018(-)::chr15:37310006-37310018(-) ACCAGGAAGACT >mm10_chr15:37312881-37312892(-)::chr15:37312880-37312892(-) TAAAGGAAGCTA >mm10_chr15:37320506-37320517(+)::chr15:37320505-37320517(+) AGGGGGAAGTGT >mm10_chr15:37322796-37322807(+)::chr15:37322795-37322807(+) aggaggaagagg >mm10_chr15:37322802-37322813(+)::chr15:37322801-37322813(+) aagaggaagagg >mm10_chr15:37419093-37419104(+)::chr15:37419092-37419104(+) acaaggaaagaa >mm10_chr15:37422396-37422407(-)::chr15:37422395-37422407(-) AGAAGGAAGCCA >mm10_chr15:37428523-37428534(-)::chr15:37428522-37428534(-) GGACGGAAGGGA >mm10_chr15:37428541-37428552(-)::chr15:37428540-37428552(-) TGAAGGAAGGAG >mm10_chr15:37433377-37433388(-)::chr15:37433376-37433388(-) AGGAGGAAGGAG >mm10_chr15:37433453-37433464(-)::chr15:37433452-37433464(-) TGCAGGAAGTAA >mm10_chr15:37437110-37437121(+)::chr15:37437109-37437121(+) ggaaggaaggaa >mm10_chr15:37437114-37437125(+)::chr15:37437113-37437125(+) ggaaggaaggaa >mm10_chr15:37437118-37437129(+)::chr15:37437117-37437129(+) ggaaggaaggaa >mm10_chr15:37437122-37437133(+)::chr15:37437121-37437133(+) ggaaggaaggaa >mm10_chr15:37437126-37437137(+)::chr15:37437125-37437137(+) ggaaggaaggaa >mm10_chr15:37437130-37437141(+)::chr15:37437129-37437141(+) ggaaggaaggaa >mm10_chr15:37437134-37437145(+)::chr15:37437133-37437145(+) ggaaggaaggaa >mm10_chr15:37437138-37437149(+)::chr15:37437137-37437149(+) ggaaggaaggaa >mm10_chr15:37437142-37437153(+)::chr15:37437141-37437153(+) ggaaggaaggag >mm10_chr15:37456192-37456203(-)::chr15:37456191-37456203(-) ACAGGGAAGATA >mm10_chr15:37477193-37477204(+)::chr15:37477192-37477204(+) CAAGGGAAGTAA >mm10_chr15:37507005-37507016(-)::chr15:37507004-37507016(-) AAAAGGAAATTA >mm10_chr15:37566254-37566265(+)::chr15:37566253-37566265(+) aggaggaaggca >mm10_chr15:37566292-37566303(+)::chr15:37566291-37566303(+) AGGAGGAaggca >mm10_chr15:37568714-37568725(+)::chr15:37568713-37568725(+) AGCAGGAAGTTG >mm10_chr15:37578127-37578138(+)::chr15:37578126-37578138(+) aggaggaagagg >mm10_chr15:37578133-37578144(+)::chr15:37578132-37578144(+) aagaggaaggaa >mm10_chr15:37578137-37578148(+)::chr15:37578136-37578148(+) ggaaggaagagg >mm10_chr15:37578152-37578163(+)::chr15:37578151-37578163(+) aggaggaagagg >mm10_chr15:37630649-37630660(+)::chr15:37630648-37630660(+) ATGAGGAAGAAA >mm10_chr15:37673861-37673872(-)::chr15:37673860-37673872(-) TAGAGGAAATAG >mm10_chr15:37724192-37724203(-)::chr15:37724191-37724203(-) AAGAGGAAGCAG >mm10_chr15:37986918-37986929(-)::chr15:37986917-37986929(-) GTGAGGAAGAAT >mm10_chr15:38001532-38001543(+)::chr15:38001531-38001543(+) gacaggaaggtc >mm10_chr15:38001938-38001949(-)::chr15:38001937-38001949(-) tccaggaagtgg >mm10_chr15:38001951-38001962(+)::chr15:38001950-38001962(+) caaaggaagtgg >mm10_chr15:38002396-38002407(+)::chr15:38002395-38002407(+) ATAAGGAAGCAG >mm10_chr15:38063761-38063772(-)::chr15:38063760-38063772(-) GACAGGAAGATG >mm10_chr15:38079220-38079231(-)::chr15:38079219-38079231(-) CGTAGGAAGCGG >mm10_chr15:38109944-38109955(+)::chr15:38109943-38109955(+) ggcaggaagtga >mm10_chr15:38111250-38111261(+)::chr15:38111249-38111261(+) CGACGGAAATAG >mm10_chr15:38176387-38176398(-)::chr15:38176386-38176398(-) GGAAGGAAGGGA >mm10_chr15:38176391-38176402(-)::chr15:38176390-38176402(-) TGGAGGAAGGAA >mm10_chr15:38177778-38177789(+)::chr15:38177777-38177789(+) agcaggaagtga >mm10_chr15:38177789-38177800(+)::chr15:38177788-38177800(+) agaaggaaggaa >mm10_chr15:38177793-38177804(+)::chr15:38177792-38177804(+) ggaaggaagatt >mm10_chr15:38289090-38289101(-)::chr15:38289089-38289101(-) AAGAGGAAATGA >mm10_chr15:38289104-38289115(-)::chr15:38289103-38289115(-) aagaggaaggAG >mm10_chr15:38289143-38289154(-)::chr15:38289142-38289154(-) aagaggaaggga >mm10_chr15:38302392-38302403(-)::chr15:38302391-38302403(-) GGAAGGAAGCCT >mm10_chr15:38332473-38332484(-)::chr15:38332472-38332484(-) AAAAGGAAGAGG >mm10_chr15:38332483-38332494(+)::chr15:38332482-38332494(+) TTCAGGAAGTGC >mm10_chr15:38366648-38366659(-)::chr15:38366647-38366659(-) AGCAGGAAGAGT >mm10_chr15:38367716-38367727(-)::chr15:38367715-38367727(-) tacaggaagcta >mm10_chr15:38399126-38399137(+)::chr15:38399125-38399137(+) TCAAGGAAGTGG >mm10_chr15:38418699-38418710(+)::chr15:38418698-38418710(+) aggaggaaggag >mm10_chr15:38418712-38418723(+)::chr15:38418711-38418723(+) aggaggaagaca >mm10_chr15:38420869-38420880(+)::chr15:38420868-38420880(+) GCCAGGAAGTTT >mm10_chr15:38422874-38422885(-)::chr15:38422873-38422885(-) AGGAGGAAGGCC >mm10_chr15:38455193-38455204(-)::chr15:38455192-38455204(-) agcaggaagagc >mm10_chr15:38458493-38458504(+)::chr15:38458492-38458504(+) AGCAGGAAGTAG >mm10_chr15:38470409-38470420(-)::chr15:38470408-38470420(-) AGCAGGAAATGG >mm10_chr15:38472758-38472769(+)::chr15:38472757-38472769(+) TACAGGAAGAAC >mm10_chr15:38570277-38570288(+)::chr15:38570276-38570288(+) ACCAGGAAGAAG >mm10_chr15:38570919-38570930(+)::chr15:38570918-38570930(+) AGAAGGAAAAGG >mm10_chr15:38601700-38601711(+)::chr15:38601699-38601711(+) GTCAGGAAGCGG >mm10_chr15:38602306-38602317(+)::chr15:38602305-38602317(+) gacaggaagcag >mm10_chr15:38606795-38606806(+)::chr15:38606794-38606806(+) GACAGGAAGGGA >mm10_chr15:38606981-38606992(-)::chr15:38606980-38606992(-) GACAGGAAGATT >mm10_chr15:38644333-38644344(+)::chr15:38644332-38644344(+) AGAAGGAAGTCT >mm10_chr15:38669555-38669566(+)::chr15:38669554-38669566(+) AGAAGGAAGGGC >mm10_chr15:38669596-38669607(+)::chr15:38669595-38669607(+) TCCAGGAAGTTT >mm10_chr15:38701952-38701963(-)::chr15:38701951-38701963(-) tggaggaagtat >mm10_chr15:38701966-38701977(+)::chr15:38701965-38701977(+) agtaggaagtat >mm10_chr15:38709704-38709715(-)::chr15:38709703-38709715(-) AGAAGGAAAAGT >mm10_chr15:38910877-38910888(+)::chr15:38910876-38910888(+) gagaggaagTCT >mm10_chr15:38910922-38910933(+)::chr15:38910921-38910933(+) CTAAGGAAGGAG >mm10_chr15:38910926-38910937(+)::chr15:38910925-38910937(+) GGAAGGAGGTGG >mm10_chr15:38938669-38938680(+)::chr15:38938668-38938680(+) TAAAGGAATTAC >mm10_chr15:38938773-38938784(+)::chr15:38938772-38938784(+) TGTAGGAAGGAT >mm10_chr15:38958567-38958578(-)::chr15:38958566-38958578(-) ATGAGGAAGGAC >mm10_chr15:38958589-38958600(-)::chr15:38958588-38958600(-) CACAGGAAGTGG >mm10_chr15:39006019-39006030(+)::chr15:39006018-39006030(+) CTCAGGAAGTTC >mm10_chr15:39077048-39077059(-)::chr15:39077047-39077059(-) ACAAGGAAGAGA >mm10_chr15:39112617-39112628(-)::chr15:39112616-39112628(-) TCCCGGAAGTGG >mm10_chr15:39112895-39112906(+)::chr15:39112894-39112906(+) AGGCGGAAGTAG >mm10_chr15:39125501-39125512(-)::chr15:39125500-39125512(-) tacaggaagagg >mm10_chr15:39199195-39199206(-)::chr15:39199194-39199206(-) AACAGGAAGAAC >mm10_chr15:39291098-39291109(-)::chr15:39291097-39291109(-) AAAAGGAAGTAG >mm10_chr15:39320992-39321003(-)::chr15:39320991-39321003(-) ACAAGGAAGTGA >mm10_chr15:39921675-39921686(-)::chr15:39921674-39921686(-) aggaggaagagc >mm10_chr15:40032389-40032400(+)::chr15:40032388-40032400(+) tccaggaagtct >mm10_chr15:40714653-40714664(-)::chr15:40714652-40714664(-) agtaggaagttg >mm10_chr15:41162381-41162392(+)::chr15:41162380-41162392(+) AACAGGAAGTCG >mm10_chr15:41537498-41537509(+)::chr15:41537497-41537509(+) tggaggaagagt >mm10_chr15:41537510-41537521(+)::chr15:41537509-41537521(+) atgaggaaatga >mm10_chr15:41609234-41609245(-)::chr15:41609233-41609245(-) AGCAGGAAGAAG >mm10_chr15:41609298-41609309(+)::chr15:41609297-41609309(+) GCCAGGAAGTGC >mm10_chr15:41613257-41613268(-)::chr15:41613256-41613268(-) AGAAGGAAGTAA >mm10_chr15:41613264-41613275(-)::chr15:41613263-41613275(-) GCAAGGAAGAAG >mm10_chr15:41693342-41693353(-)::chr15:41693341-41693353(-) accaggaagcca >mm10_chr15:41693365-41693376(-)::chr15:41693364-41693376(-) accaggaagctg >mm10_chr15:41693388-41693399(-)::chr15:41693387-41693399(-) accaggaagcca >mm10_chr15:41693775-41693786(-)::chr15:41693774-41693786(-) tagaggaagttc >mm10_chr15:41735908-41735919(-)::chr15:41735907-41735919(-) aagaggaagagg >mm10_chr15:41740254-41740265(+)::chr15:41740253-41740265(+) TGGAGGAAGAGT >mm10_chr15:41740264-41740275(-)::chr15:41740263-41740275(-) TACAGGAAGGAC >mm10_chr15:41750539-41750550(-)::chr15:41750538-41750550(-) TAGAGGAAGTTG >mm10_chr15:41751632-41751643(+)::chr15:41751631-41751643(+) AAAAGGAAGTGT >mm10_chr15:41777092-41777103(-)::chr15:41777091-41777103(-) ATGAGGAAGGAG >mm10_chr15:41777105-41777116(-)::chr15:41777104-41777116(-) AGGAGGAAGAGA >mm10_chr15:41804665-41804676(-)::chr15:41804664-41804676(-) accaggatgtag >mm10_chr15:41831056-41831067(+)::chr15:41831055-41831067(+) GGAAGGAAATGT >mm10_chr15:41842183-41842194(+)::chr15:41842182-41842194(+) AAAGGGAAGTAG >mm10_chr15:41878976-41878987(+)::chr15:41878975-41878987(+) AGCAGGAAGAAA >mm10_chr15:41878988-41878999(+)::chr15:41878987-41878999(+) GGCAGGAAATGG >mm10_chr15:41993809-41993820(+)::chr15:41993808-41993820(+) agcaggaagttc >mm10_chr15:41993886-41993897(-)::chr15:41993885-41993897(-) ACAAGGAAATGA >mm10_chr15:42241951-42241962(+)::chr15:42241950-42241962(+) aagaggaagtac >mm10_chr15:42248061-42248072(+)::chr15:42248060-42248072(+) gggaggaagtgc >mm10_chr15:42253260-42253271(+)::chr15:42253259-42253271(+) AGCAGGAAGCAT >mm10_chr15:42320246-42320257(-)::chr15:42320245-42320257(-) GCAAGGAAGAGG >mm10_chr15:42334101-42334112(-)::chr15:42334100-42334112(-) ACAGGGAAGTGA >mm10_chr15:42335055-42335066(-)::chr15:42335054-42335066(-) ggaaggaagatg >mm10_chr15:42335065-42335076(-)::chr15:42335064-42335076(-) aagaggaagtgg >mm10_chr15:42335116-42335127(-)::chr15:42335115-42335127(-) ggaaggaagaga >mm10_chr15:42335120-42335131(-)::chr15:42335119-42335131(-) aacaggaaggaa >mm10_chr15:42373375-42373386(-)::chr15:42373374-42373386(-) AAAAGGAAGTGA >mm10_chr15:42410126-42410137(+)::chr15:42410125-42410137(+) AGAAGGAAGTTC >mm10_chr15:42466465-42466476(-)::chr15:42466464-42466476(-) AGGAGGAAATCC >mm10_chr15:42466475-42466486(+)::chr15:42466474-42466486(+) CTAAGGAAGACT >mm10_chr15:42466783-42466794(+)::chr15:42466782-42466794(+) CGGAGGAAATGC >mm10_chr15:42477395-42477406(-)::chr15:42477394-42477406(-) gagaggaagaaa >mm10_chr15:42509916-42509927(+)::chr15:42509915-42509927(+) TTAAGGAAGAAA >mm10_chr15:42509929-42509940(+)::chr15:42509928-42509940(+) GACAGGAAGTGA >mm10_chr15:42632673-42632684(-)::chr15:42632672-42632684(-) ggaaggaagtct >mm10_chr15:42632702-42632713(+)::chr15:42632701-42632713(+) ccgaggaaatgt >mm10_chr15:42674865-42674876(+)::chr15:42674864-42674876(+) AGCAGGAAGCTG >mm10_chr15:42674907-42674918(-)::chr15:42674906-42674918(-) ACCAGGAACTCG >mm10_chr15:42694453-42694464(-)::chr15:42694452-42694464(-) agaaggaagaag >mm10_chr15:42694486-42694497(-)::chr15:42694485-42694497(-) aagaggaaggag >mm10_chr15:42694499-42694510(-)::chr15:42694498-42694510(-) GCCAGGAaggaa >mm10_chr15:42694521-42694532(-)::chr15:42694520-42694532(-) CCCAGGAAGTTA >mm10_chr15:42699322-42699333(-)::chr15:42699321-42699333(-) ggaaggaaagta >mm10_chr15:42699326-42699337(-)::chr15:42699325-42699337(-) aggaggaaggaa >mm10_chr15:42699338-42699349(-)::chr15:42699337-42699349(-) aaaaggaaggtg >mm10_chr15:42720043-42720054(-)::chr15:42720042-42720054(-) AACCGGAAGTCC >mm10_chr15:42745563-42745574(-)::chr15:42745562-42745574(-) TGAAGGATGTCG >mm10_chr15:43508377-43508388(+)::chr15:43508376-43508388(+) AAAATGAAGTGT >mm10_chr15:43539677-43539688(+)::chr15:43539676-43539688(+) AGCAGGAAGTTC >mm10_chr15:43584211-43584222(-)::chr15:43584210-43584222(-) aagaggaagaga >mm10_chr15:43584223-43584234(-)::chr15:43584222-43584234(-) aagaggaaggga >mm10_chr15:43584229-43584240(-)::chr15:43584228-43584240(-) aagaggaagagg >mm10_chr15:43584276-43584287(-)::chr15:43584275-43584287(-) aggaggaaatgg >mm10_chr15:43669592-43669603(+)::chr15:43669591-43669603(+) accaggaagtct >mm10_chr15:43679040-43679051(+)::chr15:43679039-43679051(+) gtcaggaagtgc >mm10_chr15:43822614-43822625(-)::chr15:43822613-43822625(-) ctaaggaagggg >mm10_chr15:44261443-44261454(-)::chr15:44261442-44261454(-) CTAAGGAAGTTG >mm10_chr15:44290194-44290205(+)::chr15:44290193-44290205(+) AAAAGGAAGGGA >mm10_chr15:44423101-44423112(-)::chr15:44423100-44423112(-) aggaggaagtga >mm10_chr15:44427317-44427328(+)::chr15:44427316-44427328(+) AGAAGGAAAAAG >mm10_chr15:44427394-44427405(+)::chr15:44427393-44427405(+) GGAAGGAAGGAC >mm10_chr15:44598828-44598839(-)::chr15:44598827-44598839(-) AAAGGGAAGTTG >mm10_chr15:44604070-44604081(+)::chr15:44604069-44604081(+) AGGAGGAAGCAA >mm10_chr15:44604086-44604097(+)::chr15:44604085-44604097(+) ACGAGGAAATAA >mm10_chr15:44606722-44606733(+)::chr15:44606721-44606733(+) acaaggaagaca >mm10_chr15:44621078-44621089(+)::chr15:44621077-44621089(+) TGCAGGAAGTGT >mm10_chr15:44621133-44621144(-)::chr15:44621132-44621144(-) AGAAGGAACATA >mm10_chr15:44667421-44667432(+)::chr15:44667420-44667432(+) AGAAGGAAAAGA >mm10_chr15:44674974-44674985(-)::chr15:44674973-44674985(-) TGAAGGAAGAGC >mm10_chr15:44680520-44680531(-)::chr15:44680519-44680531(-) aagaggaagaAA >mm10_chr15:44680526-44680537(-)::chr15:44680525-44680537(-) gagaggaagagg >mm10_chr15:44680540-44680551(-)::chr15:44680539-44680551(-) ggaaggaagagg >mm10_chr15:44680544-44680555(-)::chr15:44680543-44680555(-) aggaggaaggaa >mm10_chr15:44680551-44680562(-)::chr15:44680550-44680562(-) aagaggaaggag >mm10_chr15:44701812-44701823(-)::chr15:44701811-44701823(-) AGAAGGAAGGAG >mm10_chr15:44717473-44717484(-)::chr15:44717472-44717484(-) TGCAGGAAGGGC >mm10_chr15:44728306-44728317(+)::chr15:44728305-44728317(+) ttagggaagtga >mm10_chr15:44728358-44728369(+)::chr15:44728357-44728369(+) tgaaggaagtca >mm10_chr15:44824930-44824941(+)::chr15:44824929-44824941(+) AACAGGAAGAGA >mm10_chr15:44882222-44882233(-)::chr15:44882221-44882233(-) TAGAGGAAGCTA >mm10_chr15:45828345-45828356(+)::chr15:45828344-45828356(+) tgagggaagtgt >mm10_chr15:45828403-45828414(+)::chr15:45828402-45828414(+) atcaggatgtat >mm10_chr15:46301130-46301141(-)::chr15:46301129-46301141(-) gaaaggaagtgc >mm10_chr15:47762602-47762613(+)::chr15:47762601-47762613(+) AGAAGGAAGTAA >mm10_chr15:47943530-47943541(+)::chr15:47943529-47943541(+) GAAAGGAAATCT >mm10_chr15:48174028-48174039(-)::chr15:48174027-48174039(-) AAAAGGAAGCTA >mm10_chr15:48190498-48190509(-)::chr15:48190497-48190509(-) CTAGGGAAGTGG >mm10_chr15:50093992-50094003(+)::chr15:50093991-50094003(+) aggaggaaggag >mm10_chr15:50107195-50107206(+)::chr15:50107194-50107206(+) gcaaggaagatg >mm10_chr15:50109108-50109119(+)::chr15:50109107-50109119(+) AAAAGGAAGTCA >mm10_chr15:50119403-50119414(-)::chr15:50119402-50119414(-) ggcaggaaggcc >mm10_chr15:50658892-50658903(-)::chr15:50658891-50658903(-) AGAAGGAAATGA >mm10_chr15:50658927-50658938(-)::chr15:50658926-50658938(-) CAAAGGAAGTTC >mm10_chr15:50687463-50687474(+)::chr15:50687462-50687474(+) GGAAGGAAGTAG >mm10_chr15:50697942-50697953(+)::chr15:50697941-50697953(+) TAAGGGAAGTCC >mm10_chr15:50697965-50697976(-)::chr15:50697964-50697976(-) ATCAGGAAGCAG >mm10_chr15:50750469-50750480(+)::chr15:50750468-50750480(+) TTGAGGAAGTAG >mm10_chr15:50750486-50750497(+)::chr15:50750485-50750497(+) TTCAGGAAGTAG >mm10_chr15:50763322-50763333(-)::chr15:50763321-50763333(-) ATGAGGAAGAGA >mm10_chr15:50802489-50802500(+)::chr15:50802488-50802500(+) AATAGGAAGAAG >mm10_chr15:50803606-50803617(-)::chr15:50803605-50803617(-) CTCAGGAAGTGC >mm10_chr15:50819097-50819108(+)::chr15:50819096-50819108(+) ACCAGGAAATAG >mm10_chr15:50819154-50819165(-)::chr15:50819153-50819165(-) AGAAGGAAGCAG >mm10_chr15:50819171-50819182(+)::chr15:50819170-50819182(+) TGTAGGAAGAGA >mm10_chr15:50820344-50820355(+)::chr15:50820343-50820355(+) CAGAGGAAGTGA >mm10_chr15:50820401-50820412(+)::chr15:50820400-50820412(+) TACGGGAAGTAA >mm10_chr15:50820410-50820421(+)::chr15:50820409-50820421(+) TAAAGGAACTGA >mm10_chr15:50824375-50824386(-)::chr15:50824374-50824386(-) gacaggaaggaa >mm10_chr15:50841881-50841892(-)::chr15:50841880-50841892(-) TAAGGGAAGTTG >mm10_chr15:50841923-50841934(+)::chr15:50841922-50841934(+) AAAAGGAAATAT >mm10_chr15:50854577-50854588(-)::chr15:50854576-50854588(-) ATGAGGAAGAAC >mm10_chr15:50854636-50854647(+)::chr15:50854635-50854647(+) AATAGGAAGTAG >mm10_chr15:50867088-50867099(+)::chr15:50867087-50867099(+) AAGAGGAAGGAG >mm10_chr15:50870304-50870315(-)::chr15:50870303-50870315(-) AAGAGGAAGTTG >mm10_chr15:50876627-50876638(+)::chr15:50876626-50876638(+) TTAAGGAAATGA >mm10_chr15:50883622-50883633(+)::chr15:50883621-50883633(+) GGAAGGAAATAC >mm10_chr15:50891431-50891442(-)::chr15:50891430-50891442(-) CCAAGGAAGCAC >mm10_chr15:50894294-50894305(+)::chr15:50894293-50894305(+) TGTAGGAAATAA >mm10_chr15:50894339-50894350(+)::chr15:50894338-50894350(+) ACAAGGAGGTGA >mm10_chr15:50926049-50926060(-)::chr15:50926048-50926060(-) GGAAGGAAACGC >mm10_chr15:50926619-50926630(+)::chr15:50926618-50926630(+) ACAAGGAAGAAG >mm10_chr15:50926626-50926637(+)::chr15:50926625-50926637(+) AGAAGGAAAAAG >mm10_chr15:50928421-50928432(+)::chr15:50928420-50928432(+) ATAAGGAAACAG >mm10_chr15:50939699-50939710(+)::chr15:50939698-50939710(+) AACAGGAAATTA >mm10_chr15:50972696-50972707(+)::chr15:50972695-50972707(+) GGAGGGAAGCGG >mm10_chr15:50974152-50974163(+)::chr15:50974151-50974163(+) TGAAGGAAGATT >mm10_chr15:50974167-50974178(-)::chr15:50974166-50974178(-) AAGAGGAAATGT >mm10_chr15:51073933-51073944(-)::chr15:51073932-51073944(-) ATAAGGAAGTTA >mm10_chr15:51183093-51183104(+)::chr15:51183092-51183104(+) aggaggaagagg >mm10_chr15:51183105-51183116(+)::chr15:51183104-51183116(+) aggaggaagaga >mm10_chr15:51183170-51183181(+)::chr15:51183169-51183181(+) aggaggaagagg >mm10_chr15:51193614-51193625(+)::chr15:51193613-51193625(+) ACCAGGAAATTG >mm10_chr15:51207013-51207024(-)::chr15:51207012-51207024(-) TTAAGGAAGCCT >mm10_chr15:51322522-51322533(-)::chr15:51322521-51322533(-) AGAAGGAACTCC >mm10_chr15:51322562-51322573(+)::chr15:51322561-51322573(+) GGAAAGAAGTAT >mm10_chr15:51322595-51322606(+)::chr15:51322594-51322606(+) GAAGGGAAGTCT >mm10_chr15:51346534-51346545(-)::chr15:51346533-51346545(-) ACAAGGAAGGAG >mm10_chr15:51398115-51398126(-)::chr15:51398114-51398126(-) TGGAGGAAATAC >mm10_chr15:51522870-51522881(+)::chr15:51522869-51522881(+) aacaggaagcag >mm10_chr15:51662487-51662498(+)::chr15:51662486-51662498(+) ggcaggaaggca >mm10_chr15:51662495-51662506(+)::chr15:51662494-51662506(+) ggcaggaagcaa >mm10_chr15:51689708-51689719(+)::chr15:51689707-51689719(+) aataggaagtag >mm10_chr15:51689721-51689732(+)::chr15:51689720-51689732(+) aaaaggaagttc >mm10_chr15:51692902-51692913(-)::chr15:51692901-51692913(-) ACAAGGAAGGAG >mm10_chr15:51694395-51694406(+)::chr15:51694394-51694406(+) tccaggaagtcc >mm10_chr15:51706010-51706021(-)::chr15:51706009-51706021(-) GTCAGGAAGATG >mm10_chr15:51709922-51709933(+)::chr15:51709921-51709933(+) TCAAGGAAATTA >mm10_chr15:51729062-51729073(+)::chr15:51729061-51729073(+) GAAAGGAAATGT >mm10_chr15:51809676-51809687(-)::chr15:51809675-51809687(-) GAAAGGAAGAAT >mm10_chr15:51809699-51809710(+)::chr15:51809698-51809710(+) ATCAGGAAGGAA >mm10_chr15:51846931-51846942(+)::chr15:51846930-51846942(+) ccaaggaagtgt >mm10_chr15:51856787-51856798(+)::chr15:51856786-51856798(+) CTACGGAAGTGC >mm10_chr15:51856798-51856809(-)::chr15:51856797-51856809(-) AGCAGGAAGATG >mm10_chr15:51865494-51865505(+)::chr15:51865493-51865505(+) CGCCGGAAGCGG >mm10_chr15:51877040-51877051(-)::chr15:51877039-51877051(-) TCAAGGAAGCAA >mm10_chr15:51877354-51877365(+)::chr15:51877353-51877365(+) GCTAGGAAGTGG >mm10_chr15:51877416-51877427(+)::chr15:51877415-51877427(+) ATAAGGGAGTGT >mm10_chr15:51952779-51952790(+)::chr15:51952778-51952790(+) acaaggaagaat >mm10_chr15:51955794-51955805(-)::chr15:51955793-51955805(-) ATAAGGAAGCTG >mm10_chr15:51955834-51955845(-)::chr15:51955833-51955845(-) AGAAGGAAACAC >mm10_chr15:51955841-51955852(-)::chr15:51955840-51955852(-) GAGAGGAAGAAG >mm10_chr15:51970116-51970127(-)::chr15:51970115-51970127(-) AAGAGGAAGAGG >mm10_chr15:51975569-51975580(+)::chr15:51975568-51975580(+) GGAAGGAAGGAG >mm10_chr15:51991860-51991871(-)::chr15:51991859-51991871(-) ACAAGGAAGTGG >mm10_chr15:52030594-52030605(+)::chr15:52030593-52030605(+) accaggaagggg >mm10_chr15:52030645-52030656(-)::chr15:52030644-52030656(-) tgaaggaagcag >mm10_chr15:52205730-52205741(+)::chr15:52205729-52205741(+) ATAAGGAAAATT >mm10_chr15:52279801-52279812(+)::chr15:52279800-52279812(+) AGAAGGAGGTGA >mm10_chr15:52279823-52279834(+)::chr15:52279822-52279834(+) AACAGGAAGTAG >mm10_chr15:52740018-52740029(+)::chr15:52740017-52740029(+) ACCAGGAAGTAG >mm10_chr15:52959370-52959381(+)::chr15:52959369-52959381(+) acaaggaagaaa >mm10_chr15:52959408-52959419(+)::chr15:52959407-52959419(+) gccaggaagtgg >mm10_chr15:53122244-53122255(+)::chr15:53122243-53122255(+) agaaGGAGGTAG >mm10_chr15:53122251-53122262(+)::chr15:53122250-53122262(+) GGTAGGAAGGGA >mm10_chr15:53166340-53166351(-)::chr15:53166339-53166351(-) TGCAGGAAGTCA >mm10_chr15:53166590-53166601(-)::chr15:53166589-53166601(-) GCCCGGAAGTGC >mm10_chr15:53187742-53187753(+)::chr15:53187741-53187753(+) AGGAGGAAGTCC >mm10_chr15:53196193-53196204(+)::chr15:53196192-53196204(+) AAAAGGAAGGCA >mm10_chr15:53196556-53196567(-)::chr15:53196555-53196567(-) ACAAGGAAGTAC >mm10_chr15:53204915-53204926(+)::chr15:53204914-53204926(+) AAGAGGAAGAAA >mm10_chr15:53204932-53204943(+)::chr15:53204931-53204943(+) CCAAGGAAGTAT >mm10_chr15:53204949-53204960(+)::chr15:53204948-53204960(+) AACAGGAAGGAG >mm10_chr15:53205510-53205521(+)::chr15:53205509-53205521(+) GCAAGGAAAGCG >mm10_chr15:53216540-53216551(+)::chr15:53216539-53216551(+) GAGAGGAAGAAG >mm10_chr15:53216558-53216569(+)::chr15:53216557-53216569(+) CACAGGAAGTAG >mm10_chr15:53231115-53231126(+)::chr15:53231114-53231126(+) AGCAGGAAGTCA >mm10_chr15:53234273-53234284(-)::chr15:53234272-53234284(-) AGAAGGAAGGCA >mm10_chr15:53234292-53234303(-)::chr15:53234291-53234303(-) AGAGGGAAGAAA >mm10_chr15:53234299-53234310(-)::chr15:53234298-53234310(-) TGAAGGAAGAGG >mm10_chr15:53241639-53241650(-)::chr15:53241638-53241650(-) AAGAGGAAGAGT >mm10_chr15:53241645-53241656(-)::chr15:53241644-53241656(-) TCAAGGAAGAGG >mm10_chr15:53242411-53242422(-)::chr15:53242410-53242422(-) AGGAGGAAGGAA >mm10_chr15:53271577-53271588(-)::chr15:53271576-53271588(-) GACAGGAAGGGA >mm10_chr15:53283947-53283958(+)::chr15:53283946-53283958(+) ACACGGAAGTCC >mm10_chr15:53286800-53286811(+)::chr15:53286799-53286811(+) GAAAGGAAGAGC >mm10_chr15:53286838-53286849(+)::chr15:53286837-53286849(+) ATCAGGAAGAAG >mm10_chr15:53294559-53294570(+)::chr15:53294558-53294570(+) AGAAGGAAATGA >mm10_chr15:53294730-53294741(+)::chr15:53294729-53294741(+) ATGAGGAAGAAA >mm10_chr15:53294774-53294785(+)::chr15:53294773-53294785(+) GTGAGGAAGTCA >mm10_chr15:53316928-53316939(-)::chr15:53316927-53316939(-) TTGAGGAAGTGA >mm10_chr15:53316936-53316947(+)::chr15:53316935-53316947(+) TCAAGGAAGCCA >mm10_chr15:53324406-53324417(+)::chr15:53324405-53324417(+) TAAAGGAACCGC >mm10_chr15:53324449-53324460(-)::chr15:53324448-53324460(-) AAGAGGAAGTCT >mm10_chr15:53334774-53334785(+)::chr15:53334773-53334785(+) TCCAGGAAGTGA >mm10_chr15:53334844-53334855(+)::chr15:53334843-53334855(+) AGGAGGAAGGGT >mm10_chr15:53335524-53335535(-)::chr15:53335523-53335535(-) ACAAGGAAGGAG >mm10_chr15:53342959-53342970(-)::chr15:53342958-53342970(-) ACACGGAAGTTC >mm10_chr15:53342978-53342989(+)::chr15:53342977-53342989(+) GAAAGGAACGAT >mm10_chr15:53343338-53343349(-)::chr15:53343337-53343349(-) GGAAGGAAGGAG >mm10_chr15:53416495-53416506(+)::chr15:53416494-53416506(+) AGGAGGAAGTGA >mm10_chr15:53499689-53499700(+)::chr15:53499688-53499700(+) TAGAGGAAGTAG >mm10_chr15:53723900-53723911(-)::chr15:53723899-53723911(-) ATGAGGAAATGA >mm10_chr15:53757848-53757859(-)::chr15:53757847-53757859(-) ATGAGGAAGCAG >mm10_chr15:53757861-53757872(-)::chr15:53757860-53757872(-) AGAAGGAAGTTG >mm10_chr15:53758070-53758081(+)::chr15:53758069-53758081(+) GCCAGGAAGAAT >mm10_chr15:53826881-53826892(-)::chr15:53826880-53826892(-) AGAAGGAAGAAA >mm10_chr15:53891519-53891530(-)::chr15:53891518-53891530(-) tggaggaagtat >mm10_chr15:53901392-53901403(-)::chr15:53901391-53901403(-) AAAAGGAAGGCG >mm10_chr15:53901738-53901749(+)::chr15:53901737-53901749(+) ACAAGGAAATAG >mm10_chr15:53901745-53901756(+)::chr15:53901744-53901756(+) AATAGGAAGGCA >mm10_chr15:54114459-54114470(-)::chr15:54114458-54114470(-) TAGAGGAAGCAC >mm10_chr15:54171790-54171801(-)::chr15:54171789-54171801(-) AGGAGGAAGTGA >mm10_chr15:54245179-54245190(-)::chr15:54245178-54245190(-) TGAAGGAAGCCT >mm10_chr15:54367622-54367633(-)::chr15:54367621-54367633(-) GAAAGGAAGAAG >mm10_chr15:54458442-54458453(+)::chr15:54458441-54458453(+) TTAAGGAAGCTG >mm10_chr15:54458711-54458722(-)::chr15:54458710-54458722(-) AGGAGGAAGAGA >mm10_chr15:54458741-54458752(-)::chr15:54458740-54458752(-) AGAAGGAAGAGA >mm10_chr15:54494078-54494089(+)::chr15:54494077-54494089(+) tggaggaagagg >mm10_chr15:54494084-54494095(+)::chr15:54494083-54494095(+) aagaggaagaag >mm10_chr15:54494093-54494104(+)::chr15:54494092-54494104(+) aagaggaaatgg >mm10_chr15:54496673-54496684(-)::chr15:54496672-54496684(-) atgaggaaatca >mm10_chr15:54496692-54496703(+)::chr15:54496691-54496703(+) atgaggaaatag >mm10_chr15:54503215-54503226(+)::chr15:54503214-54503226(+) GGGAGGAAGCAC >mm10_chr15:54517703-54517714(+)::chr15:54517702-54517714(+) AGCAGGAAGTCA >mm10_chr15:54517715-54517726(-)::chr15:54517714-54517726(-) GTCAGGAAGTCC >mm10_chr15:54517729-54517740(+)::chr15:54517728-54517740(+) ACAAGGAAAATA >mm10_chr15:54542872-54542883(-)::chr15:54542871-54542883(-) ATAAGGAAATAC >mm10_chr15:54542951-54542962(-)::chr15:54542950-54542962(-) AGAAGGAAGTAT >mm10_chr15:54572985-54572996(+)::chr15:54572984-54572996(+) AACAGGAAGCGT >mm10_chr15:54587127-54587138(+)::chr15:54587126-54587138(+) TAGAGGAAGTGT >mm10_chr15:54620444-54620455(-)::chr15:54620443-54620455(-) TGAAGGAAGCAA >mm10_chr15:54620505-54620516(-)::chr15:54620504-54620516(-) AAACGGAAGCAG >mm10_chr15:54622159-54622170(+)::chr15:54622158-54622170(+) aagaggaagagg >mm10_chr15:54622165-54622176(+)::chr15:54622164-54622176(+) aagaggaagagg >mm10_chr15:54622171-54622182(+)::chr15:54622170-54622182(+) aagaggaagagg >mm10_chr15:54692887-54692898(-)::chr15:54692886-54692898(-) AGAAGGAAAAAG >mm10_chr15:54692894-54692905(-)::chr15:54692893-54692905(-) GACAGGAAGAAG >mm10_chr15:54736466-54736477(-)::chr15:54736465-54736477(-) aggaggaagaga >mm10_chr15:54745570-54745581(-)::chr15:54745569-54745581(-) AGAAGGAAACAC >mm10_chr15:55049301-55049312(+)::chr15:55049300-55049312(+) GTAAGGAAGTAA >mm10_chr15:55072165-55072176(+)::chr15:55072164-55072176(+) CAGAGGAAGTGG >mm10_chr15:55072195-55072206(+)::chr15:55072194-55072206(+) ACCCGGAAGTCT >mm10_chr15:55113365-55113376(-)::chr15:55113364-55113376(-) AACAGGAAGCGA >mm10_chr15:55113652-55113663(+)::chr15:55113651-55113663(+) TTGAGGAAGTAG >mm10_chr15:55113699-55113710(+)::chr15:55113698-55113710(+) AGAAGGAAAATC >mm10_chr15:55117775-55117786(+)::chr15:55117774-55117786(+) GTAAGGAAGGAC >mm10_chr15:55117787-55117798(+)::chr15:55117786-55117798(+) ATAAGGAAGACA >mm10_chr15:55120816-55120827(-)::chr15:55120815-55120827(-) AAAAGGAAGATG >mm10_chr15:55120839-55120850(+)::chr15:55120838-55120850(+) TACAGGAAGGAA >mm10_chr15:55120843-55120854(+)::chr15:55120842-55120854(+) GGAAGGAAATGA >mm10_chr15:55121293-55121304(-)::chr15:55121292-55121304(-) GGCAGGAAGAGA >mm10_chr15:55121343-55121354(-)::chr15:55121342-55121354(-) TTAAGGAAGAGA >mm10_chr15:55131499-55131510(+)::chr15:55131498-55131510(+) TCAAGGAAGGAA >mm10_chr15:55131503-55131514(+)::chr15:55131502-55131514(+) GGAAGGAAGGAA >mm10_chr15:55131507-55131518(+)::chr15:55131506-55131518(+) GGAAGGAAGGAG >mm10_chr15:55132907-55132918(-)::chr15:55132906-55132918(-) AAAAGGAAGACG >mm10_chr15:55133483-55133494(-)::chr15:55133482-55133494(-) accaggaaggct >mm10_chr15:55133523-55133534(-)::chr15:55133522-55133534(-) AGGAGGAAGTCg >mm10_chr15:55174538-55174549(-)::chr15:55174537-55174549(-) AGAAGGAACTGC >mm10_chr15:55178095-55178106(+)::chr15:55178094-55178106(+) TCCGGGAAGTAA >mm10_chr15:55183499-55183510(-)::chr15:55183498-55183510(-) acaaggaagtcc >mm10_chr15:55189455-55189466(-)::chr15:55189454-55189466(-) AGGAGGAAATGA >mm10_chr15:55196514-55196525(-)::chr15:55196513-55196525(-) AGCAGGAAATTG >mm10_chr15:55196552-55196563(+)::chr15:55196551-55196563(+) CTGAGGAAGTAA >mm10_chr15:55197729-55197740(-)::chr15:55197728-55197740(-) atacggaagtcc >mm10_chr15:55198167-55198178(-)::chr15:55198166-55198178(-) accaggaaatgc >mm10_chr15:55224337-55224348(-)::chr15:55224336-55224348(-) GGGAGGAAGTAA >mm10_chr15:55277712-55277723(+)::chr15:55277711-55277723(+) GGCAGGAAGTGA >mm10_chr15:55279719-55279730(+)::chr15:55279718-55279730(+) TACAGGAAGGAC >mm10_chr15:55280452-55280463(+)::chr15:55280451-55280463(+) AACAGGAAGGAA >mm10_chr15:55280646-55280657(-)::chr15:55280645-55280657(-) CAAAGGAAGAAC >mm10_chr15:55315969-55315980(-)::chr15:55315968-55315980(-) AGGAGGAAGAAG >mm10_chr15:55316018-55316029(-)::chr15:55316017-55316029(-) AAAAGGAAATGG >mm10_chr15:55436698-55436709(-)::chr15:55436697-55436709(-) ACCAGGAAATCA >mm10_chr15:55540062-55540073(+)::chr15:55540061-55540073(+) AGCAGGAAGTAG >mm10_chr15:55540073-55540084(-)::chr15:55540072-55540084(-) GCAAGGAAGCAC >mm10_chr15:55543717-55543728(-)::chr15:55543716-55543728(-) accaggaagttt >mm10_chr15:55599186-55599197(-)::chr15:55599185-55599197(-) GCAAGGAAGGTG >mm10_chr15:55664914-55664925(-)::chr15:55664913-55664925(-) AAAAGGAAATAT >mm10_chr15:55664932-55664943(-)::chr15:55664931-55664943(-) GAGAGGAAGGAC >mm10_chr15:55727650-55727661(-)::chr15:55727649-55727661(-) GGCAGGATGTAC >mm10_chr15:55774319-55774330(-)::chr15:55774318-55774330(-) ATAAGGAAATGT >mm10_chr15:55800671-55800682(+)::chr15:55800670-55800682(+) ACGAGGAAATGC >mm10_chr15:55819559-55819570(+)::chr15:55819558-55819570(+) AAAAGGAAGAGG >mm10_chr15:55827866-55827877(-)::chr15:55827865-55827877(-) GGAAGGATGTAG >mm10_chr15:55827870-55827881(-)::chr15:55827869-55827881(-) AGTAGGAAGGAT >mm10_chr15:55843873-55843884(+)::chr15:55843872-55843884(+) AACAGGAAGGCA >mm10_chr15:55843890-55843901(-)::chr15:55843889-55843901(-) GAAAGGAAGCAG >mm10_chr15:55864679-55864690(-)::chr15:55864678-55864690(-) TAAAGGAAGTGG >mm10_chr15:55908384-55908395(+)::chr15:55908383-55908395(+) CCCAGGAAGTTC >mm10_chr15:55908400-55908411(-)::chr15:55908399-55908411(-) AACAGGAAGGAC >mm10_chr15:55964823-55964834(-)::chr15:55964822-55964834(-) tggaggaagaag >mm10_chr15:55964883-55964894(+)::chr15:55964882-55964894(+) accaggaaatcc >mm10_chr15:56078150-56078161(+)::chr15:56078149-56078161(+) ATGAGGAAGGGA >mm10_chr15:56078186-56078197(+)::chr15:56078185-56078197(+) CAAAGGAAGTCA >mm10_chr15:56138001-56138012(-)::chr15:56138000-56138012(-) acaaggaagatc >mm10_chr15:56336533-56336544(-)::chr15:56336532-56336544(-) AAAAGGAAGTCC >mm10_chr15:56369613-56369624(+)::chr15:56369612-56369624(+) AGCAGGAAGAAG >mm10_chr15:56742820-56742831(-)::chr15:56742819-56742831(-) ATCAGGAAGGAG >mm10_chr15:56742828-56742839(-)::chr15:56742827-56742839(-) GAAAGGAAATCA >mm10_chr15:56853211-56853222(+)::chr15:56853210-56853222(+) GTAAGGAAATCC >mm10_chr15:56853236-56853247(-)::chr15:56853235-56853247(-) TCAAGGAAATGA >mm10_chr15:56936790-56936801(+)::chr15:56936789-56936801(+) GGGAGGAAGAGA >mm10_chr15:56950820-56950831(+)::chr15:56950819-56950831(+) ACAAGGAAGGAA >mm10_chr15:56950824-56950835(+)::chr15:56950823-56950835(+) GGAAGGAAATAG >mm10_chr15:57081476-57081487(-)::chr15:57081475-57081487(-) TGGAGGAAATAA >mm10_chr15:57095380-57095391(-)::chr15:57095379-57095391(-) AGAAGGATATAG >mm10_chr15:57095392-57095403(-)::chr15:57095391-57095403(-) AGAAGGAAATAC >mm10_chr15:57095424-57095435(-)::chr15:57095423-57095435(-) GAAAGGAAGAAG >mm10_chr15:57187949-57187960(-)::chr15:57187948-57187960(-) AGCAGGAAGTAT >mm10_chr15:57430617-57430628(+)::chr15:57430616-57430628(+) AGAAGGAAGTAG >mm10_chr15:57793169-57793180(-)::chr15:57793168-57793180(-) ATGCGGAAGTGT >mm10_chr15:57793230-57793241(-)::chr15:57793229-57793241(-) GAAAGGAAGTCA >mm10_chr15:57880654-57880665(+)::chr15:57880653-57880665(+) atgaggaagcta >mm10_chr15:57906016-57906027(-)::chr15:57906015-57906027(-) TGAGGGAAGTGT >mm10_chr15:57906035-57906046(-)::chr15:57906034-57906046(-) GGAAGGAAGACA >mm10_chr15:57906039-57906050(-)::chr15:57906038-57906050(-) ATAAGGAAGGAA >mm10_chr15:57906537-57906548(-)::chr15:57906536-57906548(-) GGCAGGAAGTCC >mm10_chr15:57921343-57921354(-)::chr15:57921342-57921354(-) AAGAGGAAGTCA >mm10_chr15:57950299-57950310(-)::chr15:57950298-57950310(-) ATTAGGAAgtgt >mm10_chr15:57977745-57977756(+)::chr15:57977744-57977756(+) agcaggaaggaa >mm10_chr15:57977749-57977760(+)::chr15:57977748-57977760(+) ggaaggaagtga >mm10_chr15:57984371-57984382(+)::chr15:57984370-57984382(+) ATGAGGAAGAGG >mm10_chr15:57985741-57985752(+)::chr15:57985740-57985752(+) CGGAGGAAATAT >mm10_chr15:58013669-58013680(-)::chr15:58013668-58013680(-) gacaggaagaag >mm10_chr15:58018098-58018109(-)::chr15:58018097-58018109(-) ACTAGGAAGAGA >mm10_chr15:58034341-58034352(+)::chr15:58034340-58034352(+) ACACGGAAGCGG >mm10_chr15:58038973-58038984(-)::chr15:58038972-58038984(-) agaaggaactaa >mm10_chr15:58039002-58039013(-)::chr15:58039001-58039013(-) agaaggaagatt >mm10_chr15:58164919-58164930(+)::chr15:58164918-58164930(+) AACAGGAAATGA >mm10_chr15:58174254-58174265(-)::chr15:58174253-58174265(-) ggaaggaaggcc >mm10_chr15:58174258-58174269(-)::chr15:58174257-58174269(-) ggaaggaaggaa >mm10_chr15:58174262-58174273(-)::chr15:58174261-58174273(-) ggtaggaaggaa >mm10_chr15:58174311-58174322(-)::chr15:58174310-58174322(-) agcaggaaatgc >mm10_chr15:58211494-58211505(-)::chr15:58211493-58211505(-) AGGAGGAAGTTG >mm10_chr15:58274687-58274698(+)::chr15:58274686-58274698(+) ACAAGGAAGAAG >mm10_chr15:58274715-58274726(-)::chr15:58274714-58274726(-) CTAAGGAAGACA >mm10_chr15:58331664-58331675(+)::chr15:58331663-58331675(+) AGCAGGAAGCAC >mm10_chr15:58347125-58347136(-)::chr15:58347124-58347136(-) ACCAGGAAGACC >mm10_chr15:58347622-58347633(-)::chr15:58347621-58347633(-) GACAGGAAATAG >mm10_chr15:58409246-58409257(-)::chr15:58409245-58409257(-) ACAAGGAACTCT >mm10_chr15:58823751-58823762(+)::chr15:58823750-58823762(+) GAAAGGAAGGGG >mm10_chr15:58876247-58876258(-)::chr15:58876246-58876258(-) AAGAGGAAGTAT >mm10_chr15:58888244-58888255(-)::chr15:58888243-58888255(-) CAAAGGAAGAGA >mm10_chr15:58889495-58889506(+)::chr15:58889494-58889506(+) GTAAGGACGGCG >mm10_chr15:58922499-58922510(+)::chr15:58922498-58922510(+) AACAGGAAGCAG >mm10_chr15:58933782-58933793(-)::chr15:58933781-58933793(-) ACCCGGAAGCGG >mm10_chr15:58971250-58971261(-)::chr15:58971249-58971261(-) TCAAGGAAATGG >mm10_chr15:58971259-58971270(+)::chr15:58971258-58971270(+) TGAAGGAAGTAA >mm10_chr15:58971278-58971289(+)::chr15:58971277-58971289(+) ACAAGGAACCGC >mm10_chr15:58975438-58975449(-)::chr15:58975437-58975449(-) ACACGGAAGTGG >mm10_chr15:58976565-58976576(+)::chr15:58976564-58976576(+) GGAAGGAAGCCC >mm10_chr15:58976602-58976613(-)::chr15:58976601-58976613(-) TAGAGGAAGGAA >mm10_chr15:59010738-59010749(-)::chr15:59010737-59010749(-) CTAAGGAAATGT >mm10_chr15:59017646-59017657(+)::chr15:59017645-59017657(+) atcaggaagtag >mm10_chr15:59077559-59077570(-)::chr15:59077558-59077570(-) ggaaggaaggGC >mm10_chr15:59077563-59077574(-)::chr15:59077562-59077574(-) ggaaggaaggaa >mm10_chr15:59077567-59077578(-)::chr15:59077566-59077578(-) ggaaggaaggaa >mm10_chr15:59077571-59077582(-)::chr15:59077570-59077582(-) ggaaggaaggaa >mm10_chr15:59077575-59077586(-)::chr15:59077574-59077586(-) ggaaggaaggaa >mm10_chr15:59077579-59077590(-)::chr15:59077578-59077590(-) ggaaggaaggaa >mm10_chr15:59077583-59077594(-)::chr15:59077582-59077594(-) ggaaggaaggaa >mm10_chr15:59077587-59077598(-)::chr15:59077586-59077598(-) agaaggaaggaa >mm10_chr15:59134283-59134294(-)::chr15:59134282-59134294(-) AGCAGGAAGCAG >mm10_chr15:59134315-59134326(-)::chr15:59134314-59134326(-) AGAAGGAAGCTT >mm10_chr15:59140899-59140910(-)::chr15:59140898-59140910(-) atcaggaagaga >mm10_chr15:59142631-59142642(-)::chr15:59142630-59142642(-) GGCAGGAAGGTT >mm10_chr15:59145807-59145818(-)::chr15:59145806-59145818(-) TTAAGGAAGTGT >mm10_chr15:59145844-59145855(-)::chr15:59145843-59145855(-) AAAAGGAAGTTT >mm10_chr15:59174663-59174674(+)::chr15:59174662-59174674(+) AGAAGGAAGGAA >mm10_chr15:59174667-59174678(+)::chr15:59174666-59174678(+) GGAAGGAAATGT >mm10_chr15:59177088-59177099(+)::chr15:59177087-59177099(+) CAACGGAAGTTC >mm10_chr15:59177239-59177250(-)::chr15:59177238-59177250(-) TCAGGGAAGTTA >mm10_chr15:59184407-59184418(-)::chr15:59184406-59184418(-) CCAAGGAAGTCC >mm10_chr15:59184534-59184545(+)::chr15:59184533-59184545(+) ACAAGGAATTAT >mm10_chr15:59187773-59187784(-)::chr15:59187772-59187784(-) AGCAGGAAATGA >mm10_chr15:59188523-59188534(-)::chr15:59188522-59188534(-) CAAAGGAAGAAA >mm10_chr15:59200140-59200151(+)::chr15:59200139-59200151(+) tggaggaagtct >mm10_chr15:59202151-59202162(-)::chr15:59202150-59202162(-) TCCAGGAAGTCA >mm10_chr15:59202181-59202192(+)::chr15:59202180-59202192(+) GGCAGGAAGATG >mm10_chr15:59237667-59237678(-)::chr15:59237666-59237678(-) GCCAGGAAGGAA >mm10_chr15:59263591-59263602(-)::chr15:59263590-59263602(-) AGAAGGACGTCC >mm10_chr15:59263643-59263654(-)::chr15:59263642-59263654(-) AACAGGAAGCAG >mm10_chr15:59285159-59285170(-)::chr15:59285158-59285170(-) GCCAGGAAGTTA >mm10_chr15:59332665-59332676(+)::chr15:59332664-59332676(+) AACAGGAAGGTC >mm10_chr15:59333748-59333759(-)::chr15:59333747-59333759(-) GGAAGGATGTGT >mm10_chr15:59333752-59333763(-)::chr15:59333751-59333763(-) TCAAGGAAGGAT >mm10_chr15:59340459-59340470(+)::chr15:59340458-59340470(+) TGGAGGAAGTTC >mm10_chr15:59346274-59346285(-)::chr15:59346273-59346285(-) ATAAGGAAAGAG >mm10_chr15:59439774-59439785(+)::chr15:59439773-59439785(+) ACAAGGAAGGAG >mm10_chr15:59448473-59448484(+)::chr15:59448472-59448484(+) ATAAGTAAGTGA >mm10_chr15:59486281-59486292(-)::chr15:59486280-59486292(-) GAGAGGAAGAAG >mm10_chr15:59504131-59504142(+)::chr15:59504130-59504142(+) AGCAGGAAGAAG >mm10_chr15:59504160-59504171(-)::chr15:59504159-59504171(-) GTAAGCAAGTGT >mm10_chr15:59530685-59530696(-)::chr15:59530684-59530696(-) TGGAGGAAGTTG >mm10_chr15:59531478-59531489(-)::chr15:59531477-59531489(-) TGAAGGAAGCGA >mm10_chr15:59531526-59531537(+)::chr15:59531525-59531537(+) AAAAGGAAGGGC >mm10_chr15:59533803-59533814(-)::chr15:59533802-59533814(-) aaaaggaagcca >mm10_chr15:59552690-59552701(-)::chr15:59552689-59552701(-) AACGGGAAGTGT >mm10_chr15:59555156-59555167(-)::chr15:59555155-59555167(-) AAGAGGAAATGC >mm10_chr15:59555162-59555173(-)::chr15:59555161-59555173(-) TGGAGGAAGAGG >mm10_chr15:59563196-59563207(-)::chr15:59563195-59563207(-) AGGAGGAAATGC >mm10_chr15:59563203-59563214(-)::chr15:59563202-59563214(-) TGAAGGAAGGAG >mm10_chr15:59585993-59586004(+)::chr15:59585992-59586004(+) AGGAGGAAGAAA >mm10_chr15:59599070-59599081(+)::chr15:59599069-59599081(+) ATCAGGAAGTCC >mm10_chr15:59599096-59599107(-)::chr15:59599095-59599107(-) AGAGGGAAGTGG >mm10_chr15:59599131-59599142(+)::chr15:59599130-59599142(+) AGAAGGAGGTAG >mm10_chr15:59614429-59614440(-)::chr15:59614428-59614440(-) GGAAGGAAGCAG >mm10_chr15:59614473-59614484(-)::chr15:59614472-59614484(-) ATCAGGAAGTAT >mm10_chr15:59614508-59614519(-)::chr15:59614507-59614519(-) CGAGGGAAGAAA >mm10_chr15:59618335-59618346(+)::chr15:59618334-59618346(+) ttaaggaaggaa >mm10_chr15:59618339-59618350(+)::chr15:59618338-59618350(+) ggaaggaaggaa >mm10_chr15:59618343-59618354(+)::chr15:59618342-59618354(+) ggaaggaaggaa >mm10_chr15:59618347-59618358(+)::chr15:59618346-59618358(+) ggaaggaaggaa >mm10_chr15:59618351-59618362(+)::chr15:59618350-59618362(+) ggaaggaaggaa >mm10_chr15:59618355-59618366(+)::chr15:59618354-59618366(+) ggaaggaaggaa >mm10_chr15:59618359-59618370(+)::chr15:59618358-59618370(+) ggaaggaaggaa >mm10_chr15:59618363-59618374(+)::chr15:59618362-59618374(+) ggaaggaaggaa >mm10_chr15:59618367-59618378(+)::chr15:59618366-59618378(+) ggaaggaaggaa >mm10_chr15:59618371-59618382(+)::chr15:59618370-59618382(+) ggaaggaaggaa >mm10_chr15:59618375-59618386(+)::chr15:59618374-59618386(+) ggaaggaaggaa >mm10_chr15:59618379-59618390(+)::chr15:59618378-59618390(+) ggaaggaaggaa >mm10_chr15:59618383-59618394(+)::chr15:59618382-59618394(+) ggaaggaaggaa >mm10_chr15:59618387-59618398(+)::chr15:59618386-59618398(+) ggaaggaagggt >mm10_chr15:59620150-59620161(+)::chr15:59620149-59620161(+) TGGAGGAAGAGA >mm10_chr15:59622689-59622700(-)::chr15:59622688-59622700(-) TTAAGGAAGGAG >mm10_chr15:59622709-59622720(-)::chr15:59622708-59622720(-) GAAAGGAAGTGG >mm10_chr15:59631199-59631210(-)::chr15:59631198-59631210(-) aggaggaagaag >mm10_chr15:59631208-59631219(-)::chr15:59631207-59631219(-) gagaggaagagg >mm10_chr15:59631235-59631246(-)::chr15:59631234-59631246(-) aagaggaagaag >mm10_chr15:59631241-59631252(-)::chr15:59631240-59631252(-) aggaggaagagg >mm10_chr15:59631253-59631264(-)::chr15:59631252-59631264(-) aggaggaaggag >mm10_chr15:59631268-59631279(-)::chr15:59631267-59631279(-) AAgaggaagggg >mm10_chr15:59634094-59634105(+)::chr15:59634093-59634105(+) AGCAGGAAGCCA >mm10_chr15:59644431-59644442(+)::chr15:59644430-59644442(+) ACCAGGAAGAAT >mm10_chr15:59644442-59644453(-)::chr15:59644441-59644453(-) GGCAGGAAGTAA >mm10_chr15:59645355-59645366(+)::chr15:59645354-59645366(+) aaagggaagtga >mm10_chr15:59645389-59645400(-)::chr15:59645388-59645400(-) CGGAGGAAATCC >mm10_chr15:59645715-59645726(-)::chr15:59645714-59645726(-) acaaggaaattc >mm10_chr15:59660236-59660247(-)::chr15:59660235-59660247(-) GCAAGGAAGCCA >mm10_chr15:59660249-59660260(-)::chr15:59660248-59660260(-) AGGAGGAAATTA >mm10_chr15:59680277-59680288(-)::chr15:59680276-59680288(-) AACGGGAAGTGT >mm10_chr15:59681022-59681033(-)::chr15:59681021-59681033(-) ACCAGGAAATGC >mm10_chr15:59691866-59691877(+)::chr15:59691865-59691877(+) cccaggaagtag >mm10_chr15:59721552-59721563(+)::chr15:59721551-59721563(+) ATGAGGAAGGAA >mm10_chr15:59721556-59721567(+)::chr15:59721555-59721567(+) GGAAGGAAGCAG >mm10_chr15:59728497-59728508(-)::chr15:59728496-59728508(-) ATAAGGAAGGAC >mm10_chr15:59773771-59773782(-)::chr15:59773770-59773782(-) gtgaggaagaac >mm10_chr15:59797331-59797342(-)::chr15:59797330-59797342(-) ATGAGGAAGGCC >mm10_chr15:60659929-60659940(+)::chr15:60659928-60659940(+) ATCAGGAAGGTA >mm10_chr15:60714065-60714076(+)::chr15:60714064-60714076(+) TCCAGGAAGTGT >mm10_chr15:60714095-60714106(-)::chr15:60714094-60714106(-) GTAAGGAAGGGC >mm10_chr15:60739653-60739664(+)::chr15:60739652-60739664(+) CCAAGGAAGTTG >mm10_chr15:60739683-60739694(-)::chr15:60739682-60739694(-) AGAGGGAAGTTC >mm10_chr15:60779734-60779745(+)::chr15:60779733-60779745(+) ACAAGGAAGTTT >mm10_chr15:60788186-60788197(+)::chr15:60788185-60788197(+) ACAGGGAAATAT >mm10_chr15:60788223-60788234(+)::chr15:60788222-60788234(+) AACAGGAAGGAA >mm10_chr15:60788227-60788238(+)::chr15:60788226-60788238(+) GGAAGGAAATGG >mm10_chr15:60788880-60788891(-)::chr15:60788879-60788891(-) agaagaaagtgt >mm10_chr15:60794108-60794119(-)::chr15:60794107-60794119(-) agcaggaagtcc >mm10_chr15:60810757-60810768(+)::chr15:60810756-60810768(+) aggaggaagagg >mm10_chr15:60810769-60810780(+)::chr15:60810768-60810780(+) aggaggaaggag >mm10_chr15:60810781-60810792(+)::chr15:60810780-60810792(+) gagaggaaggag >mm10_chr15:60822899-60822910(+)::chr15:60822898-60822910(+) GGGAGGAAGAGG >mm10_chr15:60822927-60822938(-)::chr15:60822926-60822938(-) AAAAGGAAGCTG >mm10_chr15:60824286-60824297(+)::chr15:60824285-60824297(+) GGAAGGAAATAG >mm10_chr15:60824309-60824320(-)::chr15:60824308-60824320(-) AGAAGGAAATTG >mm10_chr15:60924363-60924374(-)::chr15:60924362-60924374(-) AGCAGGAAGGGG >mm10_chr15:60952574-60952585(+)::chr15:60952573-60952585(+) acaaggaaggaa >mm10_chr15:60968735-60968746(-)::chr15:60968734-60968746(-) ATGAGGAAGTGG >mm10_chr15:60994406-60994417(+)::chr15:60994405-60994417(+) AGCAGGAAGTTT >mm10_chr15:61059293-61059304(+)::chr15:61059292-61059304(+) atcaggatgtag >mm10_chr15:61128197-61128208(+)::chr15:61128196-61128208(+) AGAAGGAAGGAT >mm10_chr15:61166025-61166036(-)::chr15:61166024-61166036(-) TGAAGGAAGGGG >mm10_chr15:61166097-61166108(-)::chr15:61166096-61166108(-) TCGAGGAAGAAG >mm10_chr15:61223184-61223195(+)::chr15:61223183-61223195(+) CCCAGGAAGTAC >mm10_chr15:61223639-61223650(-)::chr15:61223638-61223650(-) ATGAGGATGTAT >mm10_chr15:61223655-61223666(+)::chr15:61223654-61223666(+) AACAGGAAATGA >mm10_chr15:61233302-61233313(+)::chr15:61233301-61233313(+) TGGAGGAAGAGA >mm10_chr15:61233347-61233358(+)::chr15:61233346-61233358(+) AAGAGGAAGGTG >mm10_chr15:61242003-61242014(+)::chr15:61242002-61242014(+) gtgaggaagagg >mm10_chr15:61242009-61242020(+)::chr15:61242008-61242020(+) aagaggaaatga >mm10_chr15:61242418-61242429(-)::chr15:61242417-61242429(-) CAAAGGAAATAG >mm10_chr15:61242454-61242465(-)::chr15:61242453-61242465(-) ACAAGGAAGTCA >mm10_chr15:61265553-61265564(-)::chr15:61265552-61265564(-) ATAGGGAAGAGT >mm10_chr15:61353068-61353079(+)::chr15:61353067-61353079(+) AAAAGGAAGTCA >mm10_chr15:61353103-61353114(+)::chr15:61353102-61353114(+) GGGAGGAAATGT >mm10_chr15:61361485-61361496(-)::chr15:61361484-61361496(-) TTAAGGAAGTCA >mm10_chr15:61361493-61361504(+)::chr15:61361492-61361504(+) TTAAGGAAATCC >mm10_chr15:61366331-61366342(+)::chr15:61366330-61366342(+) TAAAGGAAGTCA >mm10_chr15:61368793-61368804(-)::chr15:61368792-61368804(-) ACCAGGAAGTTC >mm10_chr15:61394992-61395003(-)::chr15:61394991-61395003(-) AGAAGGAAGTAA >mm10_chr15:61394999-61395010(-)::chr15:61394998-61395010(-) TGCAGGAAGAAG >mm10_chr15:61399013-61399024(-)::chr15:61399012-61399024(-) GGAAGGAAGTTC >mm10_chr15:61399017-61399028(-)::chr15:61399016-61399028(-) GCCAGGAAGGAA >mm10_chr15:61401308-61401319(+)::chr15:61401307-61401319(+) TGCAGGAAATGT >mm10_chr15:61401330-61401341(+)::chr15:61401329-61401341(+) TGCAGGAAGACA >mm10_chr15:61503707-61503718(+)::chr15:61503706-61503718(+) ACACGGAAGCTA >mm10_chr15:61517508-61517519(+)::chr15:61517507-61517519(+) GGAAGGAAATCC >mm10_chr15:61517518-61517529(-)::chr15:61517517-61517529(-) AAAAGGAAGAGG >mm10_chr15:61529798-61529809(+)::chr15:61529797-61529809(+) ATGAGGAAGTTC >mm10_chr15:61529818-61529829(+)::chr15:61529817-61529829(+) AGCAGGAAGGAG >mm10_chr15:61529825-61529836(+)::chr15:61529824-61529836(+) AGGAGGAAGCAC >mm10_chr15:61530457-61530468(+)::chr15:61530456-61530468(+) agaaggaaaggg >mm10_chr15:61530475-61530486(+)::chr15:61530474-61530486(+) aggaggaagagg >mm10_chr15:61530493-61530504(+)::chr15:61530492-61530504(+) aggaggaagaCA >mm10_chr15:61541010-61541021(+)::chr15:61541009-61541021(+) AAGAGGAAGTAG >mm10_chr15:61541331-61541342(+)::chr15:61541330-61541342(+) CCCAGGAAGTAA >mm10_chr15:61541380-61541391(+)::chr15:61541379-61541391(+) GACAGGAAGGCG >mm10_chr15:61553207-61553218(+)::chr15:61553206-61553218(+) GAAGGGAAGTCC >mm10_chr15:61562359-61562370(+)::chr15:61562358-61562370(+) GGGAGGAAGCAA >mm10_chr15:61574433-61574444(+)::chr15:61574432-61574444(+) TACAGGAAATTG >mm10_chr15:61589358-61589369(-)::chr15:61589357-61589369(-) AAGAGGAAGTAA >mm10_chr15:61613177-61613188(+)::chr15:61613176-61613188(+) GGAAGGAAGCAA >mm10_chr15:61613209-61613220(-)::chr15:61613208-61613220(-) ATGAGGAAGTGC >mm10_chr15:61620388-61620399(-)::chr15:61620387-61620399(-) ACCAGGAAGTCA >mm10_chr15:61650024-61650035(+)::chr15:61650023-61650035(+) AGAAGGAAGCCA >mm10_chr15:61670812-61670823(-)::chr15:61670811-61670823(-) AGAAGGAAGAGG >mm10_chr15:61708119-61708130(+)::chr15:61708118-61708130(+) ATAAGGAAGCAA >mm10_chr15:61708161-61708172(+)::chr15:61708160-61708172(+) AAAAGGAAATCC >mm10_chr15:61744735-61744746(-)::chr15:61744734-61744746(-) GGAAGGAAGGAG >mm10_chr15:61744739-61744750(-)::chr15:61744738-61744750(-) GGAAGGAAGGAA >mm10_chr15:61744743-61744754(-)::chr15:61744742-61744754(-) GGAAGGAAGGAA >mm10_chr15:61744795-61744806(+)::chr15:61744794-61744806(+) GAAAGGAAGCAC >mm10_chr15:61768590-61768601(+)::chr15:61768589-61768601(+) TCCAGGAAGATG >mm10_chr15:61768607-61768618(+)::chr15:61768606-61768618(+) ACCAGGAAGGAA >mm10_chr15:61781597-61781608(-)::chr15:61781596-61781608(-) AGGAGGAAATGC >mm10_chr15:61794366-61794377(+)::chr15:61794365-61794377(+) CTGAGGAAGTAT >mm10_chr15:61794674-61794685(-)::chr15:61794673-61794685(-) ACGAGGAAATGG >mm10_chr15:61800876-61800887(+)::chr15:61800875-61800887(+) TAAAGGAAATAT >mm10_chr15:61873446-61873457(+)::chr15:61873445-61873457(+) ACCAGGAAATAG >mm10_chr15:61908003-61908014(+)::chr15:61908002-61908014(+) AGCAGGAAGTGA >mm10_chr15:61937889-61937900(-)::chr15:61937888-61937900(-) AGGAGGAAGCAG >mm10_chr15:61938138-61938149(+)::chr15:61938137-61938149(+) ACAAGGAAGTCA >mm10_chr15:61939854-61939865(-)::chr15:61939853-61939865(-) ggaaggaagcag >mm10_chr15:61983626-61983637(+)::chr15:61983625-61983637(+) TGAAGGAAGATA >mm10_chr15:62008381-62008392(+)::chr15:62008380-62008392(+) GGAAGGAAGTTG >mm10_chr15:62017786-62017797(+)::chr15:62017785-62017797(+) ATAAGGAAATGA >mm10_chr15:62035257-62035268(-)::chr15:62035256-62035268(-) aagaggaagaga >mm10_chr15:62035263-62035274(-)::chr15:62035262-62035274(-) gagaggaagagg >mm10_chr15:62035278-62035289(-)::chr15:62035277-62035289(-) gagaggaagaga >mm10_chr15:62035293-62035304(-)::chr15:62035292-62035304(-) aagaggaagaga >mm10_chr15:62035299-62035310(-)::chr15:62035298-62035310(-) tggaggaagagg >mm10_chr15:62035311-62035322(-)::chr15:62035310-62035322(-) aggaggaagaaa >mm10_chr15:62053511-62053522(+)::chr15:62053510-62053522(+) AAAAGGAAATAG >mm10_chr15:62054730-62054741(-)::chr15:62054729-62054741(-) TAAAGGAAGCGA >mm10_chr15:62055164-62055175(-)::chr15:62055163-62055175(-) atgaggaagtgg >mm10_chr15:62055225-62055236(+)::chr15:62055224-62055236(+) tccaggaagcGA >mm10_chr15:62060065-62060076(+)::chr15:62060064-62060076(+) ATAAGGAAGTGC >mm10_chr15:62060125-62060136(-)::chr15:62060124-62060136(-) ACCAGGAAGGAG >mm10_chr15:62060150-62060161(+)::chr15:62060149-62060161(+) TCCAGGAAGTTG >mm10_chr15:62071444-62071455(-)::chr15:62071443-62071455(-) gaagggaagtaa >mm10_chr15:62071474-62071485(+)::chr15:62071473-62071485(+) AAAGGGAAGTGT >mm10_chr15:62091972-62091983(-)::chr15:62091971-62091983(-) AAAAGGAACGTT >mm10_chr15:62092788-62092799(+)::chr15:62092787-62092799(+) GGGAGGAAGAAG >mm10_chr15:62092925-62092936(-)::chr15:62092924-62092936(-) AGGAGGAAATGA >mm10_chr15:62092939-62092950(+)::chr15:62092938-62092950(+) ACCAGGAAGGGG >mm10_chr15:62097407-62097418(-)::chr15:62097406-62097418(-) agcaggaagtga >mm10_chr15:62104883-62104894(-)::chr15:62104882-62104894(-) ATCAGGAAGCAA >mm10_chr15:62132956-62132967(+)::chr15:62132955-62132967(+) GGCAGGAAGCAA >mm10_chr15:62149311-62149322(+)::chr15:62149310-62149322(+) TGGAGGAAGTTG >mm10_chr15:62162359-62162370(-)::chr15:62162358-62162370(-) TGGAGGAAGACA >mm10_chr15:62166273-62166284(+)::chr15:62166272-62166284(+) TTAAGGAAGTAT >mm10_chr15:62166359-62166370(+)::chr15:62166358-62166370(+) TTAAGGAAGAGT >mm10_chr15:62169151-62169162(-)::chr15:62169150-62169162(-) TCCAGGAAGTCA >mm10_chr15:62169182-62169193(-)::chr15:62169181-62169193(-) ACAAGGAAATAC >mm10_chr15:62206657-62206668(+)::chr15:62206656-62206668(+) GCCAGGAAGAAA >mm10_chr15:62212636-62212647(+)::chr15:62212635-62212647(+) TGCAGGAAGTAT >mm10_chr15:62216836-62216847(-)::chr15:62216835-62216847(-) GTACGGAAGCCG >mm10_chr15:62229136-62229147(+)::chr15:62229135-62229147(+) ATAAGGAAGTAg >mm10_chr15:62230140-62230151(-)::chr15:62230139-62230151(-) AAGAGGAAGCAA >mm10_chr15:62230870-62230881(-)::chr15:62230869-62230881(-) GGAAGGAAAGTA >mm10_chr15:62230874-62230885(-)::chr15:62230873-62230885(-) TCAAGGAAGGAA >mm10_chr15:62233087-62233098(-)::chr15:62233086-62233098(-) AACAGGAAATGG >mm10_chr15:62264744-62264755(-)::chr15:62264743-62264755(-) GGCAGGAAGGGA >mm10_chr15:62265324-62265335(+)::chr15:62265323-62265335(+) ATGAGGAAGAGG >mm10_chr15:62265349-62265360(+)::chr15:62265348-62265360(+) GTCAGGAAGAAC >mm10_chr15:62282245-62282256(-)::chr15:62282244-62282256(-) accaggaagtcc >mm10_chr15:62291821-62291832(-)::chr15:62291820-62291832(-) ACCAGGAAGATG >mm10_chr15:62291834-62291845(-)::chr15:62291833-62291845(-) AAAAGAAAGTAT >mm10_chr15:62291856-62291867(-)::chr15:62291855-62291867(-) GGAAGGAAGAAA >mm10_chr15:62295469-62295480(-)::chr15:62295468-62295480(-) AGGAGGAAATTG >mm10_chr15:62298857-62298868(-)::chr15:62298856-62298868(-) GGAAGGAAGTGA >mm10_chr15:62321285-62321296(+)::chr15:62321284-62321296(+) GGTAGGaagagc >mm10_chr15:62321320-62321331(+)::chr15:62321319-62321331(+) ccagggaagtcg >mm10_chr15:62351043-62351054(+)::chr15:62351042-62351054(+) tgaaggaaaata >mm10_chr15:62351055-62351066(+)::chr15:62351054-62351066(+) gggaggaagtaa >mm10_chr15:62363225-62363236(-)::chr15:62363224-62363236(-) aggaggaagaAA >mm10_chr15:62363252-62363263(-)::chr15:62363251-62363263(-) aggaggaaggag >mm10_chr15:62382432-62382443(+)::chr15:62382431-62382443(+) AGGAGGAAGTCT >mm10_chr15:62385590-62385601(-)::chr15:62385589-62385601(-) ATGAGGAAGTCT >mm10_chr15:62390486-62390497(+)::chr15:62390485-62390497(+) ACAAGGAAGGGT >mm10_chr15:62392673-62392684(-)::chr15:62392672-62392684(-) GGAAGGAAGGGG >mm10_chr15:62392677-62392688(-)::chr15:62392676-62392688(-) AGAAGGAAGGAA >mm10_chr15:62393055-62393066(-)::chr15:62393054-62393066(-) GCAAGGAGGTAC >mm10_chr15:62456361-62456372(-)::chr15:62456360-62456372(-) ACCAGGATGTAT >mm10_chr15:62503839-62503850(-)::chr15:62503838-62503850(-) AGGAGGAAGCAG >mm10_chr15:62744933-62744944(+)::chr15:62744932-62744944(+) AGAAGGAAGAAG >mm10_chr15:62744957-62744968(+)::chr15:62744956-62744968(+) AGAAGGAAGGGG >mm10_chr15:62885189-62885200(-)::chr15:62885188-62885200(-) GTGAGGAAGAAA >mm10_chr15:62903237-62903248(+)::chr15:62903236-62903248(+) AAAAGCAAGTGG >mm10_chr15:62934653-62934664(+)::chr15:62934652-62934664(+) ACAAGGAAGTGA >mm10_chr15:62936077-62936088(-)::chr15:62936076-62936088(-) TCCAGGAAGATG >mm10_chr15:63360486-63360497(-)::chr15:63360485-63360497(-) TAAAGGTAGTAA >mm10_chr15:63360510-63360521(-)::chr15:63360509-63360521(-) GTAAGGAAGGCG >mm10_chr15:63709541-63709552(+)::chr15:63709540-63709552(+) ATGAGGAAGCCA >mm10_chr15:63804534-63804545(-)::chr15:63804533-63804545(-) TCAAGGAAGAGA >mm10_chr15:63804556-63804567(-)::chr15:63804555-63804567(-) GCAAGGATGTGG >mm10_chr15:63973614-63973625(-)::chr15:63973613-63973625(-) tgaaggaagagg >mm10_chr15:63998138-63998149(+)::chr15:63998137-63998149(+) GCCAGGAAGTGA >mm10_chr15:64000110-64000121(-)::chr15:64000109-64000121(-) aggaggaaatgc >mm10_chr15:64002140-64002151(-)::chr15:64002139-64002151(-) ATCAGGAAGTTG >mm10_chr15:64002192-64002203(+)::chr15:64002191-64002203(+) ACAAGGAAGTAC >mm10_chr15:64012600-64012611(+)::chr15:64012599-64012611(+) GGAAGGAAGCAT >mm10_chr15:64058847-64058858(+)::chr15:64058846-64058858(+) TCCAGGAAGAAA >mm10_chr15:64059627-64059638(+)::chr15:64059626-64059638(+) CAAAGGAAGTCC >mm10_chr15:64060253-64060264(-)::chr15:64060252-64060264(-) ACCCGGAAGCGG >mm10_chr15:64060385-64060396(+)::chr15:64060384-64060396(+) AAAAGGAAGAGG >mm10_chr15:64060402-64060413(+)::chr15:64060401-64060413(+) AGGAGGAAGAGG >mm10_chr15:64060408-64060419(+)::chr15:64060407-64060419(+) AAGAGGAAGCGC >mm10_chr15:64091521-64091532(+)::chr15:64091520-64091532(+) GGAAGGAGGTAA >mm10_chr15:64106489-64106500(-)::chr15:64106488-64106500(-) GCAAGGAAGAGT >mm10_chr15:64113305-64113316(+)::chr15:64113304-64113316(+) AGGAGGAAGTGG >mm10_chr15:64113318-64113329(+)::chr15:64113317-64113329(+) AAGAGGAAATGC >mm10_chr15:64185971-64185982(+)::chr15:64185970-64185982(+) AACAGGAAGTGC >mm10_chr15:64186023-64186034(+)::chr15:64186022-64186034(+) AGCAGGAAGTGC >mm10_chr15:64214827-64214838(+)::chr15:64214826-64214838(+) TCAAGGAAGCCT >mm10_chr15:64225959-64225970(-)::chr15:64225958-64225970(-) AGAAGGAAGATG >mm10_chr15:64225982-64225993(+)::chr15:64225981-64225993(+) CCAAGGAAATTG >mm10_chr15:64239521-64239532(+)::chr15:64239520-64239532(+) AACAGGAAGTTG >mm10_chr15:64239548-64239559(+)::chr15:64239547-64239559(+) AGGAGGAAATGG >mm10_chr15:64240877-64240888(-)::chr15:64240876-64240888(-) TTAAGGAAATTC >mm10_chr15:64240934-64240945(-)::chr15:64240933-64240945(-) AGCAGGAAGCAG >mm10_chr15:64242763-64242774(+)::chr15:64242762-64242774(+) AGAAGGAAACAT >mm10_chr15:64246185-64246196(-)::chr15:64246184-64246196(-) AGCAGGAAGAAG >mm10_chr15:64246192-64246203(-)::chr15:64246191-64246203(-) CCAAGGAAGCAG >mm10_chr15:64246208-64246219(+)::chr15:64246207-64246219(+) ACAAGGAAGAAG >mm10_chr15:64261773-64261784(+)::chr15:64261772-64261784(+) CCAAGGAAGAAA >mm10_chr15:64261815-64261826(-)::chr15:64261814-64261826(-) AAGAGGAAGCAT >mm10_chr15:64262652-64262663(-)::chr15:64262651-64262663(-) ACAAGGAAGAGA >mm10_chr15:64283817-64283828(-)::chr15:64283816-64283828(-) AAGAGGAAGCAA >mm10_chr15:64285008-64285019(-)::chr15:64285007-64285019(-) AAAAGGAAGCAG >mm10_chr15:64285019-64285030(-)::chr15:64285018-64285030(-) aggaggaagaAA >mm10_chr15:64285040-64285051(-)::chr15:64285039-64285051(-) aagaggaagagg >mm10_chr15:64285046-64285057(-)::chr15:64285045-64285057(-) aagaggaagagg >mm10_chr15:64285058-64285069(-)::chr15:64285057-64285069(-) agaaggaagaag >mm10_chr15:64285070-64285081(-)::chr15:64285069-64285081(-) aagaggaaggag >mm10_chr15:64285076-64285087(-)::chr15:64285075-64285087(-) aagaggaagagg >mm10_chr15:64304410-64304421(+)::chr15:64304409-64304421(+) ATAAGGAAACAA >mm10_chr15:64314199-64314210(+)::chr15:64314198-64314210(+) GCAGGGAAGTGT >mm10_chr15:64314221-64314232(+)::chr15:64314220-64314232(+) TACAGGAAGAAC >mm10_chr15:64342652-64342663(+)::chr15:64342651-64342663(+) TGAGGGAAGTGA >mm10_chr15:64342679-64342690(-)::chr15:64342678-64342690(-) GTAAGGAAGTCC >mm10_chr15:64342967-64342978(-)::chr15:64342966-64342978(-) CTAAGGAAGTGA >mm10_chr15:64366710-64366721(-)::chr15:64366709-64366721(-) ATGAGGAAGGGA >mm10_chr15:64371004-64371015(-)::chr15:64371003-64371015(-) AAGAGGAAGCAG >mm10_chr15:64376855-64376866(-)::chr15:64376854-64376866(-) GACAGGAAGAGG >mm10_chr15:64376918-64376929(+)::chr15:64376917-64376929(+) TGGAGGAAGTAC >mm10_chr15:65053967-65053978(-)::chr15:65053966-65053978(-) AGGAGGAAATGT >mm10_chr15:65416243-65416254(+)::chr15:65416242-65416254(+) ATCAGGAAATTG >mm10_chr15:65796088-65796099(+)::chr15:65796087-65796099(+) TGAAGGAAATAG >mm10_chr15:65840904-65840915(-)::chr15:65840903-65840915(-) atcaggaagcca >mm10_chr15:65840974-65840985(-)::chr15:65840973-65840985(-) agaaggaagaag >mm10_chr15:65946764-65946775(+)::chr15:65946763-65946775(+) TGTAGGAAGTTg >mm10_chr15:65972823-65972834(+)::chr15:65972822-65972834(+) AGCAGGAAGCTC >mm10_chr15:66297653-66297664(+)::chr15:66297652-66297664(+) ACCAGGAAGGGG >mm10_chr15:66507969-66507980(-)::chr15:66507968-66507980(-) aacaggaagtcc >mm10_chr15:66543770-66543781(-)::chr15:66543769-66543781(-) TCCAGGAAATAA >mm10_chr15:66545481-66545492(+)::chr15:66545480-66545492(+) TAGAGGAAGAAG >mm10_chr15:66549599-66549610(+)::chr15:66549598-66549610(+) agaaggaagtgg >mm10_chr15:66549650-66549661(+)::chr15:66549649-66549661(+) agtaggaagagg >mm10_chr15:66682603-66682614(+)::chr15:66682602-66682614(+) TGGAGGAAGCTA >mm10_chr15:66790248-66790259(+)::chr15:66790247-66790259(+) CTGAGGAAGTGA >mm10_chr15:66847320-66847331(-)::chr15:66847319-66847331(-) ACAAGGAAAAGG >mm10_chr15:66883754-66883765(-)::chr15:66883753-66883765(-) GACAGGAAGTAG >mm10_chr15:66886150-66886161(+)::chr15:66886149-66886161(+) AGGAGGAAGGCA >mm10_chr15:66893373-66893384(+)::chr15:66893372-66893384(+) ATACGGAAGGAA >mm10_chr15:66893419-66893430(+)::chr15:66893418-66893430(+) TTGAGGAAGTAG >mm10_chr15:66911102-66911113(-)::chr15:66911101-66911113(-) GAAAGGAAGGGA >mm10_chr15:66911741-66911752(-)::chr15:66911740-66911752(-) TCAAGGAAGACT >mm10_chr15:66930504-66930515(+)::chr15:66930503-66930515(+) AACAGGAAGTAG >mm10_chr15:66935700-66935711(+)::chr15:66935699-66935711(+) AACAGGAAGCAG >mm10_chr15:66935721-66935732(+)::chr15:66935720-66935732(+) AGGAGGAAGATG >mm10_chr15:66935734-66935745(+)::chr15:66935733-66935745(+) GCAAGGAAGCTG >mm10_chr15:66950325-66950336(-)::chr15:66950324-66950336(-) ACGAGGAAATTG >mm10_chr15:66950347-66950358(-)::chr15:66950346-66950358(-) AGGAGGAAGCTG >mm10_chr15:66964936-66964947(+)::chr15:66964935-66964947(+) AGCAGGAAGCGG >mm10_chr15:66964942-66964953(+)::chr15:66964941-66964953(+) AAGCGGAAGTGC >mm10_chr15:66981869-66981880(+)::chr15:66981868-66981880(+) AGAAGGAAGGAA >mm10_chr15:67034623-67034634(+)::chr15:67034622-67034634(+) ATGAGGAAGACC >mm10_chr15:67039542-67039553(+)::chr15:67039541-67039553(+) GGCCGGAAGTGG >mm10_chr15:67045100-67045111(-)::chr15:67045099-67045111(-) TCGAGGAAGCTC >mm10_chr15:67045452-67045463(+)::chr15:67045451-67045463(+) ACTAGGAAGAAT >mm10_chr15:67045482-67045493(+)::chr15:67045481-67045493(+) CACAGGAAGTCA >mm10_chr15:67046620-67046631(+)::chr15:67046619-67046631(+) GCAAGGAAGTAT >mm10_chr15:67069146-67069157(+)::chr15:67069145-67069157(+) tggaggaagtcc >mm10_chr15:67080535-67080546(-)::chr15:67080534-67080546(-) TGGAGGAAGAAG >mm10_chr15:67081848-67081859(+)::chr15:67081847-67081859(+) GCAAGGAAATGT >mm10_chr15:67085931-67085942(-)::chr15:67085930-67085942(-) GGAAGGAAATGG >mm10_chr15:67085973-67085984(-)::chr15:67085972-67085984(-) AAAAGGAAGAAC >mm10_chr15:67086804-67086815(-)::chr15:67086803-67086815(-) AGGAGGAAATAA >mm10_chr15:67086857-67086868(-)::chr15:67086856-67086868(-) AAGAGGAAGGTG >mm10_chr15:67092417-67092428(+)::chr15:67092416-67092428(+) ACCAGGAAGTCC >mm10_chr15:67101425-67101436(+)::chr15:67101424-67101436(+) ATGAGGAAATAG >mm10_chr15:67101449-67101460(+)::chr15:67101448-67101460(+) CAAAGGAAGGAA >mm10_chr15:67101453-67101464(+)::chr15:67101452-67101464(+) GGAAGGAAGCCA >mm10_chr15:67107246-67107257(-)::chr15:67107245-67107257(-) GGAAGGAAGTGT >mm10_chr15:67112850-67112861(+)::chr15:67112849-67112861(+) tatagGAAGGGA >mm10_chr15:67112879-67112890(+)::chr15:67112878-67112890(+) ACACGGAAGACC >mm10_chr15:67112894-67112905(-)::chr15:67112893-67112905(-) ATCAGGAAATAC >mm10_chr15:67116750-67116761(-)::chr15:67116749-67116761(-) GAAAGGAAGGGC >mm10_chr15:67121459-67121470(-)::chr15:67121458-67121470(-) ATGAGGAAGTGC >mm10_chr15:67125168-67125179(+)::chr15:67125167-67125179(+) TTAAGGAAGATA >mm10_chr15:67139087-67139098(-)::chr15:67139086-67139098(-) ACCAGGAAGGAG >mm10_chr15:67147463-67147474(+)::chr15:67147462-67147474(+) AAGAGGAAATAC >mm10_chr15:67147704-67147715(+)::chr15:67147703-67147715(+) GCAAGGAAGAAC >mm10_chr15:67151392-67151403(+)::chr15:67151391-67151403(+) TAGAGGAAATAA >mm10_chr15:67155957-67155968(-)::chr15:67155956-67155968(-) CCAAGGAAGGAA >mm10_chr15:67161673-67161684(+)::chr15:67161672-67161684(+) acgaggaaacgg >mm10_chr15:67161679-67161690(+)::chr15:67161678-67161690(+) aaacggaagcac >mm10_chr15:67189490-67189501(+)::chr15:67189489-67189501(+) atgaggaagagg >mm10_chr15:67189502-67189513(+)::chr15:67189501-67189513(+) aaaaggaagagg >mm10_chr15:67189517-67189528(+)::chr15:67189516-67189528(+) agaaggaagagg >mm10_chr15:67189637-67189648(-)::chr15:67189636-67189648(-) AGGAGGAAGGAT >mm10_chr15:67190178-67190189(-)::chr15:67190177-67190189(-) AACAGGAAATTG >mm10_chr15:67210392-67210403(+)::chr15:67210391-67210403(+) AGCAGGAAGCAG >mm10_chr15:67218113-67218124(+)::chr15:67218112-67218124(+) ATGAGGAAGGGT >mm10_chr15:67290107-67290118(+)::chr15:67290106-67290118(+) ACCAGGAAGGAA >mm10_chr15:67304866-67304877(+)::chr15:67304865-67304877(+) AGAAGGAAGCTC >mm10_chr15:67305595-67305606(+)::chr15:67305594-67305606(+) ATaaggaagtct >mm10_chr15:67337406-67337417(-)::chr15:67337405-67337417(-) ggaaggaagAGG >mm10_chr15:67337410-67337421(-)::chr15:67337409-67337421(-) acaaggaaggaa >mm10_chr15:67337433-67337444(-)::chr15:67337432-67337444(-) agaaggaagtgg >mm10_chr15:67337447-67337458(-)::chr15:67337446-67337458(-) ggaaggaagcca >mm10_chr15:67386762-67386773(-)::chr15:67386761-67386773(-) ATAAAGAAGTGG >mm10_chr15:67386786-67386797(-)::chr15:67386785-67386797(-) ATCAGGAAGGGA >mm10_chr15:67396710-67396721(+)::chr15:67396709-67396721(+) aacaggatgtaa >mm10_chr15:67396750-67396761(+)::chr15:67396749-67396761(+) tggaggaagagt >mm10_chr15:67396763-67396774(+)::chr15:67396762-67396774(+) ggaaggaagagt >mm10_chr15:67397437-67397448(+)::chr15:67397436-67397448(+) TGAAGGAAGTTT >mm10_chr15:67397474-67397485(+)::chr15:67397473-67397485(+) atcaggaagatc >mm10_chr15:67475258-67475269(-)::chr15:67475257-67475269(-) TCAAGGAAgcaa >mm10_chr15:67476318-67476329(+)::chr15:67476317-67476329(+) TCCAGGAAGGGA >mm10_chr15:67476330-67476341(+)::chr15:67476329-67476341(+) TTGAGGAAGTGA >mm10_chr15:67479477-67479488(-)::chr15:67479476-67479488(-) ATAAGGAAGTTG >mm10_chr15:67546532-67546543(+)::chr15:67546531-67546543(+) AGTAGGAAGGTG >mm10_chr15:67546587-67546598(+)::chr15:67546586-67546598(+) aggaggaagaca >mm10_chr15:67583600-67583611(+)::chr15:67583599-67583611(+) accaggaactag >mm10_chr15:67611393-67611404(-)::chr15:67611392-67611404(-) ATAAGAAAGTGG >mm10_chr15:67677279-67677290(-)::chr15:67677278-67677290(-) ccaaggaagaat >mm10_chr15:67677542-67677553(+)::chr15:67677541-67677553(+) AAAGGGAAGTGG >mm10_chr15:67677558-67677569(+)::chr15:67677557-67677569(+) AAAAGGAAGAAG >mm10_chr15:67733168-67733179(-)::chr15:67733167-67733179(-) aacaggaagctg >mm10_chr15:67733208-67733219(-)::chr15:67733207-67733219(-) aacaggaagcag >mm10_chr15:67761124-67761135(+)::chr15:67761123-67761135(+) ATAGGGAAATTA >mm10_chr15:67775245-67775256(+)::chr15:67775244-67775256(+) AGAAGGAAGCTC >mm10_chr15:67775257-67775268(+)::chr15:67775256-67775268(+) AGAGGGAAGTAC >mm10_chr15:67781149-67781160(-)::chr15:67781148-67781160(-) TCAAGGAAGTTA >mm10_chr15:67785335-67785346(+)::chr15:67785334-67785346(+) AGGAGGAAGGTG >mm10_chr15:67809970-67809981(+)::chr15:67809969-67809981(+) GGGAGGAAGTTG >mm10_chr15:67815893-67815904(+)::chr15:67815892-67815904(+) aagaggaagttg >mm10_chr15:67823750-67823761(+)::chr15:67823749-67823761(+) gtaaggaagaaa >mm10_chr15:67896896-67896907(-)::chr15:67896895-67896907(-) ATAAGGAAAAAT >mm10_chr15:67903261-67903272(+)::chr15:67903260-67903272(+) AAAAGGAAGTCT >mm10_chr15:67953818-67953829(+)::chr15:67953817-67953829(+) ACAAGGAACTAG >mm10_chr15:67953825-67953836(+)::chr15:67953824-67953836(+) ACTAGGAAGGAG >mm10_chr15:67953829-67953840(+)::chr15:67953828-67953840(+) GGAAGGAGGTGA >mm10_chr15:67953848-67953859(+)::chr15:67953847-67953859(+) AGAAGGAAGATG >mm10_chr15:68011746-68011757(-)::chr15:68011745-68011757(-) acaaggaagact >mm10_chr15:68012214-68012225(+)::chr15:68012213-68012225(+) AAAAGAAAGTAG >mm10_chr15:68012262-68012273(-)::chr15:68012261-68012273(-) AGCAGGAAGCAG >mm10_chr15:68012269-68012280(-)::chr15:68012268-68012280(-) TAAAGGAAGCAG >mm10_chr15:68072589-68072600(+)::chr15:68072588-68072600(+) CTAAGGAAATAG >mm10_chr15:68073233-68073244(-)::chr15:68073232-68073244(-) AGAAGGAAGAAG >mm10_chr15:68118155-68118166(+)::chr15:68118154-68118166(+) gccaggaagtat >mm10_chr15:68151325-68151336(+)::chr15:68151324-68151336(+) acaaggaactct >mm10_chr15:68151363-68151374(+)::chr15:68151362-68151374(+) ataaggaaatcc >mm10_chr15:68168013-68168024(+)::chr15:68168012-68168024(+) AGCAGGAAGGAA >mm10_chr15:68168017-68168028(+)::chr15:68168016-68168028(+) GGAAGGAACTAT >mm10_chr15:68259073-68259084(-)::chr15:68259072-68259084(-) GCACGGAAGAGG >mm10_chr15:68260187-68260198(+)::chr15:68260186-68260198(+) ATCAGGAAGTTG >mm10_chr15:68303578-68303589(+)::chr15:68303577-68303589(+) AGGAGGAAGTGG >mm10_chr15:68317957-68317968(-)::chr15:68317956-68317968(-) ATAAGGAAAATT >mm10_chr15:68317988-68317999(+)::chr15:68317987-68317999(+) ACAAGGAAGCTA >mm10_chr15:68324979-68324990(+)::chr15:68324978-68324990(+) gtagggaagtca >mm10_chr15:68328371-68328382(-)::chr15:68328370-68328382(-) AACAGGAAATAG >mm10_chr15:68361439-68361450(-)::chr15:68361438-68361450(-) AGAAGGAAGGGA >mm10_chr15:68362354-68362365(-)::chr15:68362353-68362365(-) TGCAGGAAATAG >mm10_chr15:68363321-68363332(-)::chr15:68363320-68363332(-) TACAGGAAGCGG >mm10_chr15:68401958-68401969(-)::chr15:68401957-68401969(-) TCCAGGAAGTTT >mm10_chr15:68429071-68429082(-)::chr15:68429070-68429082(-) ACAAGGAAGCTA >mm10_chr15:68429095-68429106(-)::chr15:68429094-68429106(-) CCAAGGAAGGCT >mm10_chr15:68502045-68502056(+)::chr15:68502044-68502056(+) AAAAGGAAGTCA >mm10_chr15:68502094-68502105(+)::chr15:68502093-68502105(+) tgtagGAAGTCA >mm10_chr15:68502110-68502121(+)::chr15:68502109-68502121(+) GGAAGGAAGCAG >mm10_chr15:68562356-68562367(+)::chr15:68562355-68562367(+) acagggaagtaa >mm10_chr15:68562372-68562383(+)::chr15:68562371-68562383(+) agcaggaagtcg >mm10_chr15:68563083-68563094(-)::chr15:68563082-68563094(-) ACAAGGAACTGA >mm10_chr15:68563106-68563117(+)::chr15:68563105-68563117(+) ACAAGGAAGCTA >mm10_chr15:68563121-68563132(-)::chr15:68563120-68563132(-) ACAGGGAAATAT >mm10_chr15:68569736-68569747(-)::chr15:68569735-68569747(-) AGGAGGAAGGAG >mm10_chr15:68569753-68569764(-)::chr15:68569752-68569764(-) TAGAGGAAGAGG >mm10_chr15:68572742-68572753(-)::chr15:68572741-68572753(-) gagAGGAAGTAA >mm10_chr15:68572752-68572763(-)::chr15:68572751-68572763(-) gtaaggaagtga >mm10_chr15:68572760-68572771(-)::chr15:68572759-68572771(-) gtaaggaagtaa >mm10_chr15:68620501-68620512(+)::chr15:68620500-68620512(+) AGAAGGAAAAGG >mm10_chr15:68620527-68620538(-)::chr15:68620526-68620538(-) TCCAGGAAGTGT >mm10_chr15:68655238-68655249(+)::chr15:68655237-68655249(+) agaaggaaatcc >mm10_chr15:68720923-68720934(-)::chr15:68720922-68720934(-) aagaggaagtct >mm10_chr15:68736848-68736859(-)::chr15:68736847-68736859(-) AGGAGGAAGTAC >mm10_chr15:68817847-68817858(+)::chr15:68817846-68817858(+) ACAAGGAAGGAA >mm10_chr15:68817879-68817890(+)::chr15:68817878-68817890(+) GCAAGGAAGGCT >mm10_chr15:68817892-68817903(+)::chr15:68817891-68817903(+) GAAAGGAAGTTG >mm10_chr15:68929536-68929547(+)::chr15:68929535-68929547(+) AAAAGGAACCGG >mm10_chr15:68929543-68929554(+)::chr15:68929542-68929554(+) ACCGGGAAGTGC >mm10_chr15:68951457-68951468(-)::chr15:68951456-68951468(-) AAGAGGAAGCAC >mm10_chr15:68959531-68959542(-)::chr15:68959530-68959542(-) AGCAGGAAGCAA >mm10_chr15:68966716-68966727(+)::chr15:68966715-68966727(+) tccaggaagaat >mm10_chr15:68975757-68975768(+)::chr15:68975756-68975768(+) GCCAGGAAGAAG >mm10_chr15:68987758-68987769(+)::chr15:68987757-68987769(+) AGGAGGAAGAAC >mm10_chr15:69019874-69019885(-)::chr15:69019873-69019885(-) AGGAGGAAGGAA >mm10_chr15:69043693-69043704(+)::chr15:69043692-69043704(+) GCACGGAAGTGA >mm10_chr15:69043755-69043766(+)::chr15:69043754-69043766(+) AAGAGGAAATGC >mm10_chr15:69044456-69044467(-)::chr15:69044455-69044467(-) ATAAGGAAGAGC >mm10_chr15:69078194-69078205(+)::chr15:69078193-69078205(+) ATGAGGAAGAGG >mm10_chr15:69078200-69078211(+)::chr15:69078199-69078211(+) AAGAGGAAGTGC >mm10_chr15:69078880-69078891(-)::chr15:69078879-69078891(-) ATGAGGAAGCAG >mm10_chr15:69116122-69116133(+)::chr15:69116121-69116133(+) ACAAGGAAACAT >mm10_chr15:69121736-69121747(+)::chr15:69121735-69121747(+) gtagggaagtag >mm10_chr15:69130156-69130167(-)::chr15:69130155-69130167(-) ggaagcaagtgg >mm10_chr15:69162332-69162343(-)::chr15:69162331-69162343(-) GGAAGGAAGGAA >mm10_chr15:69276609-69276620(-)::chr15:69276608-69276620(-) AGAAGGAAAAAA >mm10_chr15:69322161-69322172(-)::chr15:69322160-69322172(-) AACAGGAAGTCA >mm10_chr15:69387850-69387861(-)::chr15:69387849-69387861(-) CCAAGGAAATAC >mm10_chr15:69387905-69387916(+)::chr15:69387904-69387916(+) GAGAGGAAGATG >mm10_chr15:69388422-69388433(+)::chr15:69388421-69388433(+) AAAAGGAAGAAG >mm10_chr15:69456195-69456206(-)::chr15:69456194-69456206(-) gacaggaaggac >mm10_chr15:69456251-69456262(+)::chr15:69456250-69456262(+) accaggaagtcc >mm10_chr15:69524487-69524498(-)::chr15:69524486-69524498(-) TTAAGGAAGCTC >mm10_chr15:69697579-69697590(+)::chr15:69697578-69697590(+) GGAAGGAAGGGC >mm10_chr15:70348977-70348988(+)::chr15:70348976-70348988(+) ATAAGGAAGACG >mm10_chr15:71508591-71508602(-)::chr15:71508590-71508602(-) ATAAGGAAATCA >mm10_chr15:71798881-71798892(-)::chr15:71798880-71798892(-) GAAAGGAAGCTT >mm10_chr15:71840401-71840412(+)::chr15:71840400-71840412(+) AGAAGGATGTGG >mm10_chr15:71840470-71840481(+)::chr15:71840469-71840481(+) GTAAGGATGTAC >mm10_chr15:72147060-72147071(-)::chr15:72147059-72147071(-) GGAAGGAACTCA >mm10_chr15:72466546-72466557(-)::chr15:72466545-72466557(-) tacaggaaatga >mm10_chr15:72490191-72490202(+)::chr15:72490190-72490202(+) CCAAGGAAGTGA >mm10_chr15:72490204-72490215(+)::chr15:72490203-72490215(+) AGAAGGAAGCTT >mm10_chr15:72490219-72490230(+)::chr15:72490218-72490230(+) TATAGGAAGATC >mm10_chr15:72518919-72518930(-)::chr15:72518918-72518930(-) ATAAGGAAATGT >mm10_chr15:72607289-72607300(+)::chr15:72607288-72607300(+) AGCAGGAAGATG >mm10_chr15:72665507-72665518(-)::chr15:72665506-72665518(-) TGCAGGAAGGAA >mm10_chr15:72882346-72882357(+)::chr15:72882345-72882357(+) accaggaaGTAA >mm10_chr15:72980060-72980071(-)::chr15:72980059-72980071(-) AGAAGGAAGCTT >mm10_chr15:73005672-73005683(+)::chr15:73005671-73005683(+) AGGAGGAAGGGC >mm10_chr15:73009429-73009440(-)::chr15:73009428-73009440(-) TGAAGGAAATGC >mm10_chr15:73023006-73023017(-)::chr15:73023005-73023017(-) AGGAGGAAGGCA >mm10_chr15:73061166-73061177(+)::chr15:73061165-73061177(+) aggaggaagaag >mm10_chr15:73061220-73061231(+)::chr15:73061219-73061231(+) ggaaggaagtgg >mm10_chr15:73114383-73114394(-)::chr15:73114382-73114394(-) ATAAGGAAGTGC >mm10_chr15:73194349-73194360(+)::chr15:73194348-73194360(+) acaaagaagtaa >mm10_chr15:73194391-73194402(+)::chr15:73194390-73194402(+) acagggaagtag >mm10_chr15:73229869-73229880(-)::chr15:73229868-73229880(-) AGAAGGAAGAGC >mm10_chr15:73229902-73229913(-)::chr15:73229901-73229913(-) AGCAGGAAATGG >mm10_chr15:73243590-73243601(-)::chr15:73243589-73243601(-) TGGAGGAAGGAT >mm10_chr15:73243599-73243610(+)::chr15:73243598-73243610(+) CCAAGGAAGATT >mm10_chr15:73243610-73243621(-)::chr15:73243609-73243621(-) AGAAGGAAACTA >mm10_chr15:73244209-73244220(-)::chr15:73244208-73244220(-) CCAAGGAAGTCA >mm10_chr15:73282997-73283008(+)::chr15:73282996-73283008(+) ACCAGGAAGCTA >mm10_chr15:73283034-73283045(+)::chr15:73283033-73283045(+) AGCAGGAAATGG >mm10_chr15:73295995-73296006(+)::chr15:73295994-73296006(+) TAAAGGAAGAAT >mm10_chr15:73340826-73340837(-)::chr15:73340825-73340837(-) AGCAGGAAGAGG >mm10_chr15:73341974-73341985(-)::chr15:73341973-73341985(-) aagaggaagaga >mm10_chr15:73341980-73341991(-)::chr15:73341979-73341991(-) caaaggaagagg >mm10_chr15:73350489-73350500(+)::chr15:73350488-73350500(+) AACAGGAAGAAA >mm10_chr15:73369875-73369886(-)::chr15:73369874-73369886(-) TTAAAGAAGTAA >mm10_chr15:73381287-73381298(+)::chr15:73381286-73381298(+) AACAGGAAATAG >mm10_chr15:73410984-73410995(-)::chr15:73410983-73410995(-) ATAAGGAAGGGG >mm10_chr15:73421532-73421543(-)::chr15:73421531-73421543(-) ACAAGGAAGTGT >mm10_chr15:73423864-73423875(+)::chr15:73423863-73423875(+) AGCAGGAAGTGG >mm10_chr15:73432971-73432982(+)::chr15:73432970-73432982(+) AGCAGGAAGGAA >mm10_chr15:73432975-73432986(+)::chr15:73432974-73432986(+) GGAAGGAAGCTG >mm10_chr15:73447042-73447053(+)::chr15:73447041-73447053(+) TGGAGGAAATCG >mm10_chr15:73463450-73463461(-)::chr15:73463449-73463461(-) AACAGGAAGTTG >mm10_chr15:73467942-73467953(+)::chr15:73467941-73467953(+) AGCAGGAAATCA >mm10_chr15:73469612-73469623(-)::chr15:73469611-73469623(-) AAGAggaaatga >mm10_chr15:73487383-73487394(+)::chr15:73487382-73487394(+) AGAAGGAAATGA >mm10_chr15:73492427-73492438(+)::chr15:73492426-73492438(+) GCAAGGAAGCCG >mm10_chr15:73492465-73492476(-)::chr15:73492464-73492476(-) AAGAGGAAGTGT >mm10_chr15:73494144-73494155(+)::chr15:73494143-73494155(+) tgaaggaagtca >mm10_chr15:73512118-73512129(-)::chr15:73512117-73512129(-) GACGGGAAGTAG >mm10_chr15:73512275-73512286(-)::chr15:73512274-73512286(-) ATACGGAAGGAA >mm10_chr15:73526243-73526254(+)::chr15:73526242-73526254(+) GGCAGGAAGGTG >mm10_chr15:73532420-73532431(-)::chr15:73532419-73532431(-) aggaggaagaAA >mm10_chr15:73532444-73532455(-)::chr15:73532443-73532455(-) aggaggaagagg >mm10_chr15:73589150-73589161(+)::chr15:73589149-73589161(+) AACAGGACGTGG >mm10_chr15:73599803-73599814(-)::chr15:73599802-73599814(-) CTCAGGAAGTAT >mm10_chr15:73604060-73604071(+)::chr15:73604059-73604071(+) ACACGGAAGGCC >mm10_chr15:73612213-73612224(-)::chr15:73612212-73612224(-) TAGAGGAAGTTG >mm10_chr15:73662427-73662438(-)::chr15:73662426-73662438(-) CCCAGGAAGTAT >mm10_chr15:73707620-73707631(+)::chr15:73707619-73707631(+) ACCAGGAAGAGT >mm10_chr15:73731032-73731043(-)::chr15:73731031-73731043(-) ACAAGGAAGCTC >mm10_chr15:73813668-73813679(+)::chr15:73813667-73813679(+) ataaggaaatgt >mm10_chr15:73838941-73838952(-)::chr15:73838940-73838952(-) AGGAGGAAGCAA >mm10_chr15:73870493-73870504(+)::chr15:73870492-73870504(+) GGAAGGAAGGGC >mm10_chr15:73870509-73870520(-)::chr15:73870508-73870520(-) ATTAGGAAGTGG >mm10_chr15:73870545-73870556(-)::chr15:73870544-73870556(-) AGCAGGAAGGAC >mm10_chr15:73893974-73893985(+)::chr15:73893973-73893985(+) TCCAGGAAGAAC >mm10_chr15:73897788-73897799(+)::chr15:73897787-73897799(+) GAGAGGAAGGAC >mm10_chr15:73901585-73901596(-)::chr15:73901584-73901596(-) AACAGGAACTAA >mm10_chr15:74288217-74288228(+)::chr15:74288216-74288228(+) aagaggaaggag >mm10_chr15:74307998-74308009(+)::chr15:74307997-74308009(+) caaaggaagaat >mm10_chr15:74476671-74476682(+)::chr15:74476670-74476682(+) tggaggaaGAAC >mm10_chr15:74486744-74486755(+)::chr15:74486743-74486755(+) AAGAGGAAGAAA >mm10_chr15:74530184-74530195(-)::chr15:74530183-74530195(-) CCGAGGAAGTGG >mm10_chr15:74545393-74545404(-)::chr15:74545392-74545404(-) TACAGGAAGGAG >mm10_chr15:74574531-74574542(-)::chr15:74574530-74574542(-) accaggaagcaa >mm10_chr15:74596226-74596237(-)::chr15:74596225-74596237(-) AGAAGGAAGTGA >mm10_chr15:74596246-74596257(+)::chr15:74596245-74596257(+) GCAAGGTAGTGA >mm10_chr15:74676984-74676995(-)::chr15:74676983-74676995(-) ggaaggaaggGG >mm10_chr15:74676988-74676999(-)::chr15:74676987-74676999(-) ggaaggaaggaa >mm10_chr15:74676992-74677003(-)::chr15:74676991-74677003(-) ggaaggaaggaa >mm10_chr15:74676996-74677007(-)::chr15:74676995-74677007(-) ggaaggaaggaa >mm10_chr15:74677000-74677011(-)::chr15:74676999-74677011(-) ggaaggaaggaa >mm10_chr15:74677004-74677015(-)::chr15:74677003-74677015(-) AAGaggaaggaa >mm10_chr15:74677010-74677021(-)::chr15:74677009-74677021(-) AGACGGAAGagg >mm10_chr15:74677035-74677046(-)::chr15:74677034-74677046(-) AAAAGGATGTAG >mm10_chr15:74687314-74687325(+)::chr15:74687313-74687325(+) TGAAGAAAGTAA >mm10_chr15:74769323-74769334(-)::chr15:74769322-74769334(-) AAGAGGAAGTGG >mm10_chr15:74923605-74923616(-)::chr15:74923604-74923616(-) ATAAGGAAATCA >mm10_chr15:74923619-74923630(+)::chr15:74923618-74923630(+) CCAGGGAAGTAG >mm10_chr15:74930965-74930976(+)::chr15:74930964-74930976(+) aataggaagtgg >mm10_chr15:74931004-74931015(+)::chr15:74931003-74931015(+) aggaggaagttc >mm10_chr15:74936001-74936012(-)::chr15:74936000-74936012(-) ataaggaagtaa >mm10_chr15:74939514-74939525(+)::chr15:74939513-74939525(+) ttgaggaagtac >mm10_chr15:74955001-74955012(+)::chr15:74955000-74955012(+) TAGAGGAAGTGG >mm10_chr15:74963391-74963402(-)::chr15:74963390-74963402(-) aggaggaagaac >mm10_chr15:74963427-74963438(+)::chr15:74963426-74963438(+) agcaggaagtat >mm10_chr15:75239864-75239875(-)::chr15:75239863-75239875(-) ACAAGGAAATTT >mm10_chr15:75353105-75353116(+)::chr15:75353104-75353116(+) AGGAGGAAGAGA >mm10_chr15:75353139-75353150(-)::chr15:75353138-75353150(-) TGAAGGAAGTAT >mm10_chr15:75667572-75667583(-)::chr15:75667571-75667583(-) AGAAGGAAGAGG >mm10_chr15:75695959-75695970(+)::chr15:75695958-75695970(+) ACAAGCAAGTGT >mm10_chr15:75758651-75758662(-)::chr15:75758650-75758662(-) GTGAGGAAGTGC >mm10_chr15:75758671-75758682(-)::chr15:75758670-75758682(-) ACAGGGAAGTTA >mm10_chr15:75776372-75776383(+)::chr15:75776371-75776383(+) AGCAGGAAGGGA >mm10_chr15:75824418-75824429(-)::chr15:75824417-75824429(-) aggaggaagagg >mm10_chr15:75824448-75824459(-)::chr15:75824447-75824459(-) aggaggaagagg >mm10_chr15:75826146-75826157(+)::chr15:75826145-75826157(+) atgaggaagaga >mm10_chr15:75835606-75835617(+)::chr15:75835605-75835617(+) AAGAGGAAATTA >mm10_chr15:75857309-75857320(-)::chr15:75857308-75857320(-) AAGGGGAAGTAT >mm10_chr15:75887672-75887683(+)::chr15:75887671-75887683(+) GGAAGGAAGCCG >mm10_chr15:75889548-75889559(+)::chr15:75889547-75889559(+) AGGCGGAAGTGC >mm10_chr15:75889560-75889571(+)::chr15:75889559-75889571(+) ATGGGGAAGTAT >mm10_chr15:75929197-75929208(+)::chr15:75929196-75929208(+) CCCAGGAAGTCC >mm10_chr15:75929225-75929236(-)::chr15:75929224-75929236(-) AGCAGGAAGCTA >mm10_chr15:75929746-75929757(-)::chr15:75929745-75929757(-) CACCGGAAGTAG >mm10_chr15:75931524-75931535(-)::chr15:75931523-75931535(-) agaaggaaagag >mm10_chr15:75931541-75931552(-)::chr15:75931540-75931552(-) agaaggaagttt >mm10_chr15:75969106-75969117(+)::chr15:75969105-75969117(+) AGCCGGAAGACG >mm10_chr15:76014857-76014868(+)::chr15:76014856-76014868(+) CTAAGGAAGAAC >mm10_chr15:76014869-76014880(-)::chr15:76014868-76014880(-) GTAAGGATGTGA >mm10_chr15:76033061-76033072(-)::chr15:76033060-76033072(-) AGCAGGAAGAGT >mm10_chr15:76033112-76033123(-)::chr15:76033111-76033123(-) GGAAGGAAATGG >mm10_chr15:76033116-76033127(-)::chr15:76033115-76033127(-) GCAAGGAAGGAA >mm10_chr15:76033818-76033829(-)::chr15:76033817-76033829(-) AGCAGGAAGTCC >mm10_chr15:76035991-76036002(-)::chr15:76035990-76036002(-) CATAGGAAGTTG >mm10_chr15:76036023-76036034(-)::chr15:76036022-76036034(-) AAGAGGAAATAG >mm10_chr15:76036029-76036040(-)::chr15:76036028-76036040(-) AAGAGGAAGAGG >mm10_chr15:76097047-76097058(-)::chr15:76097046-76097058(-) TCCAGGAAGTAC >mm10_chr15:76097580-76097591(+)::chr15:76097579-76097591(+) AAAAGGAACGAC >mm10_chr15:76140650-76140661(+)::chr15:76140649-76140661(+) GGAAGGAAGTAA >mm10_chr15:76140698-76140709(+)::chr15:76140697-76140709(+) ACGGGGAAGTGG >mm10_chr15:76195358-76195369(-)::chr15:76195357-76195369(-) ATCAGGAAGTCT >mm10_chr15:76195369-76195380(+)::chr15:76195368-76195380(+) TACAGGAAGGAC >mm10_chr15:76195379-76195390(-)::chr15:76195378-76195390(-) GACAGGAAGAGT >mm10_chr15:76199425-76199436(+)::chr15:76199424-76199436(+) AACAGGAAGAGG >mm10_chr15:76203554-76203565(+)::chr15:76203553-76203565(+) ACAAGGAAATCT >mm10_chr15:76203574-76203585(-)::chr15:76203573-76203585(-) ATGAGGAAGGAG >mm10_chr15:76204370-76204381(+)::chr15:76204369-76204381(+) ACAAGGAAGCAA >mm10_chr15:76214589-76214600(-)::chr15:76214588-76214600(-) AACAGGAAGTGA >mm10_chr15:76214605-76214616(-)::chr15:76214604-76214616(-) ATAAGGAAACCG >mm10_chr15:76219108-76219119(+)::chr15:76219107-76219119(+) ggaaggaactgt >mm10_chr15:76219537-76219548(-)::chr15:76219536-76219548(-) ACCAGGAAGGAG >mm10_chr15:76229858-76229869(-)::chr15:76229857-76229869(-) GGGAGGAAGTTC >mm10_chr15:76243494-76243505(-)::chr15:76243493-76243505(-) GAGAGGAAGTTC >mm10_chr15:76243531-76243542(+)::chr15:76243530-76243542(+) ACAAGGAACTTC >mm10_chr15:76291054-76291065(-)::chr15:76291053-76291065(-) aggaggaagggg >mm10_chr15:76291082-76291093(-)::chr15:76291081-76291093(-) aggaggaagaag >mm10_chr15:76291097-76291108(-)::chr15:76291096-76291108(-) aggaggaagaag >mm10_chr15:76292809-76292820(-)::chr15:76292808-76292820(-) ACAGGGAAGTAT >mm10_chr15:76303799-76303810(+)::chr15:76303798-76303810(+) aagaggaagagg >mm10_chr15:76303829-76303840(+)::chr15:76303828-76303840(+) aagaggaagaag >mm10_chr15:76350509-76350520(+)::chr15:76350508-76350520(+) AGCCGGAAGCGT >mm10_chr15:76368897-76368908(-)::chr15:76368896-76368908(-) AAGCGGAAGTCG >mm10_chr15:76373708-76373719(-)::chr15:76373707-76373719(-) AGGAGGAAGAAG >mm10_chr15:76380332-76380343(+)::chr15:76380331-76380343(+) AACAGGAAGAAG >mm10_chr15:76499579-76499590(-)::chr15:76499578-76499590(-) ACCAGGAAGAGA >mm10_chr15:76507416-76507427(+)::chr15:76507415-76507427(+) ACCAGGAAGCCA >mm10_chr15:76509662-76509673(+)::chr15:76509661-76509673(+) AGCAGGAAGTAC >mm10_chr15:76509707-76509718(+)::chr15:76509706-76509718(+) AAGAGGAAGGGT >mm10_chr15:76538819-76538830(-)::chr15:76538818-76538830(-) CGGCGGAAGTCC >mm10_chr15:76540294-76540305(+)::chr15:76540293-76540305(+) AGGAGGAAGAGG >mm10_chr15:76540300-76540311(+)::chr15:76540299-76540311(+) AAGAGGAAGAGG >mm10_chr15:76540306-76540317(+)::chr15:76540305-76540317(+) AAGAGGAAGAGG >mm10_chr15:76555606-76555617(+)::chr15:76555605-76555617(+) AGAAGGAAAAAA >mm10_chr15:76578858-76578869(-)::chr15:76578857-76578869(-) GCAGGGAAGTGC >mm10_chr15:76606275-76606286(-)::chr15:76606274-76606286(-) GGAAGGAAGGGA >mm10_chr15:76606279-76606290(-)::chr15:76606278-76606290(-) AGGAGGAAGGAA >mm10_chr15:76607589-76607600(-)::chr15:76607588-76607600(-) GGCAGGAAGCGC >mm10_chr15:76614388-76614399(-)::chr15:76614387-76614399(-) AGGAGGAAGAGT >mm10_chr15:76618409-76618420(+)::chr15:76618408-76618420(+) AGAAGGAAAAAA >mm10_chr15:76625650-76625661(+)::chr15:76625649-76625661(+) ATAAGGAAGCCA >mm10_chr15:76626921-76626932(+)::chr15:76626920-76626932(+) GCAAGGAAGGAG >mm10_chr15:76639549-76639560(-)::chr15:76639548-76639560(-) AGCAGGAACTAC >mm10_chr15:76640139-76640150(+)::chr15:76640138-76640150(+) AGCAGGAAGTGC >mm10_chr15:76653525-76653536(+)::chr15:76653524-76653536(+) AGCAGGAAGCTA >mm10_chr15:76653535-76653546(+)::chr15:76653534-76653546(+) TACGGGAAGTAG >mm10_chr15:76654601-76654612(-)::chr15:76654600-76654612(-) ATAAGGAAGGGA >mm10_chr15:76673029-76673040(-)::chr15:76673028-76673040(-) TAAAggaactgg >mm10_chr15:76795001-76795012(+)::chr15:76795000-76795012(+) AGAAGGAAGTAG >mm10_chr15:76802001-76802012(-)::chr15:76802000-76802012(-) GTGAGGAAGAAG >mm10_chr15:76818449-76818460(-)::chr15:76818448-76818460(-) TCAAGGAAGAGG >mm10_chr15:76822501-76822512(+)::chr15:76822500-76822512(+) aagaggaagagg >mm10_chr15:76822513-76822524(+)::chr15:76822512-76822524(+) aaaaggaagaag >mm10_chr15:76822542-76822553(+)::chr15:76822541-76822553(+) gggaggaagagg >mm10_chr15:76822554-76822565(+)::chr15:76822553-76822565(+) aggaggaagaTG >mm10_chr15:76879261-76879272(+)::chr15:76879260-76879272(+) GAGCGGAAGTCG >mm10_chr15:76880010-76880021(-)::chr15:76880009-76880021(-) AGAAGGAAAACA >mm10_chr15:76880072-76880083(-)::chr15:76880071-76880083(-) ATAAGGAAGAGT >mm10_chr15:76899902-76899913(+)::chr15:76899901-76899913(+) GCCCGGAAGTGT >mm10_chr15:76899921-76899932(-)::chr15:76899920-76899932(-) CTCAGGAAGTGC >mm10_chr15:76904078-76904089(-)::chr15:76904077-76904089(-) AAGAGGAAATAG >mm10_chr15:76974606-76974617(-)::chr15:76974605-76974617(-) TGAAGGAAGTGC >mm10_chr15:77014557-77014568(+)::chr15:77014556-77014568(+) gtcaggaaggaa >mm10_chr15:77037257-77037268(-)::chr15:77037256-77037268(-) aagaggaagaga >mm10_chr15:77037263-77037274(-)::chr15:77037262-77037274(-) aggaggaagagg >mm10_chr15:77044919-77044930(+)::chr15:77044918-77044930(+) TAAAGGAAGAGA >mm10_chr15:77050135-77050146(-)::chr15:77050134-77050146(-) agaaggaagagg >mm10_chr15:77050147-77050158(-)::chr15:77050146-77050158(-) aagaggaagaag >mm10_chr15:77050153-77050164(-)::chr15:77050152-77050164(-) aggaggaagagg >mm10_chr15:77050168-77050179(-)::chr15:77050167-77050179(-) agaaggaagaag >mm10_chr15:77050186-77050197(-)::chr15:77050185-77050197(-) aaaaggaagaga >mm10_chr15:77050204-77050215(-)::chr15:77050203-77050215(-) aggaggaagaag >mm10_chr15:77054826-77054837(-)::chr15:77054825-77054837(-) AGCAGGAAGTAC >mm10_chr15:77055610-77055621(-)::chr15:77055609-77055621(-) agaaggaagaag >mm10_chr15:77055622-77055633(-)::chr15:77055621-77055633(-) aagaggaagagc >mm10_chr15:77059598-77059609(-)::chr15:77059597-77059609(-) atccggaagtgg >mm10_chr15:77059658-77059669(-)::chr15:77059657-77059669(-) agaaggaagggt >mm10_chr15:77065583-77065594(-)::chr15:77065582-77065594(-) aagaggaaatta >mm10_chr15:77078924-77078935(-)::chr15:77078923-77078935(-) AAGAGGAAGAGA >mm10_chr15:77153214-77153225(-)::chr15:77153213-77153225(-) GGTAGGAAGAGA >mm10_chr15:77153844-77153855(-)::chr15:77153843-77153855(-) AGGAGGAAGAGG >mm10_chr15:77183794-77183805(+)::chr15:77183793-77183805(+) aataggaaGgag >mm10_chr15:77183807-77183818(+)::chr15:77183806-77183818(+) aggaggaaggaa >mm10_chr15:77183811-77183822(+)::chr15:77183810-77183822(+) ggaaggaagaag >mm10_chr15:77183826-77183837(+)::chr15:77183825-77183837(+) aataggaagaga >mm10_chr15:77183843-77183854(+)::chr15:77183842-77183854(+) aggaggaagaag >mm10_chr15:77183850-77183861(+)::chr15:77183849-77183861(+) agaaggaagaag >mm10_chr15:77206152-77206163(+)::chr15:77206151-77206163(+) ATAAGGAAGCCT >mm10_chr15:77278291-77278302(+)::chr15:77278290-77278302(+) AAAAGGAAGCAC >mm10_chr15:77278318-77278329(-)::chr15:77278317-77278329(-) TGTAGGAAGAAT >mm10_chr15:77329951-77329962(+)::chr15:77329950-77329962(+) ATACGGAAGTTC >mm10_chr15:77330438-77330449(-)::chr15:77330437-77330449(-) aggaggaagagg >mm10_chr15:77330453-77330464(-)::chr15:77330452-77330464(-) aggaggaaggga >mm10_chr15:77330465-77330476(-)::chr15:77330464-77330476(-) agaaggaaaaag >mm10_chr15:77340184-77340195(+)::chr15:77340183-77340195(+) AATAGGAAATGA >mm10_chr15:77344269-77344280(-)::chr15:77344268-77344280(-) AGGAGGAAGTTG >mm10_chr15:77355367-77355378(-)::chr15:77355366-77355378(-) AGGAGGAAGGCC >mm10_chr15:77367289-77367300(+)::chr15:77367288-77367300(+) ACAAGGAAATCC >mm10_chr15:77368585-77368596(+)::chr15:77368584-77368596(+) ACGAGGAAGAAA >mm10_chr15:77368603-77368614(+)::chr15:77368602-77368614(+) AAGAGGAAGAAG >mm10_chr15:77369062-77369073(+)::chr15:77369061-77369073(+) TACAGGAAGAAC >mm10_chr15:77369071-77369082(+)::chr15:77369070-77369082(+) AACAGGAAGGAC >mm10_chr15:77393161-77393172(+)::chr15:77393160-77393172(+) GTAAGGAACTAA >mm10_chr15:77393170-77393181(-)::chr15:77393169-77393181(-) CACAGGAAGTTA >mm10_chr15:77393541-77393552(+)::chr15:77393540-77393552(+) AGAAGGAAGTGT >mm10_chr15:77393799-77393810(+)::chr15:77393798-77393810(+) AACAGGAAGAAA >mm10_chr15:77393836-77393847(-)::chr15:77393835-77393847(-) aggaggaagaaa >mm10_chr15:77581884-77581895(-)::chr15:77581883-77581895(-) AGGAGGAAGTGT >mm10_chr15:77581952-77581963(+)::chr15:77581951-77581963(+) TACGGGAAGTGT >mm10_chr15:77583631-77583642(+)::chr15:77583630-77583642(+) ACCAGGAAGAGC >mm10_chr15:77583693-77583704(+)::chr15:77583692-77583704(+) AGTAGGAAGTAA >mm10_chr15:77713858-77713869(-)::chr15:77713857-77713869(-) AGAGGGAAGTCC >mm10_chr15:77798286-77798297(+)::chr15:77798285-77798297(+) TGCAGGAAGCAG >mm10_chr15:77800724-77800735(+)::chr15:77800723-77800735(+) GACAGGAAGTAC >mm10_chr15:77800736-77800747(+)::chr15:77800735-77800747(+) AGGAGGAAGGGT >mm10_chr15:77801384-77801395(-)::chr15:77801383-77801395(-) AGTAGGAAGTGG >mm10_chr15:77822293-77822304(+)::chr15:77822292-77822304(+) GGAAGCAAGTTA >mm10_chr15:77822306-77822317(+)::chr15:77822305-77822317(+) TCCAGGAAGAGA >mm10_chr15:77823250-77823261(-)::chr15:77823249-77823261(-) GAGAGGAAGGGA >mm10_chr15:77827991-77828002(-)::chr15:77827990-77828002(-) AGGAGGAAGTAA >mm10_chr15:77837291-77837302(-)::chr15:77837290-77837302(-) ggcaggaagcga >mm10_chr15:77837335-77837346(-)::chr15:77837334-77837346(-) agaaggaaatgc >mm10_chr15:77839800-77839811(-)::chr15:77839799-77839811(-) GGAAGGAAGACA >mm10_chr15:77839804-77839815(-)::chr15:77839803-77839815(-) ATGAGGAAGGAA >mm10_chr15:77841416-77841427(+)::chr15:77841415-77841427(+) ATGAGGAAGTCC >mm10_chr15:77857808-77857819(-)::chr15:77857807-77857819(-) GGGCGGAAGTAG >mm10_chr15:77863051-77863062(+)::chr15:77863050-77863062(+) GGAAGGAAGTGA >mm10_chr15:77863346-77863357(+)::chr15:77863345-77863357(+) ACACGGAAGACT >mm10_chr15:77877078-77877089(+)::chr15:77877077-77877089(+) ACAAGGAAGTAT >mm10_chr15:77883054-77883065(+)::chr15:77883053-77883065(+) ACCAGGAAGAAA >mm10_chr15:77883114-77883125(+)::chr15:77883113-77883125(+) AGAAGGAAGGGG >mm10_chr15:77903368-77903379(-)::chr15:77903367-77903379(-) AGGAGGAAGGAG >mm10_chr15:77903375-77903386(-)::chr15:77903374-77903386(-) GTCAGGAAGGAG >mm10_chr15:77903391-77903402(-)::chr15:77903390-77903402(-) AGCAGGAAGATC >mm10_chr15:77904667-77904678(-)::chr15:77904666-77904678(-) AGGAGGAAGAGG >mm10_chr15:77919509-77919520(-)::chr15:77919508-77919520(-) GGAAGGATGTAA >mm10_chr15:77970836-77970847(-)::chr15:77970835-77970847(-) AGAAGGAAGTGG >mm10_chr15:78104674-78104685(+)::chr15:78104673-78104685(+) ACCAGGAAGGAG >mm10_chr15:78174669-78174680(+)::chr15:78174668-78174680(+) GTGAGGAAGACA >mm10_chr15:78244659-78244670(+)::chr15:78244658-78244670(+) AGGAGGAAGTCC >mm10_chr15:78248551-78248562(-)::chr15:78248550-78248562(-) AGCAGGAAGTGG >mm10_chr15:78248558-78248569(-)::chr15:78248557-78248569(-) ACCAGGAAGCAG >mm10_chr15:78325980-78325991(-)::chr15:78325979-78325991(-) TTGAGGAAGTTG >mm10_chr15:78393938-78393949(+)::chr15:78393937-78393949(+) TTCAGGAAGTTT >mm10_chr15:78401505-78401516(-)::chr15:78401504-78401516(-) AGCAGGAAGACG >mm10_chr15:78471958-78471969(+)::chr15:78471957-78471969(+) TGGAGGAAGTTA >mm10_chr15:78508256-78508267(+)::chr15:78508255-78508267(+) tccaggaaggaa >mm10_chr15:78537121-78537132(-)::chr15:78537120-78537132(-) ATCAGGAAGTGA >mm10_chr15:78555126-78555137(-)::chr15:78555125-78555137(-) ggcaggaagaga >mm10_chr15:78580574-78580585(-)::chr15:78580573-78580585(-) AGTAGGAAGCAG >mm10_chr15:78582086-78582097(+)::chr15:78582085-78582097(+) CGCAGGATGTGA >mm10_chr15:78587524-78587535(-)::chr15:78587523-78587535(-) ACCAGGAAGACA >mm10_chr15:78589098-78589109(+)::chr15:78589097-78589109(+) AGGAGGAAGTGA >mm10_chr15:78597071-78597082(-)::chr15:78597070-78597082(-) GGGAGGAAGTCA >mm10_chr15:78597102-78597113(+)::chr15:78597101-78597113(+) GGCAGGAAGGGG >mm10_chr15:78606221-78606232(-)::chr15:78606220-78606232(-) AGAAGGAAGGGC >mm10_chr15:78606274-78606285(+)::chr15:78606273-78606285(+) AGGAGGAAGCCA >mm10_chr15:78608919-78608930(+)::chr15:78608918-78608930(+) GAAAGGAAGGAG >mm10_chr15:78608945-78608956(-)::chr15:78608944-78608956(-) atgaggaaatag >mm10_chr15:78609003-78609014(+)::chr15:78609002-78609014(+) aggaggaagggc >mm10_chr15:78615696-78615707(-)::chr15:78615695-78615707(-) AGAAGGAAAAGG >mm10_chr15:78703515-78703526(-)::chr15:78703514-78703526(-) GAATGGAAGTAA >mm10_chr15:78811787-78811798(-)::chr15:78811786-78811798(-) gagaggaaggaa >mm10_chr15:78811826-78811837(-)::chr15:78811825-78811837(-) ggaaggaaggag >mm10_chr15:78811830-78811841(-)::chr15:78811829-78811841(-) aggaggaaggaa >mm10_chr15:78811858-78811869(-)::chr15:78811857-78811869(-) AGTaggaagaga >mm10_chr15:78832188-78832199(-)::chr15:78832187-78832199(-) ACCAGGAAGAAG >mm10_chr15:78845123-78845134(+)::chr15:78845122-78845134(+) GGACGGAAGAGA >mm10_chr15:78857292-78857303(-)::chr15:78857291-78857303(-) ATAAGGAAATAA >mm10_chr15:78868513-78868524(+)::chr15:78868512-78868524(+) agcaggaagacg >mm10_chr15:78868588-78868599(+)::chr15:78868587-78868599(+) gacaggaagtgg >mm10_chr15:78876318-78876329(-)::chr15:78876317-78876329(-) GCCAGGAAGAGT >mm10_chr15:78879385-78879396(-)::chr15:78879384-78879396(-) AACAGGAAGTCC >mm10_chr15:78903654-78903665(+)::chr15:78903653-78903665(+) AGGAGGAAGAGG >mm10_chr15:78935195-78935206(-)::chr15:78935194-78935206(-) AAGAGGAAGGCG >mm10_chr15:79000544-79000555(-)::chr15:79000543-79000555(-) AAGAGGAAGTAG >mm10_chr15:79000550-79000561(-)::chr15:79000549-79000561(-) AGGAGGAAGAGG >mm10_chr15:79082471-79082482(+)::chr15:79082470-79082482(+) GGAAGGAAATGT >mm10_chr15:79102251-79102262(-)::chr15:79102250-79102262(-) ACAAGGAAGCAC >mm10_chr15:79111567-79111578(-)::chr15:79111566-79111578(-) TCCAGGAAGTGT >mm10_chr15:79115496-79115507(-)::chr15:79115495-79115507(-) GCCAGGAAGTGC >mm10_chr15:79133279-79133290(-)::chr15:79133278-79133290(-) AGAAGGAAAAAT >mm10_chr15:79141306-79141317(+)::chr15:79141305-79141317(+) TCCCGGAAGTAA >mm10_chr15:79165346-79165357(-)::chr15:79165345-79165357(-) GGGAGGAAGAAC >mm10_chr15:79169502-79169513(-)::chr15:79169501-79169513(-) AGAAGGAAGATT >mm10_chr15:79169536-79169547(+)::chr15:79169535-79169547(+) ACAAGGAAGTTG >mm10_chr15:79185301-79185312(-)::chr15:79185300-79185312(-) aaaaggaagagc >mm10_chr15:79192949-79192960(+)::chr15:79192948-79192960(+) TCCAGGAAGTGC >mm10_chr15:79209520-79209531(-)::chr15:79209519-79209531(-) ACCAGGAAGCTG >mm10_chr15:79218891-79218902(+)::chr15:79218890-79218902(+) AGTAGGAAGTCA >mm10_chr15:79248621-79248632(+)::chr15:79248620-79248632(+) CCCAGGAAGTGT >mm10_chr15:79248636-79248647(+)::chr15:79248635-79248647(+) AGCAGGAAGGCC >mm10_chr15:79270555-79270566(+)::chr15:79270554-79270566(+) GCCAGGAAGCGG >mm10_chr15:79272077-79272088(-)::chr15:79272076-79272088(-) TACAGGAAGACA >mm10_chr15:79272108-79272119(-)::chr15:79272107-79272119(-) AGCAGGAAGTCT >mm10_chr15:79357106-79357117(-)::chr15:79357105-79357117(-) TCCAGGAAGGAA >mm10_chr15:79357150-79357161(-)::chr15:79357149-79357161(-) AACAGGAAGTAA >mm10_chr15:79414760-79414771(-)::chr15:79414759-79414771(-) ACCAGGAAGTTG >mm10_chr15:79421656-79421667(+)::chr15:79421655-79421667(+) AAGAGGAAGGGA >mm10_chr15:79421664-79421675(+)::chr15:79421663-79421675(+) GGGAGGAAGGAC >mm10_chr15:79425756-79425767(-)::chr15:79425755-79425767(-) AACAGGAAGCCA >mm10_chr15:79426417-79426428(+)::chr15:79426416-79426428(+) ATGAGGAAGTTG >mm10_chr15:79433093-79433104(+)::chr15:79433092-79433104(+) cacaggaagcga >mm10_chr15:79440382-79440393(+)::chr15:79440381-79440393(+) ACAAGGAAGTGG >mm10_chr15:79446406-79446417(+)::chr15:79446405-79446417(+) AGAAGGAAGCTG >mm10_chr15:79446457-79446468(-)::chr15:79446456-79446468(-) TTAAGGAAGTTG >mm10_chr15:79544863-79544874(-)::chr15:79544862-79544874(-) ATAAGGAAATGA >mm10_chr15:79546961-79546972(-)::chr15:79546960-79546972(-) ACCCGGAAGTCT >mm10_chr15:79563018-79563029(-)::chr15:79563017-79563029(-) ACCAGGAAGCTG >mm10_chr15:79683312-79683323(+)::chr15:79683311-79683323(+) acaaggaagctg >mm10_chr15:79683695-79683706(-)::chr15:79683694-79683706(-) TTAAGGAAGAAA >mm10_chr15:79685377-79685388(-)::chr15:79685376-79685388(-) AGAAGGAAATGA >mm10_chr15:79685448-79685459(+)::chr15:79685447-79685459(+) AAAGGGAAGTCG >mm10_chr15:79720936-79720947(-)::chr15:79720935-79720947(-) AACAGGAAGAGC >mm10_chr15:79733909-79733920(-)::chr15:79733908-79733920(-) AGAAGGAAGGGC >mm10_chr15:79739053-79739064(+)::chr15:79739052-79739064(+) GCCAGGAAGGGG >mm10_chr15:79751998-79752009(+)::chr15:79751997-79752009(+) ATGAGGAAGCAG >mm10_chr15:79763835-79763846(+)::chr15:79763834-79763846(+) TAGAGGAAGCGG >mm10_chr15:79774447-79774458(+)::chr15:79774446-79774458(+) TCACGGAAGTCC >mm10_chr15:79793258-79793269(-)::chr15:79793257-79793269(-) ATACGGAAGGCA >mm10_chr15:79793271-79793282(-)::chr15:79793270-79793282(-) ATGAGGAAGTGG >mm10_chr15:79793284-79793295(-)::chr15:79793283-79793295(-) GTGAGGAAGTGA >mm10_chr15:79861511-79861522(-)::chr15:79861510-79861522(-) tgcaggaagtgc >mm10_chr15:79868785-79868796(-)::chr15:79868784-79868796(-) tgaaggaaGGAT >mm10_chr15:79879421-79879432(-)::chr15:79879420-79879432(-) gcaaggaagcag >mm10_chr15:79885725-79885736(+)::chr15:79885724-79885736(+) ggaaggaagaca >mm10_chr15:79885788-79885799(+)::chr15:79885787-79885799(+) agaaggaaggtc >mm10_chr15:79916124-79916135(-)::chr15:79916123-79916135(-) CCGAGGAAGTAG >mm10_chr15:79916148-79916159(+)::chr15:79916147-79916159(+) GGAAGGAACTTG >mm10_chr15:79945096-79945107(-)::chr15:79945095-79945107(-) GAGAGGAAGAGG >mm10_chr15:79952054-79952065(+)::chr15:79952053-79952065(+) GATAGGAAATAT >mm10_chr15:79952084-79952095(+)::chr15:79952083-79952095(+) GGGAGGAAGAGG >mm10_chr15:79998852-79998863(-)::chr15:79998851-79998863(-) AGGAGGAAGAGC >mm10_chr15:80051599-80051610(+)::chr15:80051598-80051610(+) CTAAGGAAGGGG >mm10_chr15:80074888-80074899(+)::chr15:80074887-80074899(+) ATGGGGAAGTGG >mm10_chr15:80075822-80075833(+)::chr15:80075821-80075833(+) CTAAGGAAGGAG >mm10_chr15:80082369-80082380(+)::chr15:80082368-80082380(+) ACAAGGAAGTAC >mm10_chr15:80082405-80082416(-)::chr15:80082404-80082416(-) TCCGGGAAGTTG >mm10_chr15:80134052-80134063(+)::chr15:80134051-80134063(+) ATGAGGAAATTG >mm10_chr15:80134095-80134106(+)::chr15:80134094-80134106(+) GGGGGGAAGTAG >mm10_chr15:80149262-80149273(-)::chr15:80149261-80149273(-) AGAAGGAAGTCC >mm10_chr15:80160840-80160851(+)::chr15:80160839-80160851(+) ACAAGGAAGTAC >mm10_chr15:80161545-80161556(-)::chr15:80161544-80161556(-) AGCAGGAAGGCT >mm10_chr15:80161561-80161572(+)::chr15:80161560-80161572(+) ACTAGGAAGTCA >mm10_chr15:80175450-80175461(+)::chr15:80175449-80175461(+) ACCAGGAAGCTA >mm10_chr15:80219466-80219477(-)::chr15:80219465-80219477(-) GGGAGGAAATGC >mm10_chr15:80264308-80264319(-)::chr15:80264307-80264319(-) GGGCGGAAGTAG >mm10_chr15:80412139-80412150(-)::chr15:80412138-80412150(-) aggaggaagaga >mm10_chr15:80412157-80412168(-)::chr15:80412156-80412168(-) tagaggaagagg >mm10_chr15:80412166-80412177(-)::chr15:80412165-80412177(-) acgaggaagtag >mm10_chr15:80412184-80412195(-)::chr15:80412183-80412195(-) aggaggaagaca >mm10_chr15:80414151-80414162(+)::chr15:80414150-80414162(+) TCGAGGAAGCCC >mm10_chr15:80414189-80414200(-)::chr15:80414188-80414200(-) AGCAGGAAGCAG >mm10_chr15:80425727-80425738(-)::chr15:80425726-80425738(-) GGAAGGAAGGGA >mm10_chr15:80425731-80425742(-)::chr15:80425730-80425742(-) TCCAGGAAGGAA >mm10_chr15:80611537-80611548(+)::chr15:80611536-80611548(+) AAAAGGAAGAAG >mm10_chr15:80611549-80611560(+)::chr15:80611548-80611560(+) AGAAGGAAGAAA >mm10_chr15:80613495-80613506(+)::chr15:80613494-80613506(+) AATAGGAAATGG >mm10_chr15:80675141-80675152(+)::chr15:80675140-80675152(+) AGCAGGAAGTGG >mm10_chr15:80675389-80675400(-)::chr15:80675388-80675400(-) CCAAGGAAATGG >mm10_chr15:80698115-80698126(+)::chr15:80698114-80698126(+) GGCAGGAAGTAA >mm10_chr15:80710762-80710773(+)::chr15:80710761-80710773(+) TTAAGGATGTGA >mm10_chr15:80723463-80723474(-)::chr15:80723462-80723474(-) ATAAGGAAGCAT >mm10_chr15:80724459-80724470(-)::chr15:80724458-80724470(-) aggaggaagagg >mm10_chr15:80724502-80724513(-)::chr15:80724501-80724513(-) agaaggaagagg >mm10_chr15:80724516-80724527(-)::chr15:80724515-80724527(-) gggaggaaatag >mm10_chr15:80724535-80724546(-)::chr15:80724534-80724546(-) agaaggaagaag >mm10_chr15:80743374-80743385(+)::chr15:80743373-80743385(+) AAGAGGAAATAG >mm10_chr15:80798581-80798592(-)::chr15:80798580-80798592(-) tAAAGGAAGCAG >mm10_chr15:80807754-80807765(-)::chr15:80807753-80807765(-) AACAGGAAGCTG >mm10_chr15:80810509-80810520(+)::chr15:80810508-80810520(+) aggaggaaggtt >mm10_chr15:80815797-80815808(-)::chr15:80815796-80815808(-) aacaggaaatag >mm10_chr15:80815821-80815832(-)::chr15:80815820-80815832(-) ccaaggaactcg >mm10_chr15:80818864-80818875(+)::chr15:80818863-80818875(+) ATAAGGAAAAGG >mm10_chr15:80818912-80818923(+)::chr15:80818911-80818923(+) ACAAGGAAATGG >mm10_chr15:80834347-80834358(+)::chr15:80834346-80834358(+) AGAAGGAAGGCC >mm10_chr15:80834418-80834429(-)::chr15:80834417-80834429(-) GGAAGGAACTGA >mm10_chr15:80834422-80834433(-)::chr15:80834421-80834433(-) TACAGGAAGGAA >mm10_chr15:80840141-80840152(+)::chr15:80840140-80840152(+) TGAAGGAAGGAA >mm10_chr15:80840188-80840199(-)::chr15:80840187-80840199(-) AACAGGAAGGGA >mm10_chr15:80866177-80866188(-)::chr15:80866176-80866188(-) ACAGGGAAGTGA >mm10_chr15:80866195-80866206(-)::chr15:80866194-80866206(-) AGTAGGAAGAGT >mm10_chr15:80866457-80866468(-)::chr15:80866456-80866468(-) TTAAGGAAGATA >mm10_chr15:80878047-80878058(+)::chr15:80878046-80878058(+) GGAAGGAAGGAC >mm10_chr15:80885465-80885476(-)::chr15:80885464-80885476(-) GGAAGGAAGTCA >mm10_chr15:80885469-80885480(-)::chr15:80885468-80885480(-) TGGAGGAAGGAA >mm10_chr15:80902079-80902090(-)::chr15:80902078-80902090(-) AGAAGGAAGTTA >mm10_chr15:80948463-80948474(+)::chr15:80948462-80948474(+) GGGAGGAAGTCC >mm10_chr15:80978315-80978326(+)::chr15:80978314-80978326(+) AATAGGAAATAC >mm10_chr15:80989848-80989859(+)::chr15:80989847-80989859(+) AGCAGGAAGTGG >mm10_chr15:81000869-81000880(-)::chr15:81000868-81000880(-) aaaAGGAAGAGG >mm10_chr15:81006838-81006849(+)::chr15:81006837-81006849(+) AGGAGGAAGTGC >mm10_chr15:81033237-81033248(-)::chr15:81033236-81033248(-) AACAGGAAGCTG >mm10_chr15:81033255-81033266(-)::chr15:81033254-81033266(-) GAAAGGAAGGAG >mm10_chr15:81042543-81042554(+)::chr15:81042542-81042554(+) ACAAGGGAGTGT >mm10_chr15:81045543-81045554(+)::chr15:81045542-81045554(+) AAGAGGAAGTTG >mm10_chr15:81050574-81050585(-)::chr15:81050573-81050585(-) AGAAGGAAGGAG >mm10_chr15:81050581-81050592(-)::chr15:81050580-81050592(-) aGGAGGAAGAAG >mm10_chr15:81057829-81057840(+)::chr15:81057828-81057840(+) gaaaggaaggag >mm10_chr15:81057836-81057847(+)::chr15:81057835-81057847(+) aggaggaaggga >mm10_chr15:81057905-81057916(+)::chr15:81057904-81057916(+) agaaggaagggg >mm10_chr15:81074670-81074681(+)::chr15:81074669-81074681(+) aataggaaatgg >mm10_chr15:81096788-81096799(-)::chr15:81096787-81096799(-) GGAAGGAAGGAG >mm10_chr15:81104752-81104763(+)::chr15:81104751-81104763(+) TGCAGGAAATAA >mm10_chr15:81112944-81112955(+)::chr15:81112943-81112955(+) AGGAGGAAGTAG >mm10_chr15:81112982-81112993(+)::chr15:81112981-81112993(+) AAAAGGTAGTGG >mm10_chr15:81130266-81130277(+)::chr15:81130265-81130277(+) CGGAGGAAGGAA >mm10_chr15:81130270-81130281(+)::chr15:81130269-81130281(+) GGAAGGAAGCCG >mm10_chr15:81138572-81138583(+)::chr15:81138571-81138583(+) ACAAGGAAACGC >mm10_chr15:81155379-81155390(-)::chr15:81155378-81155390(-) AAGAGGAAGGGA >mm10_chr15:81155385-81155396(-)::chr15:81155384-81155396(-) ATAAGGAAGAGG >mm10_chr15:81155422-81155433(+)::chr15:81155421-81155433(+) TACAGGAAGCAC >mm10_chr15:81155441-81155452(-)::chr15:81155440-81155452(-) ACAAGGAAGAGT >mm10_chr15:81175050-81175061(+)::chr15:81175049-81175061(+) agaaggaaatga >mm10_chr15:81177944-81177955(-)::chr15:81177943-81177955(-) GGAAGGAAGGGA >mm10_chr15:81190968-81190979(+)::chr15:81190967-81190979(+) TGAAGGAAGTCT >mm10_chr15:81191166-81191177(-)::chr15:81191165-81191177(-) AGGAGGAAGGAC >mm10_chr15:81213931-81213942(+)::chr15:81213930-81213942(+) gaaaggaagaga >mm10_chr15:81241129-81241140(+)::chr15:81241128-81241140(+) aggaggaagagg >mm10_chr15:81241141-81241152(+)::chr15:81241140-81241152(+) aggaggaagagg >mm10_chr15:81241153-81241164(+)::chr15:81241152-81241164(+) aggaggaagagg >mm10_chr15:81330842-81330853(-)::chr15:81330841-81330853(-) TGAAGGACGTTT >mm10_chr15:81343511-81343522(-)::chr15:81343510-81343522(-) ACTAGGAAGTGA >mm10_chr15:81346348-81346359(+)::chr15:81346347-81346359(+) tggaggaagagg >mm10_chr15:81346402-81346413(+)::chr15:81346401-81346413(+) agaaggaaatga >mm10_chr15:81358999-81359010(-)::chr15:81358998-81359010(-) tcaaggaaggtg >mm10_chr15:81359057-81359068(-)::chr15:81359056-81359068(-) caaaggaagtat >mm10_chr15:81369436-81369447(-)::chr15:81369435-81369447(-) TCAAGGAAGAAG >mm10_chr15:81372336-81372347(+)::chr15:81372335-81372347(+) tccaggaagaga >mm10_chr15:81421495-81421506(-)::chr15:81421494-81421506(-) AGAAGGAAGTTC >mm10_chr15:81466009-81466020(+)::chr15:81466008-81466020(+) AGAAGGAAGCAG >mm10_chr15:81466892-81466903(-)::chr15:81466891-81466903(-) TCCAGGAAGGGG >mm10_chr15:81511667-81511678(-)::chr15:81511666-81511678(-) ctcaggaagttg >mm10_chr15:81511927-81511938(+)::chr15:81511926-81511938(+) CCCAGGAAGTAC >mm10_chr15:81514258-81514269(+)::chr15:81514257-81514269(+) TGCAGGAAGGAA >mm10_chr15:81514262-81514273(+)::chr15:81514261-81514273(+) GGAAGGAACTAT >mm10_chr15:81564576-81564587(-)::chr15:81564575-81564587(-) tgaaggaagcgc >mm10_chr15:81564585-81564596(+)::chr15:81564584-81564596(+) tcaaggaagaag >mm10_chr15:81565008-81565019(+)::chr15:81565007-81565019(+) ACAAGGAAGTTT >mm10_chr15:81565031-81565042(-)::chr15:81565030-81565042(-) ATAAGGAAGCTA >mm10_chr15:81627830-81627841(+)::chr15:81627829-81627841(+) CTAAGGAAGTCC >mm10_chr15:81643136-81643147(+)::chr15:81643135-81643147(+) AACAGGAAGAGG >mm10_chr15:81643142-81643153(+)::chr15:81643141-81643153(+) AAGAGGAAGAGA >mm10_chr15:81724067-81724078(+)::chr15:81724066-81724078(+) ACAAGGAAGACA >mm10_chr15:81730134-81730145(+)::chr15:81730133-81730145(+) TGACGGAAGCGC >mm10_chr15:81775914-81775925(-)::chr15:81775913-81775925(-) AGGAGGAAGCCG >mm10_chr15:81775985-81775996(-)::chr15:81775984-81775996(-) AGTAGGAAGTGA >mm10_chr15:81775992-81776003(-)::chr15:81775991-81776003(-) AAAAGGAAGTAG >mm10_chr15:81805900-81805911(-)::chr15:81805899-81805911(-) AGCAGGAAGGAA >mm10_chr15:81805931-81805942(-)::chr15:81805930-81805942(-) ATAAGGAAATGA >mm10_chr15:81836660-81836671(-)::chr15:81836659-81836671(-) AGAAGGAAAGGA >mm10_chr15:81836667-81836678(-)::chr15:81836666-81836678(-) AGGAGGAAGAAG >mm10_chr15:81852690-81852701(+)::chr15:81852689-81852701(+) ACAAGGAAGCTG >mm10_chr15:81852727-81852738(+)::chr15:81852726-81852738(+) GGCAGGAAGCAG >mm10_chr15:81853734-81853745(+)::chr15:81853733-81853745(+) AGCAGGAAGTGT >mm10_chr15:81856267-81856278(-)::chr15:81856266-81856278(-) ACAGGGAAGTGT >mm10_chr15:81880341-81880352(-)::chr15:81880340-81880352(-) AGGAGGAAGTAT >mm10_chr15:81894196-81894207(+)::chr15:81894195-81894207(+) AGGAGGAAGTAC >mm10_chr15:81895146-81895157(-)::chr15:81895145-81895157(-) AGAAGGAAGTAG >mm10_chr15:81899235-81899246(-)::chr15:81899234-81899246(-) AAAGGGAAGTTA >mm10_chr15:81954386-81954397(+)::chr15:81954385-81954397(+) AACAGGAAGCTG >mm10_chr15:82014992-82015003(-)::chr15:82014991-82015003(-) TCAAGGAAGCAA >mm10_chr15:82047595-82047606(+)::chr15:82047594-82047606(+) AAGCGGAAGTGA >mm10_chr15:82097405-82097416(-)::chr15:82097404-82097416(-) aggaggaagagA >mm10_chr15:82126843-82126854(-)::chr15:82126842-82126854(-) TCCAGGAAGATG >mm10_chr15:82127851-82127862(+)::chr15:82127850-82127862(+) GGCCGGAAGTGA >mm10_chr15:82140536-82140547(-)::chr15:82140535-82140547(-) AGGAGGAAGCAA >mm10_chr15:82140589-82140600(-)::chr15:82140588-82140600(-) TCCAGGAAGAGG >mm10_chr15:82166322-82166333(+)::chr15:82166321-82166333(+) AGAAGGAAGTGA >mm10_chr15:82250518-82250529(+)::chr15:82250517-82250529(+) tccaggaagagg >mm10_chr15:82263883-82263894(+)::chr15:82263882-82263894(+) tcaaggaaggct >mm10_chr15:82263898-82263909(+)::chr15:82263897-82263909(+) ccaaggaagtga >mm10_chr15:82308650-82308661(+)::chr15:82308649-82308661(+) TCTAGGAAGTGG >mm10_chr15:82338645-82338656(+)::chr15:82338644-82338656(+) ACCAGGAAGAAG >mm10_chr15:82338652-82338663(+)::chr15:82338651-82338663(+) AGAAGGAAGGCT >mm10_chr15:82346822-82346833(+)::chr15:82346821-82346833(+) ATGCGGAAGTAA >mm10_chr15:82346845-82346856(+)::chr15:82346844-82346856(+) AAAAGGAAATGA >mm10_chr15:82347221-82347232(+)::chr15:82347220-82347232(+) AGCAGGAAGCTA >mm10_chr15:82347256-82347267(-)::chr15:82347255-82347267(-) GGACGGAAGGAA >mm10_chr15:82348418-82348429(-)::chr15:82348417-82348429(-) AGTAGGAAGTGA >mm10_chr15:82362001-82362012(+)::chr15:82362000-82362012(+) accaggaagcgg >mm10_chr15:82408020-82408031(-)::chr15:82408019-82408031(-) ATGAGGAAGAAA >mm10_chr15:82442520-82442531(-)::chr15:82442519-82442531(-) AAGAGGAAGACA >mm10_chr15:82442562-82442573(+)::chr15:82442561-82442573(+) ATGAGGAAGAAA >mm10_chr15:82442566-82442577(+)::chr15:82442565-82442577(+) GGAAGAAAGTTA >mm10_chr15:82535956-82535967(+)::chr15:82535955-82535967(+) aggaggaagcat >mm10_chr15:82764973-82764984(+)::chr15:82764972-82764984(+) ATAAGGAAAGAT >mm10_chr15:82814645-82814656(+)::chr15:82814644-82814656(+) AACAGGAAATAC >mm10_chr15:82814668-82814679(+)::chr15:82814667-82814679(+) ACCAGGAAGGGT >mm10_chr15:82814707-82814718(+)::chr15:82814706-82814718(+) AAAAGGAAATAA >mm10_chr15:82837210-82837221(+)::chr15:82837209-82837221(+) GGAAGGAAGGAG >mm10_chr15:82837217-82837228(+)::chr15:82837216-82837228(+) AGGAGGAAGGGA >mm10_chr15:82848703-82848714(+)::chr15:82848702-82848714(+) AGAAGGAAGAAG >mm10_chr15:82848720-82848731(+)::chr15:82848719-82848731(+) AGGAGGAAGAGG >mm10_chr15:82869196-82869207(+)::chr15:82869195-82869207(+) AGGAGGAAATAG >mm10_chr15:82869203-82869214(+)::chr15:82869202-82869214(+) AATAGGAAGGAG >mm10_chr15:82869232-82869243(+)::chr15:82869231-82869243(+) TGAGGGAAGTCA >mm10_chr15:82881292-82881303(+)::chr15:82881291-82881303(+) AGAAGGAAGCAG >mm10_chr15:82888546-82888557(-)::chr15:82888545-82888557(-) AAGAGGAAGCAT >mm10_chr15:82888586-82888597(+)::chr15:82888585-82888597(+) AAGAGGAAGAAG >mm10_chr15:82898872-82898883(+)::chr15:82898871-82898883(+) ATGAGGAAGAGA >mm10_chr15:82901688-82901699(-)::chr15:82901687-82901699(-) ATGAGGAAATGG >mm10_chr15:82906194-82906205(+)::chr15:82906193-82906205(+) ACAAGGAACTGT >mm10_chr15:82906275-82906286(-)::chr15:82906274-82906286(-) ATGAGGAAGGTC >mm10_chr15:82907808-82907819(+)::chr15:82907807-82907819(+) TCAAGGAAGCAG >mm10_chr15:82914535-82914546(-)::chr15:82914534-82914546(-) gaaatgaagtaa >mm10_chr15:82914577-82914588(+)::chr15:82914576-82914588(+) gggaggaagacc >mm10_chr15:82924663-82924674(+)::chr15:82924662-82924674(+) aggaggaagtgg >mm10_chr15:82929015-82929026(-)::chr15:82929014-82929026(-) TAGAGGAAGTAA >mm10_chr15:82930777-82930788(-)::chr15:82930776-82930788(-) accaggaaatgg >mm10_chr15:82955372-82955383(-)::chr15:82955371-82955383(-) ATCAGGAAGGAG >mm10_chr15:82981410-82981421(+)::chr15:82981409-82981421(+) TGGAGGAAATAA >mm10_chr15:82981427-82981438(+)::chr15:82981426-82981438(+) AACAGGAAGGAG >mm10_chr15:82981434-82981445(+)::chr15:82981433-82981445(+) AGGAGGAAGGGA >mm10_chr15:83009817-83009828(+)::chr15:83009816-83009828(+) AGGAGGAAGAGA >mm10_chr15:83021893-83021904(+)::chr15:83021892-83021904(+) AGCAGGAAGAAC >mm10_chr15:83021965-83021976(+)::chr15:83021964-83021976(+) GACAGGAAGAGA >mm10_chr15:83030252-83030263(+)::chr15:83030251-83030263(+) GGCAGGAAGAGA >mm10_chr15:83030497-83030508(-)::chr15:83030496-83030508(-) AAGAGGAAGTGG >mm10_chr15:83036287-83036298(+)::chr15:83036286-83036298(+) AGGAGGAAGTAG >mm10_chr15:83039008-83039019(-)::chr15:83039007-83039019(-) TGGAGGAAGTTC >mm10_chr15:83042445-83042456(-)::chr15:83042444-83042456(-) TGAAGGAAGTAA >mm10_chr15:83049996-83050007(+)::chr15:83049995-83050007(+) taaaggaagggg >mm10_chr15:83050040-83050051(+)::chr15:83050039-83050051(+) ccgaggaagcag >mm10_chr15:83050047-83050058(+)::chr15:83050046-83050058(+) agcaggaagcag >mm10_chr15:83076184-83076195(-)::chr15:83076183-83076195(-) GGCAGGAAGTCC >mm10_chr15:83093805-83093816(+)::chr15:83093804-83093816(+) tctaggaagtgt >mm10_chr15:83100206-83100217(+)::chr15:83100205-83100217(+) AGACGGAAGCCG >mm10_chr15:83118771-83118782(-)::chr15:83118770-83118782(-) AGAAGGACGGGG >mm10_chr15:83118847-83118858(+)::chr15:83118846-83118858(+) TCTAGGAAGTGA >mm10_chr15:83122714-83122725(-)::chr15:83122713-83122725(-) CGCAGGAAGAAA >mm10_chr15:83140700-83140711(-)::chr15:83140699-83140711(-) ACAAGGAAGTTA >mm10_chr15:83170209-83170220(+)::chr15:83170208-83170220(+) GGGAGGAAGTGG >mm10_chr15:83170228-83170239(+)::chr15:83170227-83170239(+) TGGAGGAAGTCC >mm10_chr15:83172306-83172317(-)::chr15:83172305-83172317(-) AAGCGGAAGTGG >mm10_chr15:83172356-83172367(+)::chr15:83172355-83172367(+) GCACGGAAGAGG >mm10_chr15:83210061-83210072(+)::chr15:83210060-83210072(+) TGTAGGAAATAG >mm10_chr15:83229857-83229868(+)::chr15:83229856-83229868(+) ATGAGGAAATAG >mm10_chr15:83256645-83256656(+)::chr15:83256644-83256656(+) AACAGGAAGTTA >mm10_chr15:83305398-83305409(+)::chr15:83305397-83305409(+) AGGAGGAAGTCT >mm10_chr15:83305700-83305711(+)::chr15:83305699-83305711(+) AGCAGGAAGCTG >mm10_chr15:83374297-83374308(+)::chr15:83374296-83374308(+) acaaggaagtag >mm10_chr15:83410341-83410352(+)::chr15:83410340-83410352(+) CTGAGGAAGTAG >mm10_chr15:83418735-83418746(-)::chr15:83418734-83418746(-) AAAAGGAAGGTC >mm10_chr15:83432876-83432887(-)::chr15:83432875-83432887(-) AACAGGAAATGA >mm10_chr15:83433552-83433563(+)::chr15:83433551-83433563(+) ACAGGGAAGTGG >mm10_chr15:83442621-83442632(+)::chr15:83442620-83442632(+) AAAAGGAAGACC >mm10_chr15:83451934-83451945(+)::chr15:83451933-83451945(+) AGCAGGAAGCTC >mm10_chr15:83483449-83483460(+)::chr15:83483448-83483460(+) aggaggaagagg >mm10_chr15:83483458-83483469(+)::chr15:83483457-83483469(+) aggaggaagggg >mm10_chr15:83483471-83483482(+)::chr15:83483470-83483482(+) aagaggaaggga >mm10_chr15:83483487-83483498(+)::chr15:83483486-83483498(+) aggaggaagaag >mm10_chr15:83511038-83511049(-)::chr15:83511037-83511049(-) ATCAGGAAGCAG >mm10_chr15:83530359-83530370(+)::chr15:83530358-83530370(+) TCAAGGAAGTGC >mm10_chr15:83530403-83530414(+)::chr15:83530402-83530414(+) ACCAGGAAGCCA >mm10_chr15:83530443-83530454(-)::chr15:83530442-83530454(-) GAAAGGAAGCAG >mm10_chr15:83535701-83535712(+)::chr15:83535700-83535712(+) GGAAGGAAGAAC >mm10_chr15:83566151-83566162(+)::chr15:83566150-83566162(+) GGAAGGAAGCCA >mm10_chr15:83607551-83607562(+)::chr15:83607550-83607562(+) TCCAGGAAGGAC >mm10_chr15:83680499-83680510(+)::chr15:83680498-83680510(+) TCCAGGAAGTGC >mm10_chr15:83704461-83704472(-)::chr15:83704460-83704472(-) ACAAGGAAGCTG >mm10_chr15:83716852-83716863(-)::chr15:83716851-83716863(-) ttcaggaagttt >mm10_chr15:83723941-83723952(+)::chr15:83723940-83723952(+) ACAAGGAAGAAT >mm10_chr15:83995292-83995303(+)::chr15:83995291-83995303(+) ggaaggaaatgc >mm10_chr15:84054510-84054521(-)::chr15:84054509-84054521(-) AGAAGGAAATGA >mm10_chr15:84131535-84131546(-)::chr15:84131534-84131546(-) GAAAGGAAGCGC >mm10_chr15:84140245-84140256(-)::chr15:84140244-84140256(-) GTGAGGAAGGGA >mm10_chr15:84140253-84140264(-)::chr15:84140252-84140264(-) AGAAGGTAGTGA >mm10_chr15:84180448-84180459(-)::chr15:84180447-84180459(-) AGCAGGAAGCTG >mm10_chr15:84184136-84184147(+)::chr15:84184135-84184147(+) TGGAGGAAGAAG >mm10_chr15:84184177-84184188(-)::chr15:84184176-84184188(-) AGGAGGAAGCAC >mm10_chr15:84184190-84184201(-)::chr15:84184189-84184201(-) TCCAGGAAGGAC >mm10_chr15:84191981-84191992(-)::chr15:84191980-84191992(-) GCAAGGAAGACA >mm10_chr15:84198444-84198455(-)::chr15:84198443-84198455(-) ACGAGGAAGAGG >mm10_chr15:84198468-84198479(-)::chr15:84198467-84198479(-) AGAAGGAAATGC >mm10_chr15:84204480-84204491(-)::chr15:84204479-84204491(-) TGAAGGAAGAGC >mm10_chr15:84204512-84204523(-)::chr15:84204511-84204523(-) AGGAGGAAGAAG >mm10_chr15:84204531-84204542(-)::chr15:84204530-84204542(-) AAAAGGACGTTA >mm10_chr15:84365978-84365989(-)::chr15:84365977-84365989(-) AACGGGAAGTTC >mm10_chr15:84670820-84670831(-)::chr15:84670819-84670831(-) ACACGGAAGTGC >mm10_chr15:84671505-84671516(+)::chr15:84671504-84671516(+) AGGAGGAAGCTA >mm10_chr15:84675424-84675435(+)::chr15:84675423-84675435(+) ACCAGGAAGTAA >mm10_chr15:84675508-84675519(-)::chr15:84675507-84675519(-) GGTAGGAAGAGC >mm10_chr15:84697880-84697891(-)::chr15:84697879-84697891(-) TACAGGAAGAAA >mm10_chr15:84799745-84799756(+)::chr15:84799744-84799756(+) CACAGGAAGTGC >mm10_chr15:84965798-84965809(-)::chr15:84965797-84965809(-) AGAAGGAAGGAG >mm10_chr15:84985995-84986006(-)::chr15:84985994-84986006(-) ATGAGGAAGTGG >mm10_chr15:84987358-84987369(+)::chr15:84987357-84987369(+) AGAAGGAAGAAG >mm10_chr15:84987385-84987396(+)::chr15:84987384-84987396(+) AAGAGGAAGAAG >mm10_chr15:84988160-84988171(-)::chr15:84988159-84988171(-) GCAAGGAAGTAG >mm10_chr15:84988201-84988212(-)::chr15:84988200-84988212(-) GCGAGGACGCGA >mm10_chr15:84994604-84994615(+)::chr15:84994603-84994615(+) aagaggaagttC >mm10_chr15:84994648-84994659(+)::chr15:84994647-84994659(+) AGGAGGAAGAGG >mm10_chr15:84994655-84994666(+)::chr15:84994654-84994666(+) AGAGGGAAGTGT >mm10_chr15:85036406-85036417(+)::chr15:85036405-85036417(+) ATGAGGAAGAGG >mm10_chr15:85036412-85036423(+)::chr15:85036411-85036423(+) AAGAGGAAATAG >mm10_chr15:85355917-85355928(+)::chr15:85355916-85355928(+) TGAAGGAAATGA >mm10_chr15:85414424-85414435(-)::chr15:85414423-85414435(-) ACAAGGAAGAAC >mm10_chr15:85441245-85441256(-)::chr15:85441244-85441256(-) ATACGGAAGGCC >mm10_chr15:85441253-85441264(-)::chr15:85441252-85441264(-) ATACGGAAATAC >mm10_chr15:85441265-85441276(-)::chr15:85441264-85441276(-) AGGAGGAAATAA >mm10_chr15:85447287-85447298(-)::chr15:85447286-85447298(-) AGCAGGAAGGCA >mm10_chr15:85482252-85482263(-)::chr15:85482251-85482263(-) aggaggaagagg >mm10_chr15:85482259-85482270(-)::chr15:85482258-85482270(-) aggaggaaggag >mm10_chr15:85542771-85542782(-)::chr15:85542770-85542782(-) tggaggaagtgt >mm10_chr15:85570128-85570139(-)::chr15:85570127-85570139(-) TGAGGGAAGTCC >mm10_chr15:85570175-85570186(+)::chr15:85570174-85570186(+) GCCAGGAAGGAA >mm10_chr15:85570179-85570190(+)::chr15:85570178-85570190(+) GGAAGGAAACGC >mm10_chr15:85570759-85570770(-)::chr15:85570758-85570770(-) AGCAGGAAGTGC >mm10_chr15:85610976-85610987(+)::chr15:85610975-85610987(+) ACGCGGAAATTA >mm10_chr15:85610986-85610997(-)::chr15:85610985-85610997(-) GGCAGGAAGTTA >mm10_chr15:85617169-85617180(+)::chr15:85617168-85617180(+) AACAGGAAGCTG >mm10_chr15:85621768-85621779(+)::chr15:85621767-85621779(+) AGAAGGAAGGGA >mm10_chr15:85646440-85646451(+)::chr15:85646439-85646451(+) CAAAGGAAGGTT >mm10_chr15:85646487-85646498(-)::chr15:85646486-85646498(-) TGCAGGAAGGAA >mm10_chr15:85647608-85647619(+)::chr15:85647607-85647619(+) AGGAGGAAGCCA >mm10_chr15:85654055-85654066(-)::chr15:85654054-85654066(-) TAGAGGAAGCAC >mm10_chr15:85667744-85667755(+)::chr15:85667743-85667755(+) TCAAGGAAGGAC >mm10_chr15:85667758-85667769(+)::chr15:85667757-85667769(+) GGAAGGAAGTTG >mm10_chr15:85686942-85686953(-)::chr15:85686941-85686953(-) TCCAGGAAGTCT >mm10_chr15:85704239-85704250(+)::chr15:85704238-85704250(+) GTCAGGAAGTGG >mm10_chr15:85704256-85704267(+)::chr15:85704255-85704267(+) AGCAGGAAGTTC >mm10_chr15:85706168-85706179(-)::chr15:85706167-85706179(-) GGCAGGAAGAGC >mm10_chr15:85717165-85717176(+)::chr15:85717164-85717176(+) AGGAGGAAATCC >mm10_chr15:85726064-85726075(-)::chr15:85726063-85726075(-) TAGAGGAAGTTG >mm10_chr15:85726090-85726101(-)::chr15:85726089-85726101(-) CTAAGGAAGTCT >mm10_chr15:85726106-85726117(+)::chr15:85726105-85726117(+) CAAAGGAAGAAA >mm10_chr15:85744225-85744236(+)::chr15:85744224-85744236(+) AAAAGGAAGACT >mm10_chr15:85744244-85744255(-)::chr15:85744243-85744255(-) TCAAGGAAGTCC >mm10_chr15:85832139-85832150(-)::chr15:85832138-85832150(-) gggaggaagggg >mm10_chr15:85859211-85859222(-)::chr15:85859210-85859222(-) TCAAGGAAGTCC >mm10_chr15:85950066-85950077(+)::chr15:85950065-85950077(+) AGCAGGAAATAC >mm10_chr15:85990714-85990725(-)::chr15:85990713-85990725(-) TTAAGGAAGTTT >mm10_chr15:85990727-85990738(-)::chr15:85990726-85990738(-) TTAAGGACGTCA >mm10_chr15:85990750-85990761(+)::chr15:85990749-85990761(+) AGAAGGAAGTCA >mm10_chr15:86007851-86007862(-)::chr15:86007850-86007862(-) AGCAGGAAGCAA >mm10_chr15:86032833-86032844(+)::chr15:86032832-86032844(+) ATGAGGAAGTAG >mm10_chr15:86042550-86042561(-)::chr15:86042549-86042561(-) CAGAGGAAGTTT >mm10_chr15:86072073-86072084(-)::chr15:86072072-86072084(-) AGCAGGAAGGAC >mm10_chr15:86072084-86072095(+)::chr15:86072083-86072095(+) TGGAGGAAGGCA >mm10_chr15:86088216-86088227(+)::chr15:86088215-86088227(+) AAAAGGAACTCA >mm10_chr15:86094904-86094915(+)::chr15:86094903-86094915(+) GGCAGGAAGGCC >mm10_chr15:86094947-86094958(-)::chr15:86094946-86094958(-) CCCAGGAAGTGA >mm10_chr15:86113488-86113499(+)::chr15:86113487-86113499(+) ACCAGGAAGACA >mm10_chr15:86113533-86113544(-)::chr15:86113532-86113544(-) AACAGGAAATTG >mm10_chr15:86201250-86201261(-)::chr15:86201249-86201261(-) GGAAGGAGGTGG >mm10_chr15:86201254-86201265(-)::chr15:86201253-86201265(-) AAGAGGAAGGAG >mm10_chr15:86201269-86201280(+)::chr15:86201268-86201280(+) AAAAGGAAATAG >mm10_chr15:86204223-86204234(+)::chr15:86204222-86204234(+) AGCAGGAAGCTG >mm10_chr15:86217753-86217764(-)::chr15:86217752-86217764(-) TTAAGGAAGCAG >mm10_chr15:86217790-86217801(-)::chr15:86217789-86217801(-) TGCAGGAAGTCC >mm10_chr15:86247453-86247464(-)::chr15:86247452-86247464(-) aggaggaagaAg >mm10_chr15:86247478-86247489(-)::chr15:86247477-86247489(-) agcaggaagaag >mm10_chr15:86247511-86247522(-)::chr15:86247510-86247522(-) aggaggaagagg >mm10_chr15:86289873-86289884(-)::chr15:86289872-86289884(-) GGCAGGAAATGT >mm10_chr15:86289894-86289905(-)::chr15:86289893-86289905(-) GAGAGGAAGAAG >mm10_chr15:86340488-86340499(+)::chr15:86340487-86340499(+) ACCAGGAAGGAG >mm10_chr15:86405757-86405768(-)::chr15:86405756-86405768(-) ACCAGGAAGAAG >mm10_chr15:86464817-86464828(-)::chr15:86464816-86464828(-) ACACGGAAGTCT >mm10_chr15:86605052-86605063(-)::chr15:86605051-86605063(-) GGAAGGAGGTAA >mm10_chr15:86757123-86757134(-)::chr15:86757122-86757134(-) GAAAGAAAGTAC >mm10_chr15:87775397-87775408(+)::chr15:87775396-87775408(+) agcaggaagtca >mm10_chr15:88412569-88412580(-)::chr15:88412568-88412580(-) AGAAGGAAGTGA >mm10_chr15:88423335-88423346(-)::chr15:88423334-88423346(-) aataggaagagt >mm10_chr15:88423385-88423396(-)::chr15:88423384-88423396(-) ataagaaagtag >mm10_chr15:88706301-88706312(-)::chr15:88706300-88706312(-) GGAAGGAAGGGA >mm10_chr15:88706305-88706316(-)::chr15:88706304-88706316(-) GAGAGGAAGGAA >mm10_chr15:88732579-88732590(-)::chr15:88732578-88732590(-) ATGAGGAAGTAG >mm10_chr15:88745526-88745537(-)::chr15:88745525-88745537(-) tgaaggaagcaa >mm10_chr15:88745577-88745588(-)::chr15:88745576-88745588(-) ttaaggaagtga >mm10_chr15:88762790-88762801(+)::chr15:88762789-88762801(+) ATAAGGAACTTT >mm10_chr15:88815408-88815419(-)::chr15:88815407-88815419(-) AAAAGGAAGTGA >mm10_chr15:88831929-88831940(-)::chr15:88831928-88831940(-) ATAAGGAAGGCC >mm10_chr15:88840203-88840214(+)::chr15:88840202-88840214(+) TAGAGGAAGAGA >mm10_chr15:88840236-88840247(+)::chr15:88840235-88840247(+) AAAAGGAACGCC >mm10_chr15:88855624-88855635(+)::chr15:88855623-88855635(+) ACAAGGAAGTGG >mm10_chr15:88856252-88856263(+)::chr15:88856251-88856263(+) AGCAGGAAGGGC >mm10_chr15:88870391-88870402(+)::chr15:88870390-88870402(+) AAAGGGAAGTAG >mm10_chr15:88893879-88893890(+)::chr15:88893878-88893890(+) AGCAGGAAGTCC >mm10_chr15:88896503-88896514(-)::chr15:88896502-88896514(-) tggaggaagtca >mm10_chr15:88983150-88983161(-)::chr15:88983149-88983161(-) CGAAGGAAGGCG >mm10_chr15:88992052-88992063(+)::chr15:88992051-88992063(+) agaaggaaggcc >mm10_chr15:88993950-88993961(+)::chr15:88993949-88993961(+) TTAAGGAAGTAG >mm10_chr15:89075087-89075098(+)::chr15:89075086-89075098(+) GGCAGGAAATAC >mm10_chr15:89075160-89075171(+)::chr15:89075159-89075171(+) TGCAGGAAGAAT >mm10_chr15:89078648-89078659(-)::chr15:89078647-89078659(-) ACAAGGAAGTGT >mm10_chr15:89128686-89128697(-)::chr15:89128685-89128697(-) AGCCGGAAGTTA >mm10_chr15:89128693-89128704(-)::chr15:89128692-89128704(-) AAGAGGAAGCCG >mm10_chr15:89147266-89147277(-)::chr15:89147265-89147277(-) ATCAGGAAGCAA >mm10_chr15:89161495-89161506(+)::chr15:89161494-89161506(+) TCGGGGAAGTGG >mm10_chr15:89170327-89170338(-)::chr15:89170326-89170338(-) AGCAGGAAGCAT >mm10_chr15:89194788-89194799(-)::chr15:89194787-89194799(-) GGAAGAAAGTGT >mm10_chr15:89194792-89194803(-)::chr15:89194791-89194803(-) AGGAGGAAGAAA >mm10_chr15:89194806-89194817(-)::chr15:89194805-89194817(-) ACCAGGAAGATA >mm10_chr15:89195970-89195981(+)::chr15:89195969-89195981(+) AGCAGGAAGGCT >mm10_chr15:89210291-89210302(-)::chr15:89210290-89210302(-) gaaaggaaatcg >mm10_chr15:89308848-89308859(+)::chr15:89308847-89308859(+) AGGAGGAAGAGT >mm10_chr15:89308874-89308885(-)::chr15:89308873-89308885(-) TCAAGGAAGATA >mm10_chr15:89310045-89310056(-)::chr15:89310044-89310056(-) AGCAGGAAGCTG >mm10_chr15:89310100-89310111(+)::chr15:89310099-89310111(+) ACAAGGAAGGGA >mm10_chr15:89354786-89354797(+)::chr15:89354785-89354797(+) AGCAGGAAGGAT >mm10_chr15:89373887-89373898(+)::chr15:89373886-89373898(+) GAGAGGAAGTGG >mm10_chr15:89429918-89429929(+)::chr15:89429917-89429929(+) CCAAGGAAGTGA >mm10_chr15:90045328-90045339(-)::chr15:90045327-90045339(-) tggaggaaggta >mm10_chr15:90209247-90209258(-)::chr15:90209246-90209258(-) GACAGGAAGGAA >mm10_chr15:90526761-90526772(-)::chr15:90526760-90526772(-) ATCAGGAACTAG >mm10_chr15:90559588-90559599(+)::chr15:90559587-90559599(+) AGCAGGAAGTAG >mm10_chr15:90559595-90559606(+)::chr15:90559594-90559606(+) AGTAGGAAGAGG >mm10_chr15:90655656-90655667(+)::chr15:90655655-90655667(+) ataaggaagatt >mm10_chr15:90655677-90655688(+)::chr15:90655676-90655688(+) ctaaggaactac >mm10_chr15:90655699-90655710(+)::chr15:90655698-90655710(+) agcaggaagtaa >mm10_chr15:90678646-90678657(+)::chr15:90678645-90678657(+) GTAAGGAAGCTG >mm10_chr15:90678664-90678675(+)::chr15:90678663-90678675(+) TCCAGGAAGTGA >mm10_chr15:90888307-90888318(-)::chr15:90888306-90888318(-) TTAAGGAAGAAT >mm10_chr15:90963483-90963494(+)::chr15:90963482-90963494(+) aagaggaagtgg >mm10_chr15:90963508-90963519(+)::chr15:90963507-90963519(+) gaaaggaagaGC >mm10_chr15:90963544-90963555(+)::chr15:90963543-90963555(+) AAGAGGAAGCAA >mm10_chr15:90963548-90963559(+)::chr15:90963547-90963559(+) GGAAGCAAGTGT >mm10_chr15:91051057-91051068(+)::chr15:91051056-91051068(+) ttaaggaagtgg >mm10_chr15:91051066-91051077(+)::chr15:91051065-91051077(+) tggaggaagcaa >mm10_chr15:91051121-91051132(+)::chr15:91051120-91051132(+) ctaaggaagtag >mm10_chr15:91058864-91058875(-)::chr15:91058863-91058875(-) agcaggaactga >mm10_chr15:91058898-91058909(-)::chr15:91058897-91058909(-) cagaggaagtca >mm10_chr15:91072514-91072525(-)::chr15:91072513-91072525(-) ATAGGGAAGGGA >mm10_chr15:91072567-91072578(-)::chr15:91072566-91072578(-) GGAAGGAAATCA >mm10_chr15:91165075-91165086(+)::chr15:91165074-91165086(+) CCCAGGAAGTAG >mm10_chr15:91254077-91254088(-)::chr15:91254076-91254088(-) aagaggaagaga >mm10_chr15:91254083-91254094(-)::chr15:91254082-91254094(-) aagaggaagagg >mm10_chr15:91254094-91254105(-)::chr15:91254093-91254105(-) aagaggaagaaa >mm10_chr15:91254118-91254129(-)::chr15:91254117-91254129(-) aaaaggaagagg >mm10_chr15:91254127-91254138(-)::chr15:91254126-91254138(-) agaaggaagaaa >mm10_chr15:91255496-91255507(-)::chr15:91255495-91255507(-) ATAAGGAAGTTG >mm10_chr15:91255506-91255517(-)::chr15:91255505-91255517(-) AGGAGGAAGAAT >mm10_chr15:92208860-92208871(-)::chr15:92208859-92208871(-) agcaggaagcag >mm10_chr15:92208867-92208878(-)::chr15:92208866-92208878(-) agcaggaagcag >mm10_chr15:92208874-92208885(-)::chr15:92208873-92208885(-) agcaggaagcag >mm10_chr15:92208881-92208892(-)::chr15:92208880-92208892(-) TGAaggaagcag >mm10_chr15:92304680-92304691(+)::chr15:92304679-92304691(+) GAGAGGAAGTGG >mm10_chr15:92562700-92562711(-)::chr15:92562699-92562711(-) ACACGGAAATGA >mm10_chr15:92563734-92563745(+)::chr15:92563733-92563745(+) AGGAGGAAGAAA >mm10_chr15:92580309-92580320(+)::chr15:92580308-92580320(+) TGGAGGAAGTTG >mm10_chr15:92668248-92668259(+)::chr15:92668247-92668259(+) ACCAGGAAGGTA >mm10_chr15:92696518-92696529(-)::chr15:92696517-92696529(-) GGGAGGAAGGAA >mm10_chr15:92714832-92714843(+)::chr15:92714831-92714843(+) TGAGGGAAGTAG >mm10_chr15:92714867-92714878(-)::chr15:92714866-92714878(-) AACAGGAAATAA >mm10_chr15:92714890-92714901(-)::chr15:92714889-92714901(-) AAAAGGAAGATT >mm10_chr15:92763379-92763390(+)::chr15:92763378-92763390(+) acaaggaagctg >mm10_chr15:93010409-93010420(+)::chr15:93010408-93010420(+) ATAAGGAAGAGG >mm10_chr15:93010421-93010432(+)::chr15:93010420-93010432(+) AGGAGGAAGAAA >mm10_chr15:93119906-93119917(-)::chr15:93119905-93119917(-) ATGAGGAAGTGT >mm10_chr15:93169059-93169070(-)::chr15:93169058-93169070(-) ggaaggaagggt >mm10_chr15:93169063-93169074(-)::chr15:93169062-93169074(-) ataaggaaggaa >mm10_chr15:93169115-93169126(-)::chr15:93169114-93169126(-) gggaggaactat >mm10_chr15:93169129-93169140(+)::chr15:93169128-93169140(+) acaaggatgtag >mm10_chr15:93268206-93268217(-)::chr15:93268205-93268217(-) AGGAGGAAGTTG >mm10_chr15:93268238-93268249(-)::chr15:93268237-93268249(-) AACAGGAAGAGA >mm10_chr15:93275446-93275457(+)::chr15:93275445-93275457(+) ACCCGGAAGCGG >mm10_chr15:93275894-93275905(-)::chr15:93275893-93275905(-) GGAAGGAAGGGA >mm10_chr15:93297464-93297475(+)::chr15:93297463-93297475(+) AAGAGGAAGCAG >mm10_chr15:93297471-93297482(+)::chr15:93297470-93297482(+) AGCAGGAAGCAG >mm10_chr15:93318993-93319004(+)::chr15:93318992-93319004(+) AGCAGGAAGTCT >mm10_chr15:93340810-93340821(+)::chr15:93340809-93340821(+) AACAGGAAGTAT >mm10_chr15:93341122-93341133(-)::chr15:93341121-93341133(-) gaacggaagtaa >mm10_chr15:93341135-93341146(+)::chr15:93341134-93341146(+) acaaggaaatgg >mm10_chr15:93341186-93341197(+)::chr15:93341185-93341197(+) tcaaggaaacgt >mm10_chr15:93405413-93405424(+)::chr15:93405412-93405424(+) TGGAGGAAGAAG >mm10_chr15:93405420-93405431(+)::chr15:93405419-93405431(+) AGAAGGAAGGAG >mm10_chr15:93408714-93408725(-)::chr15:93408713-93408725(-) TCCAGGAAGTGA >mm10_chr15:93415664-93415675(-)::chr15:93415663-93415675(-) AACAGGAAGAGG >mm10_chr15:93415704-93415715(-)::chr15:93415703-93415715(-) AGAAGGAAGACA >mm10_chr15:93518249-93518260(-)::chr15:93518248-93518260(-) AAAGGGAAGTTA >mm10_chr15:93526621-93526632(+)::chr15:93526620-93526632(+) aggaggaagagg >mm10_chr15:93526640-93526651(+)::chr15:93526639-93526651(+) aggaggaagagg >mm10_chr15:93526655-93526666(+)::chr15:93526654-93526666(+) aggaggaagaga >mm10_chr15:93526679-93526690(+)::chr15:93526678-93526690(+) aggaggaagggg >mm10_chr15:93526697-93526708(+)::chr15:93526696-93526708(+) aggaggaagaag >mm10_chr15:93526706-93526717(+)::chr15:93526705-93526717(+) aagaggaagaag >mm10_chr15:93552303-93552314(-)::chr15:93552302-93552314(-) TTCAGGAAGTGC >mm10_chr15:93590757-93590768(+)::chr15:93590756-93590768(+) ACAAGGAAGAAA >mm10_chr15:93590815-93590826(-)::chr15:93590814-93590826(-) CCAAGGAAGGGG >mm10_chr15:93643424-93643435(-)::chr15:93643423-93643435(-) TTAAGGAACTGA >mm10_chr15:93691025-93691036(-)::chr15:93691024-93691036(-) GGAAGTAAGTGA >mm10_chr15:93691029-93691040(-)::chr15:93691028-93691040(-) TAAAGGAAGTAA >mm10_chr15:93711026-93711037(-)::chr15:93711025-93711037(-) AGAAGGATGTAG >mm10_chr15:93734365-93734376(+)::chr15:93734364-93734376(+) ATGAGGAAGAAG >mm10_chr15:93751181-93751192(+)::chr15:93751180-93751192(+) GGCAGGAAATGA >mm10_chr15:93877753-93877764(-)::chr15:93877752-93877764(-) ACAAGGAAGCCA >mm10_chr15:93897193-93897204(+)::chr15:93897192-93897204(+) AGGAGGAAGACT >mm10_chr15:93988578-93988589(+)::chr15:93988577-93988589(+) AAAAGGATGTAA >mm10_chr15:94442744-94442755(-)::chr15:94442743-94442755(-) gagaggaaataa >mm10_chr15:94442825-94442836(+)::chr15:94442824-94442836(+) CTGAGGAAGTAG >mm10_chr15:94543819-94543830(+)::chr15:94543818-94543830(+) GAGAGGAAGTAG >mm10_chr15:94545035-94545046(+)::chr15:94545034-94545046(+) ataaggaagaag >mm10_chr15:94589837-94589848(+)::chr15:94589836-94589848(+) TCCAGGAAGTAG >mm10_chr15:94649402-94649413(-)::chr15:94649401-94649413(-) CAAAGGAAGGAC >mm10_chr15:94688672-94688683(-)::chr15:94688671-94688683(-) ACAAGGAAGTGC >mm10_chr15:94688691-94688702(+)::chr15:94688690-94688702(+) AGCAGGAAGTGA >mm10_chr15:94732552-94732563(+)::chr15:94732551-94732563(+) gggaggaaggca >mm10_chr15:94748177-94748188(-)::chr15:94748176-94748188(-) GCAGGGAAGTTA >mm10_chr15:94748201-94748212(+)::chr15:94748200-94748212(+) TGAAGGAACTGT >mm10_chr15:94820661-94820672(+)::chr15:94820660-94820672(+) AGCAGGAAGAAT >mm10_chr15:94820687-94820698(+)::chr15:94820686-94820698(+) ACGAGGAAGCCC >mm10_chr15:94882961-94882972(+)::chr15:94882960-94882972(+) agcaggaagaaa >mm10_chr15:94882965-94882976(+)::chr15:94882964-94882976(+) ggaagaaagtga >mm10_chr15:94971775-94971786(+)::chr15:94971774-94971786(+) CCAAGGAAGGAC >mm10_chr15:95140107-95140118(-)::chr15:95140106-95140118(-) GGCAGGAAGATG >mm10_chr15:95156839-95156850(+)::chr15:95156838-95156850(+) ACAAGGAAATAA >mm10_chr15:95723840-95723851(-)::chr15:95723839-95723851(-) AACAGGAAGGGG >mm10_chr15:95726765-95726776(-)::chr15:95726764-95726776(-) GAGAGGAAGTCC >mm10_chr15:95749112-95749123(-)::chr15:95749111-95749123(-) aggaggaaatgt >mm10_chr15:95752483-95752494(-)::chr15:95752482-95752494(-) ATGAGGAAATGG >mm10_chr15:95790762-95790773(-)::chr15:95790761-95790773(-) GGGAGGAAGTCG >mm10_chr15:95797351-95797362(-)::chr15:95797350-95797362(-) AGCAGGAAGCTC >mm10_chr15:95797405-95797416(+)::chr15:95797404-95797416(+) AAAAGGAAGTCG >mm10_chr15:95800625-95800636(-)::chr15:95800624-95800636(-) tcaaggaagaag >mm10_chr15:95820708-95820719(-)::chr15:95820707-95820719(-) AAGAGGAAATGG >mm10_chr15:95820714-95820725(-)::chr15:95820713-95820725(-) AGGAGGAAGAGG >mm10_chr15:95820775-95820786(-)::chr15:95820774-95820786(-) AGAAGGAAGAAT >mm10_chr15:95833916-95833927(-)::chr15:95833915-95833927(-) AGAAGGAAGTGA >mm10_chr15:95837676-95837687(-)::chr15:95837675-95837687(-) ATGAGGAAGGGC >mm10_chr15:95847920-95847931(+)::chr15:95847919-95847931(+) aggaggaaggag >mm10_chr15:95847933-95847944(+)::chr15:95847932-95847944(+) aggaggaaggag >mm10_chr15:95847946-95847957(+)::chr15:95847945-95847957(+) aggaggaaggag >mm10_chr15:95891559-95891570(-)::chr15:95891558-95891570(-) ATACGGAACTTA >mm10_chr15:95906401-95906412(+)::chr15:95906400-95906412(+) GGGAGGAAGAAA >mm10_chr15:95906449-95906460(+)::chr15:95906448-95906460(+) ATGAGGAAGGAG >mm10_chr15:95907099-95907110(-)::chr15:95907098-95907110(-) AACAGGAAGCTG >mm10_chr15:95908298-95908309(+)::chr15:95908297-95908309(+) aggaggaagagg >mm10_chr15:95911354-95911365(+)::chr15:95911353-95911365(+) gcaaggaaggat >mm10_chr15:95946459-95946470(+)::chr15:95946458-95946470(+) ACAGGGAAATAC >mm10_chr15:95958973-95958984(-)::chr15:95958972-95958984(-) ACCAGGAAGCCA >mm10_chr15:95959006-95959017(-)::chr15:95959005-95959017(-) TGAAGGAAGAGA >mm10_chr15:95989919-95989930(-)::chr15:95989918-95989930(-) acagggaagcga >mm10_chr15:95989958-95989969(-)::chr15:95989957-95989969(-) acgaggaagcaa >mm10_chr15:96109353-96109364(-)::chr15:96109352-96109364(-) acccggaagttg >mm10_chr15:96109373-96109384(-)::chr15:96109372-96109384(-) agcaggaagttc >mm10_chr15:96146916-96146927(+)::chr15:96146915-96146927(+) accaggaagtag >mm10_chr15:96197647-96197658(+)::chr15:96197646-96197658(+) AGAAGGAAGTGT >mm10_chr15:96246831-96246842(+)::chr15:96246830-96246842(+) TCAAGGAAATCC >mm10_chr15:96246839-96246850(-)::chr15:96246838-96246850(-) ATTAGGAAGGAT >mm10_chr15:96254092-96254103(-)::chr15:96254091-96254103(-) gtcaggaagtcc >mm10_chr15:96290203-96290214(-)::chr15:96290202-96290214(-) AATAGGAAGTGC >mm10_chr15:96507171-96507182(-)::chr15:96507170-96507182(-) agcaggaagatg >mm10_chr15:96567207-96567218(-)::chr15:96567206-96567218(-) ATGAGGAAATTA >mm10_chr15:96568560-96568571(-)::chr15:96568559-96568571(-) aagaggaagtgc >mm10_chr15:96568574-96568585(+)::chr15:96568573-96568585(+) acaaggaacgtc >mm10_chr15:96583812-96583823(+)::chr15:96583811-96583823(+) AACAGGAAGCAC >mm10_chr15:96604558-96604569(-)::chr15:96604557-96604569(-) ACAAGGAAGCAC >mm10_chr15:96613191-96613202(-)::chr15:96613190-96613202(-) ggaaggaagctt >mm10_chr15:96613195-96613206(-)::chr15:96613194-96613206(-) acaaggaaggaa >mm10_chr15:96638200-96638211(+)::chr15:96638199-96638211(+) AACAGGAACTAT >mm10_chr15:96654910-96654921(+)::chr15:96654909-96654921(+) tggaggaagtga >mm10_chr15:96654949-96654960(-)::chr15:96654948-96654960(-) aacaggaagtga >mm10_chr15:96696041-96696052(+)::chr15:96696040-96696052(+) ATAAGGAAGGAC >mm10_chr15:96707592-96707603(-)::chr15:96707591-96707603(-) accaggaagagc >mm10_chr15:96710489-96710500(+)::chr15:96710488-96710500(+) ACAGGGAAATAA >mm10_chr15:96738075-96738086(+)::chr15:96738074-96738086(+) ACAAGGAAGGCA >mm10_chr15:96738094-96738105(-)::chr15:96738093-96738105(-) AAGAGGAAATAA >mm10_chr15:96738101-96738112(-)::chr15:96738100-96738112(-) AGAAGGAAAGAG >mm10_chr15:96743359-96743370(+)::chr15:96743358-96743370(+) TGAAGGAAGTGA >mm10_chr15:96776920-96776931(-)::chr15:96776919-96776931(-) AGAAGGAAGCGC >mm10_chr15:96791520-96791531(-)::chr15:96791519-96791531(-) ACAAGGAAGCTG >mm10_chr15:96818631-96818642(-)::chr15:96818630-96818642(-) GAGAGGAAGTAG >mm10_chr15:96821791-96821802(-)::chr15:96821790-96821802(-) ggaaggaaattt >mm10_chr15:96821795-96821806(-)::chr15:96821794-96821806(-) atcaggaaggaa >mm10_chr15:96835764-96835775(-)::chr15:96835763-96835775(-) TGGAGGAAGGTG >mm10_chr15:96835782-96835793(+)::chr15:96835781-96835793(+) AGGAGGAAGATG >mm10_chr15:96937013-96937024(+)::chr15:96937012-96937024(+) TACAGGAAATAG >mm10_chr15:97001027-97001038(+)::chr15:97001026-97001038(+) AGCAGGAAGAGG >mm10_chr15:97001033-97001044(+)::chr15:97001032-97001044(+) AAGAGGAAATCG >mm10_chr15:97034460-97034471(+)::chr15:97034459-97034471(+) GGAAGGAAGAAG >mm10_chr15:97068247-97068258(+)::chr15:97068246-97068258(+) agcaggaagcag >mm10_chr15:97274949-97274960(+)::chr15:97274948-97274960(+) TGCAGGAAGTGA >mm10_chr15:97351264-97351275(+)::chr15:97351263-97351275(+) ACGAGGAAGGTG >mm10_chr15:97351294-97351305(+)::chr15:97351293-97351305(+) GACAGGAAATGG >mm10_chr15:97355831-97355842(+)::chr15:97355830-97355842(+) GTAGGGAAGTAG >mm10_chr15:97355838-97355849(+)::chr15:97355837-97355849(+) AGTAGGAAGAAC >mm10_chr15:97355865-97355876(+)::chr15:97355864-97355876(+) GGAAGGAAGCTG >mm10_chr15:97361173-97361184(+)::chr15:97361172-97361184(+) TGAAGGAAGTGC >mm10_chr15:97361979-97361990(-)::chr15:97361978-97361990(-) GGGAGGAAATAT >mm10_chr15:97361998-97362009(-)::chr15:97361997-97362009(-) ACTAGGAAGGAG >mm10_chr15:97368803-97368814(-)::chr15:97368802-97368814(-) atgaggaagaAA >mm10_chr15:97380353-97380364(+)::chr15:97380352-97380364(+) ACAGGGAAGTCG >mm10_chr15:97380380-97380391(-)::chr15:97380379-97380391(-) CCCAGGAAGACG >mm10_chr15:97407793-97407804(-)::chr15:97407792-97407804(-) GGAATGAAGTCA >mm10_chr15:97407846-97407857(+)::chr15:97407845-97407857(+) TGAAGGAAGAGT >mm10_chr15:97422409-97422420(+)::chr15:97422408-97422420(+) GAGAGGAAGTGG >mm10_chr15:97422704-97422715(+)::chr15:97422703-97422715(+) ATGAGGAAGCAG >mm10_chr15:97501613-97501624(+)::chr15:97501612-97501624(+) CTAAGGAAATGT >mm10_chr15:97593889-97593900(+)::chr15:97593888-97593900(+) AGGAGGAAATTA >mm10_chr15:97593941-97593952(-)::chr15:97593940-97593952(-) AAGAGGAAGAAA >mm10_chr15:97606765-97606776(-)::chr15:97606764-97606776(-) GGTAGGAAGCGA >mm10_chr15:97614407-97614418(-)::chr15:97614406-97614418(-) CGCAGGAAGTTT >mm10_chr15:97614607-97614618(-)::chr15:97614606-97614618(-) ACCAGGAAGCTG >mm10_chr15:97614617-97614628(-)::chr15:97614616-97614628(-) ATAAGGAAACAC >mm10_chr15:97658568-97658579(-)::chr15:97658567-97658579(-) TGGAGGAAGTAT >mm10_chr15:97745540-97745551(-)::chr15:97745539-97745551(-) ATGAGGAAGGAC >mm10_chr15:97750799-97750810(-)::chr15:97750798-97750810(-) CACAGGAAGTGC >mm10_chr15:97760291-97760302(+)::chr15:97760290-97760302(+) aagaggaaggaa >mm10_chr15:97760295-97760306(+)::chr15:97760294-97760306(+) ggaaggaagctg >mm10_chr15:97764716-97764727(-)::chr15:97764715-97764727(-) ACAGGGAAGTAG >mm10_chr15:97765665-97765676(+)::chr15:97765664-97765676(+) AGCAGGAACTAC >mm10_chr15:97767995-97768006(+)::chr15:97767994-97768006(+) ACAAGGAAACTA >mm10_chr15:97771642-97771653(-)::chr15:97771641-97771653(-) GGCAGGAAGGGA >mm10_chr15:97774390-97774401(+)::chr15:97774389-97774401(+) AGAAGGAAGCCG >mm10_chr15:97813882-97813893(-)::chr15:97813881-97813893(-) ACACGGAAGAAG >mm10_chr15:97865439-97865450(-)::chr15:97865438-97865450(-) AGCAGGAAATGA >mm10_chr15:97880784-97880795(-)::chr15:97880783-97880795(-) TGAAGGAAGCTG >mm10_chr15:97885028-97885039(-)::chr15:97885027-97885039(-) ACCAGGAAGGGA >mm10_chr15:97904528-97904539(-)::chr15:97904527-97904539(-) TAGAGGAAGAAG >mm10_chr15:97907403-97907414(+)::chr15:97907402-97907414(+) aggaggaagagg >mm10_chr15:97945133-97945144(+)::chr15:97945132-97945144(+) GAAAGGAAGGTG >mm10_chr15:97945820-97945831(+)::chr15:97945819-97945831(+) AGCAGGAAATCG >mm10_chr15:97949893-97949904(+)::chr15:97949892-97949904(+) AAGAGGAAGCAG >mm10_chr15:97952445-97952456(-)::chr15:97952444-97952456(-) GGCAGGAAGGGA >mm10_chr15:98057182-98057193(-)::chr15:98057181-98057193(-) TTCAGGAAGTGA >mm10_chr15:98078972-98078983(-)::chr15:98078971-98078983(-) GTAAGGAAGTTC >mm10_chr15:98091958-98091969(+)::chr15:98091957-98091969(+) gcgaggaagcca >mm10_chr15:98092839-98092850(+)::chr15:98092838-98092850(+) TCAAGGAAGAAG >mm10_chr15:98092879-98092890(-)::chr15:98092878-98092890(-) ACGAGGAAGTTG >mm10_chr15:98092899-98092910(-)::chr15:98092898-98092910(-) GGGAGGAAGAGC >mm10_chr15:98145015-98145026(-)::chr15:98145014-98145026(-) ACAGGGAAGTTG >mm10_chr15:98184694-98184705(-)::chr15:98184693-98184705(-) ctaaggaaattg >mm10_chr15:98213503-98213514(+)::chr15:98213502-98213514(+) AAAAGGAAGATT >mm10_chr15:98310097-98310108(-)::chr15:98310096-98310108(-) gcaaagaagtaa >mm10_chr15:98482975-98482986(-)::chr15:98482974-98482986(-) TTAAGGAAATCC >mm10_chr15:98484693-98484704(+)::chr15:98484692-98484704(+) AAGAGGAAGAAA >mm10_chr15:98484710-98484721(+)::chr15:98484709-98484721(+) AGGCGGAAGTGT >mm10_chr15:98491400-98491411(-)::chr15:98491399-98491411(-) TAGAGGAAATGG >mm10_chr15:98506446-98506457(-)::chr15:98506445-98506457(-) AAGAGGAAGTCA >mm10_chr15:98506452-98506463(-)::chr15:98506451-98506463(-) GAGAGGAAGAGG >mm10_chr15:98515267-98515278(-)::chr15:98515266-98515278(-) aggaggaagaag >mm10_chr15:98516061-98516072(+)::chr15:98516060-98516072(+) ATAAGGAAACGG >mm10_chr15:98567773-98567784(-)::chr15:98567772-98567784(-) GGGCGGAAGTGT >mm10_chr15:98567807-98567818(-)::chr15:98567806-98567818(-) TTCCGGAAGTCG >mm10_chr15:98580127-98580138(+)::chr15:98580126-98580138(+) TAGAGGAAGGGA >mm10_chr15:98580140-98580151(+)::chr15:98580139-98580151(+) AGGAGGAAGGAA >mm10_chr15:98580144-98580155(+)::chr15:98580143-98580155(+) GGAAGGAAGGAC >mm10_chr15:98586030-98586041(+)::chr15:98586029-98586041(+) AAGAggaagggg >mm10_chr15:98588468-98588479(-)::chr15:98588467-98588479(-) TCCAGGAAGTTG >mm10_chr15:98632245-98632256(+)::chr15:98632244-98632256(+) CGAGGGAAGTGC >mm10_chr15:98632281-98632292(+)::chr15:98632280-98632292(+) gaaaggaaggga >mm10_chr15:98662760-98662771(-)::chr15:98662759-98662771(-) AGCGGGAAGATA >mm10_chr15:98677593-98677604(-)::chr15:98677592-98677604(-) GGGAGGAAGAGG >mm10_chr15:98701005-98701016(-)::chr15:98701004-98701016(-) tagaggaagatg >mm10_chr15:98727438-98727449(+)::chr15:98727437-98727449(+) AACAGGAAGCCC >mm10_chr15:98727520-98727531(+)::chr15:98727519-98727531(+) ACAAGGAAGAAA >mm10_chr15:98762157-98762168(-)::chr15:98762156-98762168(-) TGAAGGAAGGAG >mm10_chr15:98772138-98772149(+)::chr15:98772137-98772149(+) TCGAGGAAGAGG >mm10_chr15:98772176-98772187(+)::chr15:98772175-98772187(+) CAAAGGAAGTAG >mm10_chr15:98772905-98772916(-)::chr15:98772904-98772916(-) AAGCGGAAGTGC >mm10_chr15:98789540-98789551(+)::chr15:98789539-98789551(+) ATAGGGAAGAGA >mm10_chr15:98803150-98803161(-)::chr15:98803149-98803161(-) CCAAGGAAGCCG >mm10_chr15:98831513-98831524(-)::chr15:98831512-98831524(-) AGGCGGAAGTGG >mm10_chr15:98867599-98867610(-)::chr15:98867598-98867610(-) AAGAGGAAGGAA >mm10_chr15:98895543-98895554(-)::chr15:98895542-98895554(-) ggaaggaagCAA >mm10_chr15:98895547-98895558(-)::chr15:98895546-98895558(-) ggaaggaaggaa >mm10_chr15:98895551-98895562(-)::chr15:98895550-98895562(-) ggaaggaaggaa >mm10_chr15:98895555-98895566(-)::chr15:98895554-98895566(-) ggaaggaaggaa >mm10_chr15:98895559-98895570(-)::chr15:98895558-98895570(-) ggaaggaaggaa >mm10_chr15:98895563-98895574(-)::chr15:98895562-98895574(-) AGGaggaaggaa >mm10_chr15:98928683-98928694(-)::chr15:98928682-98928694(-) AACAGGAAGTGA >mm10_chr15:98933995-98934006(-)::chr15:98933994-98934006(-) GGCGGGAAGGCG >mm10_chr15:98935951-98935962(+)::chr15:98935950-98935962(+) CCGAGGAAGAGG >mm10_chr15:98935974-98935985(-)::chr15:98935973-98935985(-) ACAAGGAAATCG >mm10_chr15:98941712-98941723(-)::chr15:98941711-98941723(-) GAAAGGAAATTT >mm10_chr15:98973154-98973165(-)::chr15:98973153-98973165(-) agggggaagtgc >mm10_chr15:98985416-98985427(-)::chr15:98985415-98985427(-) GGGAGGAAGAGG >mm10_chr15:98993237-98993248(-)::chr15:98993236-98993248(-) CGGAGGAAGCCG >mm10_chr15:98993252-98993263(-)::chr15:98993251-98993263(-) CGACGGAAATGG >mm10_chr15:99029099-99029110(-)::chr15:99029098-99029110(-) gacaggaagagc >mm10_chr15:99031100-99031111(-)::chr15:99031099-99031111(-) ggaaggaaggag >mm10_chr15:99031104-99031115(-)::chr15:99031103-99031115(-) ggaaggaaggaa >mm10_chr15:99031108-99031119(-)::chr15:99031107-99031119(-) ggaaggaaggaa >mm10_chr15:99031112-99031123(-)::chr15:99031111-99031123(-) ggaaggaaggaa >mm10_chr15:99031116-99031127(-)::chr15:99031115-99031127(-) ggaaggaaggaa >mm10_chr15:99031120-99031131(-)::chr15:99031119-99031131(-) ggaaggaaggaa >mm10_chr15:99031124-99031135(-)::chr15:99031123-99031135(-) ggaaggaaggaa >mm10_chr15:99031128-99031139(-)::chr15:99031127-99031139(-) ggaaggaaggaa >mm10_chr15:99049557-99049568(+)::chr15:99049556-99049568(+) AAAAGGAACACG >mm10_chr15:99093958-99093969(+)::chr15:99093957-99093969(+) CGGAGGAAGAGG >mm10_chr15:99093964-99093975(+)::chr15:99093963-99093975(+) AAGAGGAAGGAA >mm10_chr15:99110614-99110625(+)::chr15:99110613-99110625(+) GTTAGGAAGTGA >mm10_chr15:99239503-99239514(-)::chr15:99239502-99239514(-) ACCAGGAAGAGG >mm10_chr15:99239530-99239541(+)::chr15:99239529-99239541(+) GTAAGGAAGAGG >mm10_chr15:99278367-99278378(+)::chr15:99278366-99278378(+) CCAAGGAAGAGA >mm10_chr15:99278380-99278391(+)::chr15:99278379-99278391(+) TCAAGGAAGGAT >mm10_chr15:99303840-99303851(+)::chr15:99303839-99303851(+) ATCAGGAAGCTG >mm10_chr15:99314296-99314307(+)::chr15:99314295-99314307(+) AGCAGGAAGGCC >mm10_chr15:99317649-99317660(+)::chr15:99317648-99317660(+) TTAAGGAAGTGG >mm10_chr15:99326548-99326559(+)::chr15:99326547-99326559(+) AAGAGGAAGAGC >mm10_chr15:99326604-99326615(+)::chr15:99326603-99326615(+) AGGAGGAAGGGG >mm10_chr15:99333095-99333106(-)::chr15:99333094-99333106(-) GACAGGAAATGG >mm10_chr15:99333136-99333147(-)::chr15:99333135-99333147(-) GACAGGAAATGA >mm10_chr15:99351794-99351805(-)::chr15:99351793-99351805(-) GCAAGGAAGCAT >mm10_chr15:99364384-99364395(-)::chr15:99364383-99364395(-) AGAAGGAAAAGT >mm10_chr15:99364397-99364408(-)::chr15:99364396-99364408(-) GGCCGGAAGTGA >mm10_chr15:99376094-99376105(+)::chr15:99376093-99376105(+) GACAGGAAGATA >mm10_chr15:99376103-99376114(-)::chr15:99376102-99376114(-) ACAAGGAAATAT >mm10_chr15:99381282-99381293(-)::chr15:99381281-99381293(-) ACGAGGAAGTAG >mm10_chr15:99387801-99387812(-)::chr15:99387800-99387812(-) acaaggaagatt >mm10_chr15:99397061-99397072(-)::chr15:99397060-99397072(-) GATAGGAAGTTC >mm10_chr15:99405259-99405270(+)::chr15:99405258-99405270(+) AAGAGGAAATAG >mm10_chr15:99411850-99411861(+)::chr15:99411849-99411861(+) ATAAGGAAAGGC >mm10_chr15:99411881-99411892(+)::chr15:99411880-99411892(+) CTAAGGAAATGA >mm10_chr15:99421171-99421182(+)::chr15:99421170-99421182(+) TCCAGGAAGGAA >mm10_chr15:99425689-99425700(-)::chr15:99425688-99425700(-) TAGAGGAAGAGA >mm10_chr15:99430648-99430659(-)::chr15:99430647-99430659(-) AGAAGGAAGTCC >mm10_chr15:99451094-99451105(+)::chr15:99451093-99451105(+) gagaggaagagg >mm10_chr15:99451100-99451111(+)::chr15:99451099-99451111(+) aagaggaagaag >mm10_chr15:99451109-99451120(+)::chr15:99451108-99451120(+) aagaggaaggag >mm10_chr15:99464273-99464284(+)::chr15:99464272-99464284(+) agcaggaaatgc >mm10_chr15:99473848-99473859(-)::chr15:99473847-99473859(-) ATAAGGAAATAA >mm10_chr15:99484960-99484971(+)::chr15:99484959-99484971(+) AACAGGAAGCGA >mm10_chr15:99511645-99511656(-)::chr15:99511644-99511656(-) TACAGGAAGGAG >mm10_chr15:99535009-99535020(+)::chr15:99535008-99535020(+) AGAAGGAAGGAA >mm10_chr15:99535013-99535024(+)::chr15:99535012-99535024(+) GGAAGGAAGGCT >mm10_chr15:99535052-99535063(+)::chr15:99535051-99535063(+) AGGAGGAAGGAA >mm10_chr15:99546888-99546899(-)::chr15:99546887-99546899(-) TCCAGGAAGCCG >mm10_chr15:99554390-99554401(+)::chr15:99554389-99554401(+) GTACGGAAGCAG >mm10_chr15:99554397-99554408(+)::chr15:99554396-99554408(+) AGCAGGAAATGA >mm10_chr15:99554415-99554426(+)::chr15:99554414-99554426(+) ACTAGGAAGGAG >mm10_chr15:99554447-99554458(+)::chr15:99554446-99554458(+) GGGAGGAAGACT >mm10_chr15:99564072-99564083(+)::chr15:99564071-99564083(+) TGGAGGAAGAGA >mm10_chr15:99573042-99573053(-)::chr15:99573041-99573053(-) ACAAGGAAATAA >mm10_chr15:99573054-99573065(-)::chr15:99573053-99573065(-) gtaagGAACGTT >mm10_chr15:99585098-99585109(-)::chr15:99585097-99585109(-) GCGAGGAAGGAC >mm10_chr15:99585121-99585132(-)::chr15:99585120-99585132(-) GGGAGGAAGAGC >mm10_chr15:99589363-99589374(+)::chr15:99589362-99589374(+) AGCAGGAAATGC >mm10_chr15:99619688-99619699(-)::chr15:99619687-99619699(-) AGAAGGAAACGG >mm10_chr15:99641346-99641357(+)::chr15:99641345-99641357(+) accaggaaggaa >mm10_chr15:99641350-99641361(+)::chr15:99641349-99641361(+) ggaaggaaggag >mm10_chr15:99641379-99641390(+)::chr15:99641378-99641390(+) agaaggaaggTA >mm10_chr15:99674934-99674945(-)::chr15:99674933-99674945(-) GGCAGGAAATGT >mm10_chr15:99713177-99713188(-)::chr15:99713176-99713188(-) AGCAGGAAGGAA >mm10_chr15:99723925-99723936(-)::chr15:99723924-99723936(-) ACCAGGAAGTCT >mm10_chr15:99725650-99725661(+)::chr15:99725649-99725661(+) AGCCGGAAGTTC >mm10_chr15:99772767-99772778(-)::chr15:99772766-99772778(-) ACTAGGAAGAGT >mm10_chr15:99809279-99809290(-)::chr15:99809278-99809290(-) AATAGGAAGCAG >mm10_chr15:99809286-99809297(-)::chr15:99809285-99809297(-) TAAAGGAAATAG >mm10_chr15:99809412-99809423(-)::chr15:99809411-99809423(-) aagaggaagaag >mm10_chr15:99809418-99809429(-)::chr15:99809417-99809429(-) aagaggaagagg >mm10_chr15:99809424-99809435(-)::chr15:99809423-99809435(-) aagaggaagagg >mm10_chr15:99809430-99809441(-)::chr15:99809429-99809441(-) aagaggaagagg >mm10_chr15:99809436-99809447(-)::chr15:99809435-99809447(-) aggaggaagagg >mm10_chr15:99817450-99817461(-)::chr15:99817449-99817461(-) aagaggaagaga >mm10_chr15:99817456-99817467(-)::chr15:99817455-99817467(-) ggcaggaagagg >mm10_chr15:99817484-99817495(-)::chr15:99817483-99817495(-) ggaaggaaggaa >mm10_chr15:99817488-99817499(-)::chr15:99817487-99817499(-) ATaaggaaggaa >mm10_chr15:99820132-99820143(+)::chr15:99820131-99820143(+) GAGAGGAAGAGG >mm10_chr15:99820162-99820173(+)::chr15:99820161-99820173(+) AGCAGGAAGTGA >mm10_chr15:99831608-99831619(-)::chr15:99831607-99831619(-) ggaaggaaggag >mm10_chr15:99831612-99831623(-)::chr15:99831611-99831623(-) ggaaggaaggaa >mm10_chr15:99845714-99845725(-)::chr15:99845713-99845725(-) atggggaagtga >mm10_chr15:99845748-99845759(+)::chr15:99845747-99845759(+) ggaaggaactca >mm10_chr15:99866609-99866620(+)::chr15:99866608-99866620(+) GGCAGGAAGGGA >mm10_chr15:99875041-99875052(+)::chr15:99875040-99875052(+) AGAAGGAAAGAG >mm10_chr15:99883765-99883776(+)::chr15:99883764-99883776(+) TTGAGGAAGTTG >mm10_chr15:99890565-99890576(+)::chr15:99890564-99890576(+) AGCAGGAAGGGA >mm10_chr15:99904638-99904649(-)::chr15:99904637-99904649(-) tcagggaagtca >mm10_chr15:99964717-99964728(+)::chr15:99964716-99964728(+) aagaggaaggag >mm10_chr15:99964735-99964746(+)::chr15:99964734-99964746(+) aggaggaagaga >mm10_chr15:99964753-99964764(+)::chr15:99964752-99964764(+) aggaggaagagg >mm10_chr15:99964759-99964770(+)::chr15:99964758-99964770(+) aagaggaagagg >mm10_chr15:99965307-99965318(+)::chr15:99965306-99965318(+) agagggaagtgt >mm10_chr15:99965336-99965347(+)::chr15:99965335-99965347(+) ggaaggaagggc >mm10_chr15:99970732-99970743(-)::chr15:99970731-99970743(-) gagaggaagggg >mm10_chr15:100041681-100041692(-)::chr15:100041680-100041692(-) TAGAGGAAGAAA >mm10_chr15:100041690-100041701(+)::chr15:100041689-100041701(+) CTAAGGAAGTGC >mm10_chr15:100050898-100050909(+)::chr15:100050897-100050909(+) ATGAGGAAGTTG >mm10_chr15:100051645-100051656(+)::chr15:100051644-100051656(+) GCAAGGAAGAAG >mm10_chr15:100067761-100067772(-)::chr15:100067760-100067772(-) aaaaggaagggg >mm10_chr15:100067772-100067783(-)::chr15:100067771-100067783(-) gggaggaagaga >mm10_chr15:100067792-100067803(-)::chr15:100067791-100067803(-) ggaaggaaggaa >mm10_chr15:100067803-100067814(-)::chr15:100067802-100067814(-) aggaggaaggtg >mm10_chr15:100067818-100067829(-)::chr15:100067817-100067829(-) aggaggaagagg >mm10_chr15:100072528-100072539(-)::chr15:100072527-100072539(-) GGAAGGAAGGAG >mm10_chr15:100072532-100072543(-)::chr15:100072531-100072543(-) AGCAGGAAGGAA >mm10_chr15:100072595-100072606(-)::chr15:100072594-100072606(-) CAGAGGAAGTGC >mm10_chr15:100084130-100084141(-)::chr15:100084129-100084141(-) AAAACGAAGTAA >mm10_chr15:100091780-100091791(-)::chr15:100091779-100091791(-) AGCAGGAAGATG >mm10_chr15:100091796-100091807(-)::chr15:100091795-100091807(-) AGCAGGAAGATG >mm10_chr15:100091811-100091822(-)::chr15:100091810-100091822(-) AGCAGGAAGATG >mm10_chr15:100091827-100091838(-)::chr15:100091826-100091838(-) AGCAGGAAGATG >mm10_chr15:100109996-100110007(-)::chr15:100109995-100110007(-) ATCAGGAAATGG >mm10_chr15:100119525-100119536(-)::chr15:100119524-100119536(-) ATGAGGAAATAA >mm10_chr15:100122939-100122950(-)::chr15:100122938-100122950(-) agagggaaggga >mm10_chr15:100125636-100125647(+)::chr15:100125635-100125647(+) AGAATGAAGTTC >mm10_chr15:100218996-100219007(-)::chr15:100218995-100219007(-) CAAGGGAAGTAA >mm10_chr15:100242754-100242765(-)::chr15:100242753-100242765(-) CGAAGGATGTTC >mm10_chr15:100304286-100304297(-)::chr15:100304285-100304297(-) TAAAGGAAGTGA >mm10_chr15:100318350-100318361(-)::chr15:100318349-100318361(-) AAACGGAAATAT >mm10_chr15:100320584-100320595(+)::chr15:100320583-100320595(+) GAAAGGAATTGA >mm10_chr15:100406259-100406270(+)::chr15:100406258-100406270(+) AGAGGGAAGTCC >mm10_chr15:100406277-100406288(-)::chr15:100406276-100406288(-) TGCAGGAAGGTG >mm10_chr15:100420537-100420548(-)::chr15:100420536-100420548(-) AGAAGGAAGTTT >mm10_chr15:100460042-100460053(+)::chr15:100460041-100460053(+) AGCAGGAAGAGG >mm10_chr15:100460059-100460070(+)::chr15:100460058-100460070(+) ACAAGGAAATAG >mm10_chr15:100460090-100460101(+)::chr15:100460089-100460101(+) GTTAGGAAGCCG >mm10_chr15:100551452-100551463(-)::chr15:100551451-100551463(-) GCAAGGAAGGAG >mm10_chr15:100551854-100551865(-)::chr15:100551853-100551865(-) GACCGGAAGTTA >mm10_chr15:100552230-100552241(-)::chr15:100552229-100552241(-) AGCGGGAAGCGG >mm10_chr15:100614696-100614707(+)::chr15:100614695-100614707(+) ACGAGGAAACGG >mm10_chr15:100615631-100615642(+)::chr15:100615630-100615642(+) ACACGGAAGTGA >mm10_chr15:100615648-100615659(+)::chr15:100615647-100615659(+) AACAGGAAGAGG >mm10_chr15:100622271-100622282(+)::chr15:100622270-100622282(+) AACAGGAAGGGT >mm10_chr15:100627848-100627859(+)::chr15:100627847-100627859(+) AGGAGGAAGTGG >mm10_chr15:100632596-100632607(+)::chr15:100632595-100632607(+) GGCAGGAAATAG >mm10_chr15:100632632-100632643(+)::chr15:100632631-100632643(+) AACAGGAAATGG >mm10_chr15:100638403-100638414(-)::chr15:100638402-100638414(-) CCAAGGAAGCAT >mm10_chr15:100678723-100678734(-)::chr15:100678722-100678734(-) AAGAGGAAGAGA >mm10_chr15:100737880-100737891(-)::chr15:100737879-100737891(-) ATGAGGAAGTGA >mm10_chr15:100755019-100755030(-)::chr15:100755018-100755030(-) GACAGGAAGTGC >mm10_chr15:100761666-100761677(-)::chr15:100761665-100761677(-) ACCAGGAAGAAG >mm10_chr15:100914849-100914860(-)::chr15:100914848-100914860(-) AGGAGGAAGTCT >mm10_chr15:100914858-100914869(-)::chr15:100914857-100914869(-) AACAGGAAGAGG >mm10_chr15:100957591-100957602(-)::chr15:100957590-100957602(-) ACAAGGAACTCA >mm10_chr15:100970064-100970075(-)::chr15:100970063-100970075(-) AGCAGGAAGCTA >mm10_chr15:100970667-100970678(+)::chr15:100970666-100970678(+) TACAGGAAGGGA >mm10_chr15:100970730-100970741(-)::chr15:100970729-100970741(-) atgaggaaGACC >mm10_chr15:100971504-100971515(-)::chr15:100971503-100971515(-) AGAAGGAAAGGG >mm10_chr15:100971550-100971561(+)::chr15:100971549-100971561(+) AGCAGGAAGAAA >mm10_chr15:100974810-100974821(-)::chr15:100974809-100974821(-) GGGAGGAAGGAC >mm10_chr15:101024015-101024026(-)::chr15:101024014-101024026(-) AGGAGGAAGGGA >mm10_chr15:101081240-101081251(+)::chr15:101081239-101081251(+) AGGAGGAAGAGG >mm10_chr15:101081319-101081330(+)::chr15:101081318-101081330(+) GAAAGGAAGGAA >mm10_chr15:101092267-101092278(-)::chr15:101092266-101092278(-) ggaaggaaggga >mm10_chr15:101096038-101096049(+)::chr15:101096037-101096049(+) aagaggaagagg >mm10_chr15:101096053-101096064(+)::chr15:101096052-101096064(+) aggaggaagaag >mm10_chr15:101096080-101096091(+)::chr15:101096079-101096091(+) aagaggaaggag >mm10_chr15:101113421-101113432(+)::chr15:101113420-101113432(+) ACCAGGAAGCTG >mm10_chr15:101119650-101119661(+)::chr15:101119649-101119661(+) GAGAGGAAGAAG >mm10_chr15:101119663-101119674(+)::chr15:101119662-101119674(+) AGGAGGAAGAAA >mm10_chr15:101119682-101119693(+)::chr15:101119681-101119693(+) ACGAGGAGGTGG >mm10_chr15:101128076-101128087(+)::chr15:101128075-101128087(+) ATCAGGAAGAGG >mm10_chr15:101128082-101128093(+)::chr15:101128081-101128093(+) AAGAGGAAGAGT >mm10_chr15:101128889-101128900(+)::chr15:101128888-101128900(+) GGCAGGAAGAAG >mm10_chr15:101145749-101145760(-)::chr15:101145748-101145760(-) GTAAGGAAGCAC >mm10_chr15:101147586-101147597(+)::chr15:101147585-101147597(+) gggaggaagagg >mm10_chr15:101154373-101154384(-)::chr15:101154372-101154384(-) aaaaggaactaa >mm10_chr15:101164567-101164578(-)::chr15:101164566-101164578(-) GCCCGGAAGTGG >mm10_chr15:101179088-101179099(+)::chr15:101179087-101179099(+) tctaggaagtac >mm10_chr15:101188034-101188045(-)::chr15:101188033-101188045(-) ctaaggaagcca >mm10_chr15:101188050-101188061(-)::chr15:101188049-101188061(-) ttcaggaagtca >mm10_chr15:101190958-101190969(-)::chr15:101190957-101190969(-) aaaaggaagtga >mm10_chr15:101190987-101190998(+)::chr15:101190986-101190998(+) aaaatgaagtgc >mm10_chr15:101191011-101191022(-)::chr15:101191010-101191022(-) ttcaggaagtca >mm10_chr15:101225584-101225595(+)::chr15:101225583-101225595(+) ACCAGGAAGGCC >mm10_chr15:101246142-101246153(-)::chr15:101246141-101246153(-) AAAATGAAGTTA >mm10_chr15:101246626-101246637(-)::chr15:101246625-101246637(-) GGAAGGAACGCG >mm10_chr15:101253679-101253690(+)::chr15:101253678-101253690(+) CCGAGGAAGTCC >mm10_chr15:101267817-101267828(-)::chr15:101267816-101267828(-) AGGAGGAAGAGG >mm10_chr15:101298186-101298197(+)::chr15:101298185-101298197(+) GAGAGGAAGGAA >mm10_chr15:101298190-101298201(+)::chr15:101298189-101298201(+) GGAAGGAAGCAG >mm10_chr15:101336934-101336945(-)::chr15:101336933-101336945(-) AACAGGAAGAAA >mm10_chr15:101369072-101369083(-)::chr15:101369071-101369083(-) TTCAGGAAGTCC >mm10_chr15:101373281-101373292(+)::chr15:101373280-101373292(+) AAGAGGAAGAGC >mm10_chr15:101375063-101375074(+)::chr15:101375062-101375074(+) AGCAGGAAGAAG >mm10_chr15:101390134-101390145(-)::chr15:101390133-101390145(-) AGCAGGAAGCAG >mm10_chr15:101411026-101411037(+)::chr15:101411025-101411037(+) ATGAGGAAGTAT >mm10_chr15:101446690-101446701(-)::chr15:101446689-101446701(-) acccggaagtaa >mm10_chr15:101446963-101446974(-)::chr15:101446962-101446974(-) ggaaggaagagg >mm10_chr15:101446967-101446978(-)::chr15:101446966-101446978(-) agaaggaaggaa >mm10_chr15:101522950-101522961(-)::chr15:101522949-101522961(-) agaaggaaatgc >mm10_chr15:101598046-101598057(-)::chr15:101598045-101598057(-) aggaggaaatgg >mm10_chr15:101598079-101598090(-)::chr15:101598078-101598090(-) Aggaggaaggga >mm10_chr15:101598092-101598103(-)::chr15:101598091-101598103(-) agaaggaagtaa >mm10_chr15:101598100-101598111(-)::chr15:101598099-101598111(-) agaaggaaagaa >mm10_chr15:101598113-101598124(-)::chr15:101598112-101598124(-) gggaggaagggg >mm10_chr15:101598126-101598137(-)::chr15:101598125-101598137(-) aagaggaaataa >mm10_chr15:101696001-101696012(+)::chr15:101696000-101696012(+) TCAAGGAAATCC >mm10_chr15:101710814-101710825(+)::chr15:101710813-101710825(+) GACAGGAAGGAT >mm10_chr15:101805385-101805396(+)::chr15:101805384-101805396(+) agaaggaagaag >mm10_chr15:101805392-101805403(+)::chr15:101805391-101805403(+) agaaggaagaag >mm10_chr15:101805399-101805410(+)::chr15:101805398-101805410(+) agaaggaagaag >mm10_chr15:101805410-101805421(+)::chr15:101805409-101805421(+) gaaaggaagaag >mm10_chr15:101911126-101911137(+)::chr15:101911125-101911137(+) AGCAGGAAGTAG >mm10_chr15:101915390-101915401(-)::chr15:101915389-101915401(-) ctaaggaagtag >mm10_chr15:101915422-101915433(-)::chr15:101915421-101915433(-) tgaaggaagtga >mm10_chr15:101915436-101915447(-)::chr15:101915435-101915447(-) tcaaggaagact >mm10_chr15:101933027-101933038(-)::chr15:101933026-101933038(-) GGCAGGAAGTTG >mm10_chr15:101933099-101933110(-)::chr15:101933098-101933110(-) TTAAGGAAGAGC >mm10_chr15:101966029-101966040(-)::chr15:101966028-101966040(-) ATCAGGAAGCAT >mm10_chr15:101966884-101966895(+)::chr15:101966883-101966895(+) AGAAGGAAGTGT >mm10_chr15:101968976-101968987(-)::chr15:101968975-101968987(-) agcaggaaggat >mm10_chr15:101984534-101984545(-)::chr15:101984533-101984545(-) CACCGGAAGTAC >mm10_chr15:101991064-101991075(+)::chr15:101991063-101991075(+) tcaaggaaatgc >mm10_chr15:101991103-101991114(+)::chr15:101991102-101991114(+) tggaggaagttc >mm10_chr15:101996282-101996293(+)::chr15:101996281-101996293(+) ACAAGGAAGCTA >mm10_chr15:102002792-102002803(+)::chr15:102002791-102002803(+) AAGAGGAAGTCA >mm10_chr15:102014557-102014568(+)::chr15:102014556-102014568(+) ACCAGGAAGACT >mm10_chr15:102018422-102018433(+)::chr15:102018421-102018433(+) AGAGGGAAGAAA >mm10_chr15:102025413-102025424(-)::chr15:102025412-102025424(-) AGAAGGAAGTGG >mm10_chr15:102038822-102038833(-)::chr15:102038821-102038833(-) CCAAGGAAGAAG >mm10_chr15:102045870-102045881(+)::chr15:102045869-102045881(+) agcaggaaGTGA >mm10_chr15:102075322-102075333(+)::chr15:102075321-102075333(+) ACAAGGAAATCG >mm10_chr15:102080707-102080718(-)::chr15:102080706-102080718(-) AATAGGAAATAA >mm10_chr15:102080727-102080738(+)::chr15:102080726-102080738(+) TGAAGGAAGAGC >mm10_chr15:102102885-102102896(-)::chr15:102102884-102102896(-) TCCAGGAAGTGG >mm10_chr15:102105013-102105024(-)::chr15:102105012-102105024(-) gggaggaagagg >mm10_chr15:102105028-102105039(-)::chr15:102105027-102105039(-) aggaggaagaga >mm10_chr15:102105040-102105051(-)::chr15:102105039-102105051(-) aacaggaagatg >mm10_chr15:102105058-102105069(-)::chr15:102105057-102105069(-) atgaggaagaag >mm10_chr15:102105076-102105087(-)::chr15:102105075-102105087(-) aggaggaagaag >mm10_chr15:102118088-102118099(-)::chr15:102118087-102118099(-) aggaggaagagg >mm10_chr15:102118097-102118108(-)::chr15:102118096-102118108(-) aggaggaagagg >mm10_chr15:102118106-102118117(-)::chr15:102118105-102118117(-) aagaggaagagg >mm10_chr15:102118118-102118129(-)::chr15:102118117-102118129(-) ACCaggaagggg >mm10_chr15:102132539-102132550(-)::chr15:102132538-102132550(-) agaaggaactag >mm10_chr15:102150921-102150932(-)::chr15:102150920-102150932(-) ACAAGGAAGTAC >mm10_chr15:102164546-102164557(-)::chr15:102164545-102164557(-) gtgaggaagtca >mm10_chr15:102164915-102164926(-)::chr15:102164914-102164926(-) aggaggaagagg >mm10_chr15:102164960-102164971(-)::chr15:102164959-102164971(-) AAgaggaagagg >mm10_chr15:102176709-102176720(-)::chr15:102176708-102176720(-) GGAAGGAAGACA >mm10_chr15:102176713-102176724(-)::chr15:102176712-102176724(-) AGGAGGAAGGAA >mm10_chr15:102176720-102176731(-)::chr15:102176719-102176731(-) AGAAGGAAGGAG >mm10_chr15:102198941-102198952(-)::chr15:102198940-102198952(-) ATAAAGAAGTTG >mm10_chr15:102204211-102204222(-)::chr15:102204210-102204222(-) GGAAGGAAGCTC >mm10_chr15:102243587-102243598(-)::chr15:102243586-102243598(-) ATGAGGAAATGA >mm10_chr15:102247125-102247136(-)::chr15:102247124-102247136(-) GGAAGGAAGGAG >mm10_chr15:102259519-102259530(-)::chr15:102259518-102259530(-) TCGAGGAAGTAG >mm10_chr15:102259530-102259541(-)::chr15:102259529-102259541(-) ACGAGGAAGTTT >mm10_chr15:102259554-102259565(+)::chr15:102259553-102259565(+) AGGGGGAAGTGT >mm10_chr15:102316330-102316341(-)::chr15:102316329-102316341(-) GAGAGGAAGGAC >mm10_chr15:102316351-102316362(-)::chr15:102316350-102316362(-) AAGAGGAAGCAA >mm10_chr15:102327210-102327221(+)::chr15:102327209-102327221(+) ACCAGGAAATGA >mm10_chr15:102331676-102331687(+)::chr15:102331675-102331687(+) GGGCGGAAGTGA >mm10_chr15:102331699-102331710(-)::chr15:102331698-102331710(-) AAGAGGAAGTGT >mm10_chr15:102350752-102350763(+)::chr15:102350751-102350763(+) GAACGGAAGTGC >mm10_chr15:102350762-102350773(+)::chr15:102350761-102350773(+) GCAAGGAAGAAA >mm10_chr15:102382014-102382025(-)::chr15:102382013-102382025(-) GTAAGGAAGGCA >mm10_chr15:102390315-102390326(+)::chr15:102390314-102390326(+) aagaggaagagt >mm10_chr15:102393725-102393736(+)::chr15:102393724-102393736(+) AAAGGGAAGTGT >mm10_chr15:102402467-102402478(+)::chr15:102402466-102402478(+) TCCAGGAAGTTC >mm10_chr15:102462178-102462189(-)::chr15:102462177-102462189(-) aggaggaagagg >mm10_chr15:102505050-102505061(+)::chr15:102505049-102505061(+) TGAAGGAAATGG >mm10_chr15:102505087-102505098(-)::chr15:102505086-102505098(-) AGCAGGAAGGTA >mm10_chr15:102518025-102518036(+)::chr15:102518024-102518036(+) TACAGGAAGTCG >mm10_chr15:102530639-102530650(+)::chr15:102530638-102530650(+) TGGAGGAAGGAA >mm10_chr15:102530643-102530654(+)::chr15:102530642-102530654(+) GGAAGGAAGCAG >mm10_chr15:102530675-102530686(+)::chr15:102530674-102530686(+) AACAGGAAGGGA >mm10_chr15:102596150-102596161(-)::chr15:102596149-102596161(-) AGCAGGAAATCC >mm10_chr15:102596157-102596168(-)::chr15:102596156-102596168(-) GGACGGAAGCAG >mm10_chr15:102625073-102625084(-)::chr15:102625072-102625084(-) TGGAGGAAGGCG >mm10_chr15:102625084-102625095(+)::chr15:102625083-102625095(+) AAAAGGAAGGAG >mm10_chr15:102656724-102656735(-)::chr15:102656723-102656735(-) CTAAGGAAGTAG >mm10_chr15:102656758-102656769(-)::chr15:102656757-102656769(-) GGAAGGAAGACA >mm10_chr15:102665564-102665575(+)::chr15:102665563-102665575(+) AGCAGGAACTAC >mm10_chr15:102718129-102718140(-)::chr15:102718128-102718140(-) AGGAGGAAGGAC >mm10_chr15:102718136-102718147(-)::chr15:102718135-102718147(-) GACAGGAAGGAG >mm10_chr15:102718172-102718183(-)::chr15:102718171-102718183(-) AAGAGGAAGACC >mm10_chr15:102858605-102858616(-)::chr15:102858604-102858616(-) AGAAGGAAGTAT >mm10_chr15:103163296-103163307(-)::chr15:103163295-103163307(-) GAAAGGAAGCGG >mm10_chr15:103170007-103170018(+)::chr15:103170006-103170018(+) AGAAGGAAGAAA >mm10_chr15:103193859-103193870(-)::chr15:103193858-103193870(-) AACAGGAAGAAG >mm10_chr15:103193876-103193887(+)::chr15:103193875-103193887(+) ACAAGGAAACTA >mm10_chr15:103274944-103274955(+)::chr15:103274943-103274955(+) CTAAGGAAGGGC >mm10_chr15:103277808-103277819(+)::chr15:103277807-103277819(+) ACCAGGATGTAT >mm10_chr15:103277830-103277841(+)::chr15:103277829-103277841(+) AGGAGGAAGCTG >mm10_chr15:103286286-103286297(-)::chr15:103286285-103286297(-) ATAGGGAAGTCT >mm10_chr15:103307295-103307306(+)::chr15:103307294-103307306(+) AACCGGAAGTAA >mm10_chr15:103307307-103307318(+)::chr15:103307306-103307318(+) AAAAGGAAGCAC >mm10_chr15:103313565-103313576(-)::chr15:103313564-103313576(-) GGAAGGAAGGAA >mm10_chr15:103313569-103313580(-)::chr15:103313568-103313580(-) CGAAGGAAGGAA >mm10_chr15:103347367-103347378(+)::chr15:103347366-103347378(+) AAAGGGAAGTAG >mm10_chr15:103358112-103358123(-)::chr15:103358111-103358123(-) AAAGGGAAGTCT >mm10_chr15:103382915-103382926(-)::chr15:103382914-103382926(-) GTAGGGAAGTCC >mm10_chr15:103382981-103382992(-)::chr15:103382980-103382992(-) ACAAGGAAGAAT >mm10_chr15:103473611-103473622(+)::chr15:103473610-103473622(+) AGGAGGAAGCAG >mm10_chr15:103561122-103561133(+)::chr15:103561121-103561133(+) AGGCGGAAGTGG >mm10_chr15:103561683-103561694(+)::chr15:103561682-103561694(+) GGAAAGAAGTGA >mm10_chr15:103561738-103561749(+)::chr15:103561737-103561749(+) TCCAGGAAGTTA >mm10_chr15:103565235-103565246(+)::chr15:103565234-103565246(+) GCAAGAAAGTAA >mm10_chr15:103566469-103566480(-)::chr15:103566468-103566480(-) AAGAGGAAGACA >mm10_chr15:103566517-103566528(+)::chr15:103566516-103566528(+) TAAAGGAAGTTT >mm10_chr15:103567744-103567755(-)::chr15:103567743-103567755(-) AGTAGGAAGTAT >mm10_chr15:103577204-103577215(+)::chr15:103577203-103577215(+) gtgaggaagaca >mm10_chr15:103600551-103600562(-)::chr15:103600550-103600562(-) AGAAGGAAGACA >mm10_chr15:103627192-103627203(-)::chr15:103627191-103627203(-) CGCAGGAAGCCC >mm10_chr15:103627594-103627605(+)::chr15:103627593-103627605(+) ACAAGGAAATCT >mm10_chr16:3254077-3254088(-)::chr16:3254076-3254088(-) ACAATGAAGTGT >mm10_chr16:3703158-3703169(+)::chr16:3703157-3703169(+) CTGAGGAAGTGC >mm10_chr16:3703172-3703183(-)::chr16:3703171-3703183(-) AGAAGGAAGAGG >mm10_chr16:3732781-3732792(-)::chr16:3732780-3732792(-) TGTAGGAAGTGT >mm10_chr16:3732826-3732837(-)::chr16:3732825-3732837(-) TTAAGGAAGAAA >mm10_chr16:3732844-3732855(-)::chr16:3732843-3732855(-) AGCGGGAAGCCG >mm10_chr16:3735324-3735335(+)::chr16:3735323-3735335(+) CAAAGTAAGTAA >mm10_chr16:3743392-3743403(+)::chr16:3743391-3743403(+) ATAAGGAAGTCC >mm10_chr16:3744088-3744099(+)::chr16:3744087-3744099(+) AACCGGAAGTCC >mm10_chr16:3813067-3813078(+)::chr16:3813066-3813078(+) ccaaggaagacc >mm10_chr16:3884645-3884656(+)::chr16:3884644-3884656(+) AGGCGGAAGTGC >mm10_chr16:3884665-3884676(-)::chr16:3884664-3884676(-) ACAGGGAAGGCG >mm10_chr16:3885591-3885602(+)::chr16:3885590-3885602(+) TTAAGGAAGTTG >mm10_chr16:3895645-3895656(-)::chr16:3895644-3895656(-) tggaggaagtgg >mm10_chr16:3895682-3895693(-)::chr16:3895681-3895693(-) cgccggaagtgt >mm10_chr16:3908991-3909002(-)::chr16:3908990-3909002(-) GACAGGAAGCGA >mm10_chr16:3931856-3931867(-)::chr16:3931855-3931867(-) AGCAGGAAGAAC >mm10_chr16:3976736-3976747(+)::chr16:3976735-3976747(+) GTAGGGAAGTTA >mm10_chr16:3977079-3977090(+)::chr16:3977078-3977090(+) AGCAGGAAGGTA >mm10_chr16:4160384-4160395(-)::chr16:4160383-4160395(-) AGCAGGAAGCAC >mm10_chr16:4160945-4160956(-)::chr16:4160944-4160956(-) GACAGGAAGTGC >mm10_chr16:4160964-4160975(-)::chr16:4160963-4160975(-) GCAAGGAAGGTT >mm10_chr16:4183080-4183091(-)::chr16:4183079-4183091(-) AGAAGGAAATCT >mm10_chr16:4183087-4183098(-)::chr16:4183086-4183098(-) GGAAGGAAGAAG >mm10_chr16:4211494-4211505(+)::chr16:4211493-4211505(+) aggaggaagagg >mm10_chr16:4211500-4211511(+)::chr16:4211499-4211511(+) aagaggaagagg >mm10_chr16:4211522-4211533(+)::chr16:4211521-4211533(+) aggaggaagaag >mm10_chr16:4212442-4212453(+)::chr16:4212441-4212453(+) ACAGGGAAGTCT >mm10_chr16:4381633-4381644(-)::chr16:4381632-4381644(-) TGGAGGAAGTGA >mm10_chr16:4381927-4381938(+)::chr16:4381926-4381938(+) TTAAGCAAGTAG >mm10_chr16:4381934-4381945(+)::chr16:4381933-4381945(+) AGTAGGAAATGT >mm10_chr16:4381949-4381960(-)::chr16:4381948-4381960(-) AGCAGGAAGTGG >mm10_chr16:4383467-4383478(-)::chr16:4383466-4383478(-) GAAAGGAAGTGA >mm10_chr16:4405528-4405539(-)::chr16:4405527-4405539(-) AGAGGGAAGTGC >mm10_chr16:4426031-4426042(-)::chr16:4426030-4426042(-) aagaggaagcag >mm10_chr16:4559067-4559078(+)::chr16:4559066-4559078(+) AAAAGGAAGGAA >mm10_chr16:4559071-4559082(+)::chr16:4559070-4559082(+) GGAAGGAAGCCA >mm10_chr16:4559097-4559108(+)::chr16:4559096-4559108(+) AGGAGGAAGCGC >mm10_chr16:4678998-4679009(+)::chr16:4678997-4679009(+) TAAAGGAAGAGC >mm10_chr16:4679022-4679033(+)::chr16:4679021-4679033(+) ACAAGGAAGAGT >mm10_chr16:4714699-4714710(+)::chr16:4714698-4714710(+) AAGAGGAAGAGC >mm10_chr16:4714724-4714735(-)::chr16:4714723-4714735(-) TAGAGGAAGAGG >mm10_chr16:4726234-4726245(-)::chr16:4726233-4726245(-) GGAAGGAAGCGT >mm10_chr16:4726238-4726249(-)::chr16:4726237-4726249(-) GAGAGGAAGGAA >mm10_chr16:4726288-4726299(+)::chr16:4726287-4726299(+) AGAAGGCAGTGT >mm10_chr16:4757724-4757735(-)::chr16:4757723-4757735(-) ACAAGAAAGTCA >mm10_chr16:4839765-4839776(-)::chr16:4839764-4839776(-) AGAAGGAAGTGG >mm10_chr16:4878613-4878624(+)::chr16:4878612-4878624(+) ACCAGGAAGTTA >mm10_chr16:4878636-4878647(-)::chr16:4878635-4878647(-) GCCAGGAAGTGG >mm10_chr16:4880693-4880704(-)::chr16:4880692-4880704(-) AGCCGGAAGTGG >mm10_chr16:4907459-4907470(-)::chr16:4907458-4907470(-) CCCAGGAAGTTC >mm10_chr16:4913168-4913179(+)::chr16:4913167-4913179(+) GACAGGAAGGAA >mm10_chr16:4913172-4913183(+)::chr16:4913171-4913183(+) GGAAGGAAGCTA >mm10_chr16:4936217-4936228(+)::chr16:4936216-4936228(+) GGGAGGAAGGGG >mm10_chr16:4964202-4964213(+)::chr16:4964201-4964213(+) CCCCGGAAGTAA >mm10_chr16:4976559-4976570(+)::chr16:4976558-4976570(+) AGGAGGAAGTGG >mm10_chr16:4982673-4982684(-)::chr16:4982672-4982684(-) AAGAGGAAGCAG >mm10_chr16:5000748-5000759(+)::chr16:5000747-5000759(+) agaaggaaagat >mm10_chr16:5006323-5006334(-)::chr16:5006322-5006334(-) ggaaggaagCTG >mm10_chr16:5006327-5006338(-)::chr16:5006326-5006338(-) ggaaggaaggaa >mm10_chr16:5006331-5006342(-)::chr16:5006330-5006342(-) gggaggaaggaa >mm10_chr16:5006351-5006362(-)::chr16:5006350-5006362(-) ggaaggaagaga >mm10_chr16:5006355-5006366(-)::chr16:5006354-5006366(-) TAaaggaaggaa >mm10_chr16:5007313-5007324(+)::chr16:5007312-5007324(+) AGCAGGAAGCAG >mm10_chr16:5035211-5035222(-)::chr16:5035210-5035222(-) TTCAGGAAGTGG >mm10_chr16:5035626-5035637(-)::chr16:5035625-5035637(-) ATAAGGAAGCTG >mm10_chr16:5055192-5055203(+)::chr16:5055191-5055203(+) AAGAGGAAGTTG >mm10_chr16:5063565-5063576(+)::chr16:5063564-5063576(+) TGAAGGAAATGA >mm10_chr16:5136561-5136572(+)::chr16:5136560-5136572(+) GCAAGGAAGTCA >mm10_chr16:5141134-5141145(-)::chr16:5141133-5141145(-) actaggaagggc >mm10_chr16:5141145-5141156(-)::chr16:5141144-5141156(-) aagaggaagaga >mm10_chr16:5141151-5141162(-)::chr16:5141150-5141162(-) aacaggaagagg >mm10_chr16:5141174-5141185(-)::chr16:5141173-5141185(-) ggaaggaaggag >mm10_chr16:5197257-5197268(+)::chr16:5197256-5197268(+) GAAAGGAAGCAA >mm10_chr16:5197289-5197300(+)::chr16:5197288-5197300(+) ACACGGAAGAGT >mm10_chr16:5203240-5203251(+)::chr16:5203239-5203251(+) GACAGGAAGTTT >mm10_chr16:5210562-5210573(-)::chr16:5210561-5210573(-) AAGAGGAAGTAA >mm10_chr16:5374958-5374969(-)::chr16:5374957-5374969(-) ACAAGGAAATAC >mm10_chr16:5537536-5537547(+)::chr16:5537535-5537547(+) gacaggaagcag >mm10_chr16:5631170-5631181(+)::chr16:5631169-5631181(+) AAGAGGAAGGGC >mm10_chr16:5662500-5662511(+)::chr16:5662499-5662511(+) tcaaggaaggaa >mm10_chr16:5662504-5662515(+)::chr16:5662503-5662515(+) ggaaggaagcat >mm10_chr16:5673011-5673022(-)::chr16:5673010-5673022(-) AGCAGGAAGCAG >mm10_chr16:5673613-5673624(-)::chr16:5673612-5673624(-) AGAAGGAAGTAC >mm10_chr16:5724351-5724362(-)::chr16:5724350-5724362(-) GTCAGGAAGAGT >mm10_chr16:6264796-6264807(+)::chr16:6264795-6264807(+) ATAAAGAAGTTC >mm10_chr16:6900046-6900057(-)::chr16:6900045-6900057(-) AGAAGGAAATAT >mm10_chr16:6900065-6900076(-)::chr16:6900064-6900076(-) AGAAGGAAAAAG >mm10_chr16:6915020-6915031(+)::chr16:6915019-6915031(+) ACAGGGAAGTTT >mm10_chr16:6915037-6915048(+)::chr16:6915036-6915048(+) TAGAGGAAGAAG >mm10_chr16:6915044-6915055(+)::chr16:6915043-6915055(+) AGAAGGAAGAAA >mm10_chr16:7419825-7419836(-)::chr16:7419824-7419836(-) AACAGGAAGCGG >mm10_chr16:7419852-7419863(-)::chr16:7419851-7419863(-) TGCAGGAAGTTT >mm10_chr16:7419869-7419880(+)::chr16:7419868-7419880(+) GCCAGGAAGTTG >mm10_chr16:7425887-7425898(+)::chr16:7425886-7425898(+) agaaggaagtag >mm10_chr16:7528149-7528160(+)::chr16:7528148-7528160(+) GTGAGGAAGATA >mm10_chr16:7672713-7672724(+)::chr16:7672712-7672724(+) GCAAGGAAAATA >mm10_chr16:7899337-7899348(-)::chr16:7899336-7899348(-) AAGAGGAAGAGA >mm10_chr16:7899366-7899377(-)::chr16:7899365-7899377(-) TCAAGGAAGTGG >mm10_chr16:8006818-8006829(+)::chr16:8006817-8006829(+) GGGAGGAAGATG >mm10_chr16:8125843-8125854(-)::chr16:8125842-8125854(-) GAGAGGAAGGGA >mm10_chr16:8350188-8350199(-)::chr16:8350187-8350199(-) ACGAGGAAGCAA >mm10_chr16:8471033-8471044(+)::chr16:8471032-8471044(+) ATTAGGAAGGGA >mm10_chr16:8599601-8599612(+)::chr16:8599600-8599612(+) ACCAGGAAGTCA >mm10_chr16:8625969-8625980(-)::chr16:8625968-8625980(-) AACAGGAAGAGG >mm10_chr16:8625978-8625989(-)::chr16:8625977-8625989(-) AAGAGGAAGAAC >mm10_chr16:8625984-8625995(-)::chr16:8625983-8625995(-) aGAAGGAAGAGG >mm10_chr16:8630587-8630598(+)::chr16:8630586-8630598(+) aggaggaagagg >mm10_chr16:8630603-8630614(+)::chr16:8630602-8630614(+) ataaggaaatag >mm10_chr16:8630631-8630642(+)::chr16:8630630-8630642(+) ttaaggatgtaa >mm10_chr16:8637709-8637720(+)::chr16:8637708-8637720(+) ACCCGGAagttc >mm10_chr16:8639978-8639989(+)::chr16:8639977-8639989(+) AAGAGGAAGAAC >mm10_chr16:8667430-8667441(+)::chr16:8667429-8667441(+) agcaggaagtaa >mm10_chr16:8713997-8714008(+)::chr16:8713996-8714008(+) CTAAGGAAGTTG >mm10_chr16:8716434-8716445(+)::chr16:8716433-8716445(+) ACCAGGAAGTAC >mm10_chr16:8736235-8736246(-)::chr16:8736234-8736246(-) GGAAGGAAGGCT >mm10_chr16:8739739-8739750(-)::chr16:8739738-8739750(-) AGGAGGAAGTTC >mm10_chr16:8739788-8739799(-)::chr16:8739787-8739799(-) AGGAGGAAGGGG >mm10_chr16:8745953-8745964(-)::chr16:8745952-8745964(-) AGAAGGAAGGGC >mm10_chr16:8745960-8745971(-)::chr16:8745959-8745971(-) GACAGGAAGAAG >mm10_chr16:8746013-8746024(-)::chr16:8746012-8746024(-) ATCAGGAAATAA >mm10_chr16:8754856-8754867(-)::chr16:8754855-8754867(-) TGGAGGAAGAGG >mm10_chr16:8762541-8762552(-)::chr16:8762540-8762552(-) agaaggaagaag >mm10_chr16:8766412-8766423(+)::chr16:8766411-8766423(+) GCAAGGAAGTGG >mm10_chr16:8767703-8767714(+)::chr16:8767702-8767714(+) GCAAGgaagaag >mm10_chr16:8767715-8767726(+)::chr16:8767714-8767726(+) aggaggaagaag >mm10_chr16:8767726-8767737(+)::chr16:8767725-8767737(+) gagaggaagagg >mm10_chr16:8767735-8767746(+)::chr16:8767734-8767746(+) aggaggaaggag >mm10_chr16:8768812-8768823(-)::chr16:8768811-8768823(-) AGGAGGAAGAGG >mm10_chr16:8774836-8774847(+)::chr16:8774835-8774847(+) tgcaggaagtgc >mm10_chr16:8774906-8774917(+)::chr16:8774905-8774917(+) acaagaaagtgt >mm10_chr16:8777315-8777326(-)::chr16:8777314-8777326(-) AGCAGGAAGTAG >mm10_chr16:8783676-8783687(+)::chr16:8783675-8783687(+) AACCGGAAGTTG >mm10_chr16:8783704-8783715(-)::chr16:8783703-8783715(-) ACCCGGAAGTAG >mm10_chr16:8783724-8783735(-)::chr16:8783723-8783735(-) GGAAGGAAGTCC >mm10_chr16:8783728-8783739(-)::chr16:8783727-8783739(-) AAACGGAAGGAA >mm10_chr16:8784907-8784918(+)::chr16:8784906-8784918(+) ttaaggaaatac >mm10_chr16:8784977-8784988(+)::chr16:8784976-8784988(+) accaggaagtga >mm10_chr16:8792295-8792306(+)::chr16:8792294-8792306(+) tgcaggaagagt >mm10_chr16:8818676-8818687(+)::chr16:8818675-8818687(+) CCAAGGAAGATG >mm10_chr16:8818701-8818712(+)::chr16:8818700-8818712(+) AAAGGGAAGTAT >mm10_chr16:8818723-8818734(+)::chr16:8818722-8818734(+) GCAGGGAAGTGG >mm10_chr16:8823812-8823823(+)::chr16:8823811-8823823(+) aggaggaagcag >mm10_chr16:8823834-8823845(-)::chr16:8823833-8823845(-) aaaaggaactta >mm10_chr16:8823874-8823885(-)::chr16:8823873-8823885(-) aagaggaagtcc >mm10_chr16:8853244-8853255(+)::chr16:8853243-8853255(+) AGGAGGAAGTTT >mm10_chr16:8853258-8853269(+)::chr16:8853257-8853269(+) AGGAGGAAGATG >mm10_chr16:8862429-8862440(+)::chr16:8862428-8862440(+) AGGAGGAAGTTG >mm10_chr16:8997884-8997895(+)::chr16:8997883-8997895(+) AGGAGGAAATGC >mm10_chr16:9006331-9006342(-)::chr16:9006330-9006342(-) TGCAGGAAGAGT >mm10_chr16:9092062-9092073(-)::chr16:9092061-9092073(-) GTAAGGAAGAGG >mm10_chr16:9092340-9092351(-)::chr16:9092339-9092351(-) GAAGGGAAGTGA >mm10_chr16:9092345-9092356(-)::chr16:9092344-9092356(-) GGGAGGAAGGGA >mm10_chr16:9108272-9108283(-)::chr16:9108271-9108283(-) agaaggaagaac >mm10_chr16:9202055-9202066(+)::chr16:9202054-9202066(+) tgaaggaagcag >mm10_chr16:9202108-9202119(+)::chr16:9202107-9202119(+) aggaggaaggcc >mm10_chr16:9208325-9208336(-)::chr16:9208324-9208336(-) GAGAGGAAGTGA >mm10_chr16:9209309-9209320(+)::chr16:9209308-9209320(+) ACCAGGAAATGG >mm10_chr16:9209604-9209615(+)::chr16:9209603-9209615(+) TCCAGGAAGTAT >mm10_chr16:9223630-9223641(-)::chr16:9223629-9223641(-) agcaggaagAGT >mm10_chr16:9223646-9223657(-)::chr16:9223645-9223657(-) agtaggaagaaa >mm10_chr16:9223658-9223669(-)::chr16:9223657-9223669(-) agcaggaagagt >mm10_chr16:9364370-9364381(+)::chr16:9364369-9364381(+) TAAGGGAAGTGG >mm10_chr16:9528251-9528262(+)::chr16:9528250-9528262(+) ACAAGGAAGAAC >mm10_chr16:9649552-9649563(+)::chr16:9649551-9649563(+) AGCAGGAAGGAG >mm10_chr16:9649572-9649583(+)::chr16:9649571-9649583(+) GGAAGGAAGTGG >mm10_chr16:9649586-9649597(+)::chr16:9649585-9649597(+) AGGAGGAAATAG >mm10_chr16:9839310-9839321(+)::chr16:9839309-9839321(+) TGCAGGAAGCAA >mm10_chr16:9906491-9906502(+)::chr16:9906490-9906502(+) agaaggaagtct >mm10_chr16:10035826-10035837(+)::chr16:10035825-10035837(+) ATGAGGAAGCAG >mm10_chr16:10035833-10035844(+)::chr16:10035832-10035844(+) AGCAGGAAGTAA >mm10_chr16:10035837-10035848(+)::chr16:10035836-10035848(+) GGAAGTAAGTGA >mm10_chr16:10280389-10280400(-)::chr16:10280388-10280400(-) accaggaactcg >mm10_chr16:10280525-10280536(+)::chr16:10280524-10280536(+) tcaaggaagcac >mm10_chr16:10296400-10296411(+)::chr16:10296399-10296411(+) AGAgggaaggga >mm10_chr16:10296431-10296442(+)::chr16:10296430-10296442(+) agaaggaagtgg >mm10_chr16:10297792-10297803(-)::chr16:10297791-10297803(-) TGAAGGAAGGAC >mm10_chr16:10297845-10297856(+)::chr16:10297844-10297856(+) AAGAGGAAGTGG >mm10_chr16:10300591-10300602(-)::chr16:10300590-10300602(-) GGCAGGAAGCAG >mm10_chr16:10300975-10300986(+)::chr16:10300974-10300986(+) TAGAGGAAGGAG >mm10_chr16:10412175-10412186(+)::chr16:10412174-10412186(+) GTGAGGAAGCGG >mm10_chr16:10412194-10412205(+)::chr16:10412193-10412205(+) ATCAGGAAGTGG >mm10_chr16:10422089-10422100(+)::chr16:10422088-10422100(+) AGCAGGAAGTGG >mm10_chr16:10434304-10434315(-)::chr16:10434303-10434315(-) TCCAGGAAGTAG >mm10_chr16:10434376-10434387(+)::chr16:10434375-10434387(+) ACCAGGAAgcag >mm10_chr16:10453908-10453919(+)::chr16:10453907-10453919(+) acacggaagttg >mm10_chr16:10453951-10453962(-)::chr16:10453950-10453962(-) tggaggaaatga >mm10_chr16:10465592-10465603(-)::chr16:10465591-10465603(-) GCAAGGAAGCTG >mm10_chr16:10488594-10488605(-)::chr16:10488593-10488605(-) AAGAGGAAGAGA >mm10_chr16:10488600-10488611(-)::chr16:10488599-10488611(-) AGAAGGAAGAGG >mm10_chr16:10488612-10488623(-)::chr16:10488611-10488623(-) TTAAGGAAGAGG >mm10_chr16:10499103-10499114(-)::chr16:10499102-10499114(-) AGCAGGAAGATT >mm10_chr16:10499117-10499128(+)::chr16:10499116-10499128(+) CAAAGGAAATGC >mm10_chr16:10500897-10500908(+)::chr16:10500896-10500908(+) gtgaggaagtac >mm10_chr16:10500923-10500934(+)::chr16:10500922-10500934(+) ttaaggaagcac >mm10_chr16:10525505-10525516(+)::chr16:10525504-10525516(+) ATTAGGAAGTCC >mm10_chr16:10525513-10525524(-)::chr16:10525512-10525524(-) CAAAGGAAGGAC >mm10_chr16:10525533-10525544(-)::chr16:10525532-10525544(-) GCAAGGAAGACA >mm10_chr16:10541817-10541828(+)::chr16:10541816-10541828(+) AAAAGGAAGTGC >mm10_chr16:10545231-10545242(-)::chr16:10545230-10545242(-) GGAAGGAAGAGG >mm10_chr16:10545235-10545246(-)::chr16:10545234-10545246(-) AAGAGGAAGGAA >mm10_chr16:10554075-10554086(-)::chr16:10554074-10554086(-) atgaggaagcag >mm10_chr16:10559679-10559690(-)::chr16:10559678-10559690(-) TAAAGGAAGAAT >mm10_chr16:10560184-10560195(-)::chr16:10560183-10560195(-) CAAAGGAAGTGG >mm10_chr16:10577263-10577274(+)::chr16:10577262-10577274(+) TAGAGGAAGACA >mm10_chr16:10619861-10619872(+)::chr16:10619860-10619872(+) AGCAGGAAATGA >mm10_chr16:10689959-10689970(-)::chr16:10689958-10689970(-) TAGAGGAAGTTG >mm10_chr16:10695119-10695130(+)::chr16:10695118-10695130(+) GTGAGGAAGTCC >mm10_chr16:10735990-10736001(+)::chr16:10735989-10736001(+) AAGAGGAAGGGT >mm10_chr16:10786092-10786103(+)::chr16:10786091-10786103(+) CCCAGGAAGCGG >mm10_chr16:10788341-10788352(-)::chr16:10788340-10788352(-) AACAGGAAGACC >mm10_chr16:10811282-10811293(+)::chr16:10811281-10811293(+) AACAGGAAGTTT >mm10_chr16:10811508-10811519(+)::chr16:10811507-10811519(+) agaaggaggtac >mm10_chr16:10862566-10862577(-)::chr16:10862565-10862577(-) AGAAGGAAATCC >mm10_chr16:10873293-10873304(+)::chr16:10873292-10873304(+) gccaggaagatc >mm10_chr16:10909681-10909692(+)::chr16:10909680-10909692(+) aagaggaaatga >mm10_chr16:10909692-10909703(+)::chr16:10909691-10909703(+) aggaggaagatg >mm10_chr16:10909713-10909724(+)::chr16:10909712-10909724(+) aggaggaagaag >mm10_chr16:10909728-10909739(+)::chr16:10909727-10909739(+) aggaggaACtag >mm10_chr16:10950709-10950720(-)::chr16:10950708-10950720(-) AATAGGAAGTGG >mm10_chr16:10950753-10950764(-)::chr16:10950752-10950764(-) GAGAGGAAGTGA >mm10_chr16:10961872-10961883(+)::chr16:10961871-10961883(+) aggaggaagagg >mm10_chr16:10961886-10961897(+)::chr16:10961885-10961897(+) gagaggaagaga >mm10_chr16:10961894-10961905(+)::chr16:10961893-10961905(+) gagaggaagagG >mm10_chr16:10961900-10961911(+)::chr16:10961899-10961911(+) aagagGAAGTAG >mm10_chr16:10965135-10965146(+)::chr16:10965134-10965146(+) ACAAGGAAGTTA >mm10_chr16:10969595-10969606(+)::chr16:10969594-10969606(+) AAGAGGAAGAAG >mm10_chr16:10969620-10969631(+)::chr16:10969619-10969631(+) AGCAGGAACTGA >mm10_chr16:10976530-10976541(+)::chr16:10976529-10976541(+) ACAGGGAAGTGT >mm10_chr16:10979704-10979715(+)::chr16:10979703-10979715(+) ataaggaaatgt >mm10_chr16:10984832-10984843(-)::chr16:10984831-10984843(-) TGACGGAAGAAA >mm10_chr16:10984861-10984872(-)::chr16:10984860-10984872(-) AGGAGGAAATAT >mm10_chr16:10984901-10984912(-)::chr16:10984900-10984912(-) CTCAGGAAGTAC >mm10_chr16:10999740-10999751(-)::chr16:10999739-10999751(-) AGAAGAAAGTTG >mm10_chr16:11008821-11008832(-)::chr16:11008820-11008832(-) AGCAGGAAGCAG >mm10_chr16:11045132-11045143(-)::chr16:11045131-11045143(-) AACAGGAAGATA >mm10_chr16:11064968-11064979(-)::chr16:11064967-11064979(-) AGAAGGAAGCAG >mm10_chr16:11065002-11065013(-)::chr16:11065001-11065013(-) CTGAGGAAGTAA >mm10_chr16:11067620-11067631(+)::chr16:11067619-11067631(+) AGGAGGAAGGGG >mm10_chr16:11130552-11130563(+)::chr16:11130551-11130563(+) AGGAGGAAGATG >mm10_chr16:11133637-11133648(-)::chr16:11133636-11133648(-) gaaaggaagggg >mm10_chr16:11133642-11133653(-)::chr16:11133641-11133653(-) acaaggaaagga >mm10_chr16:11134865-11134876(-)::chr16:11134864-11134876(-) GCGAGGATGTAG >mm10_chr16:11162006-11162017(+)::chr16:11162005-11162017(+) AGAAGGAAGAGG >mm10_chr16:11162037-11162048(+)::chr16:11162036-11162048(+) AGGAGGAAGGTG >mm10_chr16:11172119-11172130(+)::chr16:11172118-11172130(+) ACAAGGAAATGA >mm10_chr16:11173346-11173357(-)::chr16:11173345-11173357(-) TCAAGGAAGAGA >mm10_chr16:11246026-11246037(+)::chr16:11246025-11246037(+) ACCAGGAAGAGG >mm10_chr16:11247824-11247835(-)::chr16:11247823-11247835(-) ACAGGGAAATAC >mm10_chr16:11323645-11323656(-)::chr16:11323644-11323656(-) AGAAGGAAAGGG >mm10_chr16:11343534-11343545(-)::chr16:11343533-11343545(-) AGCAggaagtca >mm10_chr16:11343551-11343562(-)::chr16:11343550-11343562(-) tgAAGGGAGTAA >mm10_chr16:11343580-11343591(-)::chr16:11343579-11343591(-) agcaggaagttg >mm10_chr16:11385971-11385982(-)::chr16:11385970-11385982(-) AGAAGGAACTGA >mm10_chr16:11401903-11401914(-)::chr16:11401902-11401914(-) aagaggaagatg >mm10_chr16:11408377-11408388(+)::chr16:11408376-11408388(+) GACAGGAAGTGA >mm10_chr16:11412693-11412704(-)::chr16:11412692-11412704(-) AGGAGGAAGGCC >mm10_chr16:11412705-11412716(-)::chr16:11412704-11412716(-) AGCAGGAAGCTG >mm10_chr16:11420673-11420684(-)::chr16:11420672-11420684(-) AGAAGGAAGTTA >mm10_chr16:11420687-11420698(-)::chr16:11420686-11420698(-) GGGAGGAAGTAG >mm10_chr16:11420722-11420733(+)::chr16:11420721-11420733(+) CCAAGGAAGTCC >mm10_chr16:11470214-11470225(+)::chr16:11470213-11470225(+) GGCAGGAAGCAA >mm10_chr16:11470247-11470258(+)::chr16:11470246-11470258(+) GGCAGGAAGTAA >mm10_chr16:11470251-11470262(+)::chr16:11470250-11470262(+) GGAAGTAAGTAG >mm10_chr16:11587676-11587687(-)::chr16:11587675-11587687(-) accaggaagtga >mm10_chr16:11604323-11604334(-)::chr16:11604322-11604334(-) AATAGGAAGCAC >mm10_chr16:11604330-11604341(-)::chr16:11604329-11604341(-) ACCAGGAAATAG >mm10_chr16:11613924-11613935(-)::chr16:11613923-11613935(-) GAGAGGAAGGAA >mm10_chr16:11613955-11613966(-)::chr16:11613954-11613966(-) TAAAGGAAGACA >mm10_chr16:11624963-11624974(+)::chr16:11624962-11624974(+) ATGAGGAAATGT >mm10_chr16:11632420-11632431(-)::chr16:11632419-11632431(-) AACAGGAAGTGG >mm10_chr16:11637368-11637379(-)::chr16:11637367-11637379(-) AACAGGAAGGGG >mm10_chr16:11637380-11637391(-)::chr16:11637379-11637391(-) AGGAGGAAGACA >mm10_chr16:11650984-11650995(-)::chr16:11650983-11650995(-) AGGAGGAAGTGG >mm10_chr16:11650995-11651006(+)::chr16:11650994-11651006(+) TTGAGGAAGTGC >mm10_chr16:11700272-11700283(-)::chr16:11700271-11700283(-) cccaggaagtac >mm10_chr16:11700300-11700311(+)::chr16:11700299-11700311(+) aagaggaagttg >mm10_chr16:11725270-11725281(-)::chr16:11725269-11725281(-) AATAGGAAGAAG >mm10_chr16:11725282-11725293(+)::chr16:11725281-11725293(+) AGCAGGAAATAA >mm10_chr16:11822008-11822019(+)::chr16:11822007-11822019(+) AGAAGGAAGCCA >mm10_chr16:11822086-11822097(-)::chr16:11822085-11822097(-) ataCGGAACTAT >mm10_chr16:11824257-11824268(-)::chr16:11824256-11824268(-) TAGAGGAAGTCC >mm10_chr16:11824286-11824297(-)::chr16:11824285-11824297(-) ACCAGGAAGTGG >mm10_chr16:11858932-11858943(-)::chr16:11858931-11858943(-) AGAAGGCAGTTA >mm10_chr16:11882473-11882484(-)::chr16:11882472-11882484(-) ACAAGGAAGTAC >mm10_chr16:11882549-11882560(+)::chr16:11882548-11882560(+) ACAAGGAAGTAA >mm10_chr16:11882553-11882564(+)::chr16:11882552-11882564(+) GGAAGTAAGTCA >mm10_chr16:11885680-11885691(-)::chr16:11885679-11885691(-) aggaggaagTTC >mm10_chr16:12100134-12100145(+)::chr16:12100133-12100145(+) AGGAGGAAGAAG >mm10_chr16:12100141-12100152(+)::chr16:12100140-12100152(+) AGAAGGAAATAG >mm10_chr16:12133518-12133529(-)::chr16:12133517-12133529(-) AGAAGGAAGAAA >mm10_chr16:12133567-12133578(-)::chr16:12133566-12133578(-) ACAAGGAAGTGT >mm10_chr16:12436580-12436591(-)::chr16:12436579-12436591(-) CAGAGGAAGTGG >mm10_chr16:12726151-12726162(-)::chr16:12726150-12726162(-) ctaaggaagtgt >mm10_chr16:12807624-12807635(+)::chr16:12807623-12807635(+) actaggaagaag >mm10_chr16:12807648-12807659(+)::chr16:12807647-12807659(+) ggcaggaagaga >mm10_chr16:12808219-12808230(-)::chr16:12808218-12808230(-) agaaggaaataa >mm10_chr16:12885686-12885697(+)::chr16:12885685-12885697(+) agaaggaagcaa >mm10_chr16:12885704-12885715(-)::chr16:12885703-12885715(-) tcagggaagttg >mm10_chr16:12902908-12902919(-)::chr16:12902907-12902919(-) ATCAGGAAGAAA >mm10_chr16:13015434-13015445(+)::chr16:13015433-13015445(+) aggaggaagaga >mm10_chr16:13015445-13015456(+)::chr16:13015444-13015456(+) aacaggaaggag >mm10_chr16:13015452-13015463(+)::chr16:13015451-13015463(+) aggaggaagaca >mm10_chr16:13015470-13015481(+)::chr16:13015469-13015481(+) aggaggaagagg >mm10_chr16:13015488-13015499(+)::chr16:13015487-13015499(+) aggaggaagaca >mm10_chr16:13015500-13015511(+)::chr16:13015499-13015511(+) gggaggaagaag >mm10_chr16:13015511-13015522(+)::chr16:13015510-13015522(+) gacaggaaggag >mm10_chr16:13048094-13048105(+)::chr16:13048093-13048105(+) TCAAGGCAGTAA >mm10_chr16:13109309-13109320(+)::chr16:13109308-13109320(+) GGAAGGAACGCG >mm10_chr16:13146520-13146531(-)::chr16:13146519-13146531(-) tagaggaagggc >mm10_chr16:13189487-13189498(+)::chr16:13189486-13189498(+) AACAGGAAGGGA >mm10_chr16:13189502-13189513(+)::chr16:13189501-13189513(+) AGGAGGAAATGC >mm10_chr16:13232191-13232202(-)::chr16:13232190-13232202(-) ACACGGAAGTGC >mm10_chr16:13233038-13233049(+)::chr16:13233037-13233049(+) AGAAGGAAGCAA >mm10_chr16:13257810-13257821(+)::chr16:13257809-13257821(+) ATAAGGAAATAG >mm10_chr16:13286098-13286109(+)::chr16:13286097-13286109(+) ctaaggaagtat >mm10_chr16:13299149-13299160(-)::chr16:13299148-13299160(-) AACAGGAAGCAA >mm10_chr16:13323915-13323926(-)::chr16:13323914-13323926(-) GGCAGGAAGTGA >mm10_chr16:13323940-13323951(-)::chr16:13323939-13323951(-) AAAAGGAAACGT >mm10_chr16:13330241-13330252(+)::chr16:13330240-13330252(+) ACAAGGAAACAA >mm10_chr16:13416746-13416757(-)::chr16:13416745-13416757(-) AGCAGGAAGTAG >mm10_chr16:13416781-13416792(+)::chr16:13416780-13416792(+) AAGAGGAAGGGT >mm10_chr16:13443706-13443717(-)::chr16:13443705-13443717(-) GCAAGGAAGCAA >mm10_chr16:13443737-13443748(-)::chr16:13443736-13443748(-) aagaggaAGAAG >mm10_chr16:13443743-13443754(-)::chr16:13443742-13443754(-) aagaggaagagg >mm10_chr16:13448180-13448191(+)::chr16:13448179-13448191(+) AGGAGGAAGCGC >mm10_chr16:13448205-13448216(-)::chr16:13448204-13448216(-) TGCAGGAAGAGC >mm10_chr16:13448484-13448495(-)::chr16:13448483-13448495(-) CTAGGGAAGTCG >mm10_chr16:13467913-13467924(-)::chr16:13467912-13467924(-) GGAAGGAAGCTA >mm10_chr16:13467917-13467928(-)::chr16:13467916-13467928(-) TGAAGGAAGGAA >mm10_chr16:13467932-13467943(+)::chr16:13467931-13467943(+) AGAAGGAAGCCA >mm10_chr16:13493762-13493773(-)::chr16:13493761-13493773(-) AAGAGGAAGCAT >mm10_chr16:13511270-13511281(+)::chr16:13511269-13511281(+) TTCAGGAAGTCA >mm10_chr16:13511332-13511343(-)::chr16:13511331-13511343(-) CTGAGGAAGTGC >mm10_chr16:13534107-13534118(+)::chr16:13534106-13534118(+) TAAAGGAAGTCC >mm10_chr16:13538625-13538636(+)::chr16:13538624-13538636(+) AGCAGGAAGTAA >mm10_chr16:13539617-13539628(+)::chr16:13539616-13539628(+) gagaggaagaag >mm10_chr16:13539658-13539669(+)::chr16:13539657-13539669(+) agaaggaaaggg >mm10_chr16:13539669-13539680(+)::chr16:13539668-13539680(+) gaaaggaagaca >mm10_chr16:13571409-13571420(+)::chr16:13571408-13571420(+) AGAAGGAAGAAG >mm10_chr16:13594302-13594313(+)::chr16:13594301-13594313(+) AGGAGGAAGAGG >mm10_chr16:13594308-13594319(+)::chr16:13594307-13594319(+) AAGAGGAAGAGG >mm10_chr16:13594314-13594325(+)::chr16:13594313-13594325(+) AAGAGGAAGGAA >mm10_chr16:13594318-13594329(+)::chr16:13594317-13594329(+) GGAAGGAAGGCT >mm10_chr16:13644680-13644691(-)::chr16:13644679-13644691(-) accaggaagcga >mm10_chr16:13671838-13671849(+)::chr16:13671837-13671849(+) AAAAGGAAGGGG >mm10_chr16:13672018-13672029(-)::chr16:13672017-13672029(-) CCGCGGAAGTAG >mm10_chr16:13754543-13754554(-)::chr16:13754542-13754554(-) GGCGGGAAGCGG >mm10_chr16:13775282-13775293(+)::chr16:13775281-13775293(+) gtcaggaagtgc >mm10_chr16:13786099-13786110(-)::chr16:13786098-13786110(-) TTAAGGAAGACC >mm10_chr16:13866353-13866364(-)::chr16:13866352-13866364(-) TGGAGGAAGTGA >mm10_chr16:13866373-13866384(+)::chr16:13866372-13866384(+) TGGAGGAAGTAC >mm10_chr16:13883537-13883548(+)::chr16:13883536-13883548(+) AGCAGGAAGGAC >mm10_chr16:13883601-13883612(-)::chr16:13883600-13883612(-) TTGAGGAAGTAT >mm10_chr16:13902974-13902985(-)::chr16:13902973-13902985(-) AGGCGGAAGTAG >mm10_chr16:13998903-13998914(+)::chr16:13998902-13998914(+) GGCAGGAAATAC >mm10_chr16:14095866-14095877(-)::chr16:14095865-14095877(-) AGAGGGAAATAA >mm10_chr16:14095906-14095917(+)::chr16:14095905-14095917(+) AACAGGAAGAAG >mm10_chr16:14095918-14095929(+)::chr16:14095917-14095929(+) ACAAGGAAATGG >mm10_chr16:14112903-14112914(-)::chr16:14112902-14112914(-) GTAAGGCAGTAT >mm10_chr16:14120690-14120701(-)::chr16:14120689-14120701(-) AGCAGGAAGGAG >mm10_chr16:14125715-14125726(-)::chr16:14125714-14125726(-) AGCAGGAAGTGG >mm10_chr16:14159265-14159276(-)::chr16:14159264-14159276(-) AAGCGGAAGTGG >mm10_chr16:14165922-14165933(-)::chr16:14165921-14165933(-) gggaggaagagg >mm10_chr16:14165939-14165950(-)::chr16:14165938-14165950(-) aagaggaaggga >mm10_chr16:14176813-14176824(-)::chr16:14176812-14176824(-) accaggaagatc >mm10_chr16:14177909-14177920(-)::chr16:14177908-14177920(-) aggaggaagtgg >mm10_chr16:14178663-14178674(-)::chr16:14178662-14178674(-) ttaaggaagtgt >mm10_chr16:14309926-14309937(+)::chr16:14309925-14309937(+) GGAAGGAACTAA >mm10_chr16:14358667-14358678(-)::chr16:14358666-14358678(-) ATAGGGAAGTAG >mm10_chr16:14374538-14374549(-)::chr16:14374537-14374549(-) ACGAGGAAGTGT >mm10_chr16:14535938-14535949(-)::chr16:14535937-14535949(-) ataaggaagtaa >mm10_chr16:14535980-14535991(-)::chr16:14535979-14535991(-) acaaggaagtaa >mm10_chr16:14577495-14577506(-)::chr16:14577494-14577506(-) ctagggaagtag >mm10_chr16:14577537-14577548(-)::chr16:14577536-14577548(-) acaaggaagtaa >mm10_chr16:14897287-14897298(+)::chr16:14897286-14897298(+) aataggaagttt >mm10_chr16:14897316-14897327(+)::chr16:14897315-14897327(+) accaggaaattg >mm10_chr16:14935719-14935730(-)::chr16:14935718-14935730(-) AAGAGGAAGAAC >mm10_chr16:14955980-14955991(-)::chr16:14955979-14955991(-) TGAAGGAAGGCA >mm10_chr16:14956003-14956014(-)::chr16:14956002-14956014(-) TCAAGGAACTGG >mm10_chr16:14956562-14956573(+)::chr16:14956561-14956573(+) AGAAGGAAGGAC >mm10_chr16:14989713-14989724(-)::chr16:14989712-14989724(-) AGAAGGAAGATG >mm10_chr16:15175616-15175627(+)::chr16:15175615-15175627(+) AGAAGGAAGTTG >mm10_chr16:15679173-15679184(+)::chr16:15679172-15679184(+) agcaggaagtag >mm10_chr16:15679202-15679213(-)::chr16:15679201-15679213(-) gaaaggaagtgg >mm10_chr16:15801937-15801948(-)::chr16:15801936-15801948(-) GGAAGGAATACG >mm10_chr16:15890120-15890131(-)::chr16:15890119-15890131(-) CAAAGGAAGTGG >mm10_chr16:15890169-15890180(-)::chr16:15890168-15890180(-) ACCAGGAAATCC >mm10_chr16:15948359-15948370(+)::chr16:15948358-15948370(+) AGAAGGAAGATT >mm10_chr16:15948558-15948569(+)::chr16:15948557-15948569(+) AGAAGGAACTGG >mm10_chr16:15948620-15948631(+)::chr16:15948619-15948631(+) AGCAGGAAGAGC >mm10_chr16:15950493-15950504(-)::chr16:15950492-15950504(-) GGAAGGAAGTTG >mm10_chr16:15954541-15954552(+)::chr16:15954540-15954552(+) ATGAGGAAGAAC >mm10_chr16:15954583-15954594(+)::chr16:15954582-15954594(+) AGAAGGAAGGAA >mm10_chr16:15954587-15954598(+)::chr16:15954586-15954598(+) GGAAGGAAGAGG >mm10_chr16:15954615-15954626(-)::chr16:15954614-15954626(-) GAAAGAAAGTAA >mm10_chr16:15956622-15956633(+)::chr16:15956621-15956633(+) ATCAGGAAGCAG >mm10_chr16:15984618-15984629(+)::chr16:15984617-15984629(+) AGCAGGAAATCC >mm10_chr16:16036563-16036574(-)::chr16:16036562-16036574(-) GGGAGGAAGCTA >mm10_chr16:16036597-16036608(-)::chr16:16036596-16036608(-) ATAGGGAAGTGG >mm10_chr16:16086075-16086086(-)::chr16:16086074-16086086(-) agaaggaagaat >mm10_chr16:16086082-16086093(-)::chr16:16086081-16086093(-) aggaggaagaag >mm10_chr16:16104498-16104509(+)::chr16:16104497-16104509(+) ctgaggaagtca >mm10_chr16:16202792-16202803(-)::chr16:16202791-16202803(-) AAGCGGAAGTGA >mm10_chr16:16211639-16211650(-)::chr16:16211638-16211650(-) AAAAGGAAATAG >mm10_chr16:16211650-16211661(-)::chr16:16211649-16211661(-) TCAAGGAAGTGA >mm10_chr16:16213712-16213723(-)::chr16:16213711-16213723(-) GGGAGGAAGCGT >mm10_chr16:16214847-16214858(+)::chr16:16214846-16214858(+) CTAAGGAAGTTC >mm10_chr16:16214896-16214907(-)::chr16:16214895-16214907(-) ACCAGGAACTAA >mm10_chr16:16217517-16217528(+)::chr16:16217516-16217528(+) TTAAGGAAACGA >mm10_chr16:16223290-16223301(+)::chr16:16223289-16223301(+) ACCAGGAAGTAA >mm10_chr16:16229977-16229988(-)::chr16:16229976-16229988(-) GTAACGAAGTTC >mm10_chr16:16303541-16303552(-)::chr16:16303540-16303552(-) ATGCGGAAGTGG >mm10_chr16:16358936-16358947(-)::chr16:16358935-16358947(-) AAGAGGAAGCAA >mm10_chr16:16524438-16524449(-)::chr16:16524437-16524449(-) ACAGGGAAGTGG >mm10_chr16:16524470-16524481(-)::chr16:16524469-16524481(-) ATAAGGAAAGAC >mm10_chr16:16543006-16543017(-)::chr16:16543005-16543017(-) GCTAGGAAGTAA >mm10_chr16:16567166-16567177(+)::chr16:16567165-16567177(+) agcagtaagtat >mm10_chr16:16573335-16573346(-)::chr16:16573334-16573346(-) TTGAGGAAGTGA >mm10_chr16:16584609-16584620(-)::chr16:16584608-16584620(-) ACAGGGAAGGTA >mm10_chr16:16600431-16600442(+)::chr16:16600430-16600442(+) ATAAGGaagcgc >mm10_chr16:16741859-16741870(+)::chr16:16741858-16741870(+) agaaggaaggaa >mm10_chr16:16741863-16741874(+)::chr16:16741862-16741874(+) ggaaggaagagg >mm10_chr16:16870853-16870864(+)::chr16:16870852-16870864(+) TCGAGGAAGCGG >mm10_chr16:16896564-16896575(+)::chr16:16896563-16896575(+) GACGGGAAGTAG >mm10_chr16:16899928-16899939(+)::chr16:16899927-16899939(+) CCAAGGAAGACA >mm10_chr16:16899966-16899977(+)::chr16:16899965-16899977(+) TCCAGGAAGTAG >mm10_chr16:16907949-16907960(+)::chr16:16907948-16907960(+) TCCAGGAAGGAA >mm10_chr16:16907953-16907964(+)::chr16:16907952-16907964(+) GGAAGGAAATGC >mm10_chr16:16912353-16912364(-)::chr16:16912352-16912364(-) ACAGGGAAGTGC >mm10_chr16:16921502-16921513(+)::chr16:16921501-16921513(+) AAAAGGAAGAAG >mm10_chr16:16983479-16983490(-)::chr16:16983478-16983490(-) ACGAGGAAGGAG >mm10_chr16:17010397-17010408(-)::chr16:17010396-17010408(-) TGAAGAAAGTAG >mm10_chr16:17150921-17150932(+)::chr16:17150920-17150932(+) AAAAGGAAGGTC >mm10_chr16:17150939-17150950(-)::chr16:17150938-17150950(-) GGAAGGAAGCTT >mm10_chr16:17201525-17201536(-)::chr16:17201524-17201536(-) TCCAGGAAGTGA >mm10_chr16:17209219-17209230(+)::chr16:17209218-17209230(+) TGCAGGAAGTGC >mm10_chr16:17235710-17235721(-)::chr16:17235709-17235721(-) GGAAGGAAGGAA >mm10_chr16:17235722-17235733(-)::chr16:17235721-17235733(-) ACCAGGAAGTGC >mm10_chr16:17243989-17244000(-)::chr16:17243988-17244000(-) ACCAGGAAGCAG >mm10_chr16:17244020-17244031(-)::chr16:17244019-17244031(-) GGAAGGAAGAGA >mm10_chr16:17398668-17398679(-)::chr16:17398667-17398679(-) TCCAGGAAGTAC >mm10_chr16:17398705-17398716(+)::chr16:17398704-17398716(+) GCCAGGAAGTGA >mm10_chr16:17407561-17407572(+)::chr16:17407560-17407572(+) TCTAGGAAGTTG >mm10_chr16:17409771-17409782(-)::chr16:17409770-17409782(-) AAGAGGAAGTCA >mm10_chr16:17531071-17531082(+)::chr16:17531070-17531082(+) ACGCGGAAGTTG >mm10_chr16:17553968-17553979(+)::chr16:17553967-17553979(+) CGCAGGAAGAAA >mm10_chr16:17564967-17564978(-)::chr16:17564966-17564978(-) ACCAGGAAGAAC >mm10_chr16:17564982-17564993(-)::chr16:17564981-17564993(-) ACGAGGAAGTTG >mm10_chr16:17570083-17570094(-)::chr16:17570082-17570094(-) GCAAGGAAGTCC >mm10_chr16:17570125-17570136(-)::chr16:17570124-17570136(-) AGAAGGAAGGGG >mm10_chr16:17576143-17576154(-)::chr16:17576142-17576154(-) GACAGGAAGGAC >mm10_chr16:17601458-17601469(+)::chr16:17601457-17601469(+) agaaggaagagg >mm10_chr16:17601473-17601484(+)::chr16:17601472-17601484(+) aggaggaagagg >mm10_chr16:17601494-17601505(+)::chr16:17601493-17601505(+) aggaggaagaag >mm10_chr16:17722938-17722949(+)::chr16:17722937-17722949(+) CGCCGGAAGTAC >mm10_chr16:17722947-17722958(+)::chr16:17722946-17722958(+) TACAGGAAGAAT >mm10_chr16:17741068-17741079(-)::chr16:17741067-17741079(-) CACAGGAAGTGA >mm10_chr16:17741100-17741111(+)::chr16:17741099-17741111(+) GTAGGGAAGTGC >mm10_chr16:17748703-17748714(-)::chr16:17748702-17748714(-) atgaggaagaat >mm10_chr16:17748776-17748787(+)::chr16:17748775-17748787(+) TCTAGGAAGTGC >mm10_chr16:17759101-17759112(+)::chr16:17759100-17759112(+) AGGAGGAAATAA >mm10_chr16:17759118-17759129(+)::chr16:17759117-17759129(+) AGAAGGAAGACC >mm10_chr16:17772677-17772688(-)::chr16:17772676-17772688(-) AGAAGGAAAGGA >mm10_chr16:17772692-17772703(-)::chr16:17772691-17772703(-) GGAAGGAAGCAG >mm10_chr16:17775613-17775624(-)::chr16:17775612-17775624(-) AGGAGGAAGCTA >mm10_chr16:17778196-17778207(+)::chr16:17778195-17778207(+) AGAAGGAAATGA >mm10_chr16:17778209-17778220(-)::chr16:17778208-17778220(-) GAAAGGAAGTGA >mm10_chr16:17785352-17785363(+)::chr16:17785351-17785363(+) TACAGGAAGGAA >mm10_chr16:17785356-17785367(+)::chr16:17785355-17785367(+) GGAAGGAAGCTC >mm10_chr16:17785383-17785394(+)::chr16:17785382-17785394(+) AAAAGGAAGAGA >mm10_chr16:17788819-17788830(-)::chr16:17788818-17788830(-) ACAAGGAAGTCA >mm10_chr16:17818827-17818838(+)::chr16:17818826-17818838(+) AAGAGGAAGTTT >mm10_chr16:17879835-17879846(+)::chr16:17879834-17879846(+) AGGAGGAAGTGT >mm10_chr16:17890348-17890359(-)::chr16:17890347-17890359(-) TCCAGGAAGGGA >mm10_chr16:17892928-17892939(+)::chr16:17892927-17892939(+) GACAGGAAGTGA >mm10_chr16:17936342-17936353(+)::chr16:17936341-17936353(+) GCCAGGAAGACA >mm10_chr16:17939174-17939185(+)::chr16:17939173-17939185(+) TATAGGAAGATG >mm10_chr16:18041189-18041200(-)::chr16:18041188-18041200(-) aacaggaagcag >mm10_chr16:18041200-18041211(-)::chr16:18041199-18041211(-) aacaggaagtga >mm10_chr16:18052789-18052800(+)::chr16:18052788-18052800(+) ATGGGGAAGTAG >mm10_chr16:18053088-18053099(+)::chr16:18053087-18053099(+) TACAGGAAGGAG >mm10_chr16:18053129-18053140(+)::chr16:18053128-18053140(+) ACAAGGAAACAA >mm10_chr16:18075432-18075443(+)::chr16:18075431-18075443(+) AGCAGGAAGAAC >mm10_chr16:18082533-18082544(+)::chr16:18082532-18082544(+) ATAAGGAAGTAG >mm10_chr16:18085353-18085364(-)::chr16:18085352-18085364(-) ACAAGGAAAAGG >mm10_chr16:18085409-18085420(+)::chr16:18085408-18085420(+) GAAAGGAAGCAG >mm10_chr16:18096510-18096521(-)::chr16:18096509-18096521(-) tgcaggaagaat >mm10_chr16:18096530-18096541(+)::chr16:18096529-18096541(+) ggcaggaagttg >mm10_chr16:18130490-18130501(-)::chr16:18130489-18130501(-) AGGAGGAAGGAG >mm10_chr16:18130511-18130522(-)::chr16:18130510-18130522(-) AGGAGGAAGGGG >mm10_chr16:18130518-18130529(-)::chr16:18130517-18130529(-) AAGAGGAAGGAG >mm10_chr16:18201857-18201868(+)::chr16:18201856-18201868(+) ACAAGGAAGGCC >mm10_chr16:18248883-18248894(-)::chr16:18248882-18248894(-) AGGCGGAAGTGC >mm10_chr16:18343910-18343921(-)::chr16:18343909-18343921(-) ACCCGGAAGTCG >mm10_chr16:18404986-18404997(-)::chr16:18404985-18404997(-) ATAAGGAAGGGG >mm10_chr16:18436300-18436311(-)::chr16:18436299-18436311(-) TTGAGGAAGTGC >mm10_chr16:18481090-18481101(-)::chr16:18481089-18481101(-) GGCAGGAAGTAC >mm10_chr16:18508079-18508090(-)::chr16:18508078-18508090(-) TACAGGAAGTGT >mm10_chr16:18513613-18513624(-)::chr16:18513612-18513624(-) gaagggaagtgt >mm10_chr16:18626886-18626897(-)::chr16:18626885-18626897(-) GGTAGGAAGGAG >mm10_chr16:18643828-18643839(-)::chr16:18643827-18643839(-) agagggaagcgc >mm10_chr16:18649020-18649031(+)::chr16:18649019-18649031(+) accaggaagtaa >mm10_chr16:18649028-18649039(+)::chr16:18649027-18649039(+) gtaaggaaatga >mm10_chr16:18649251-18649262(+)::chr16:18649250-18649262(+) ACCAGGAAGTCT >mm10_chr16:18649481-18649492(+)::chr16:18649480-18649492(+) GACAGGAAGAGC >mm10_chr16:18718238-18718249(-)::chr16:18718237-18718249(-) AACAGGAAGTGT >mm10_chr16:18733323-18733334(-)::chr16:18733322-18733334(-) GAGAGGAAGAGA >mm10_chr16:18733349-18733360(+)::chr16:18733348-18733360(+) GACAGGAAATGG >mm10_chr16:18811875-18811886(+)::chr16:18811874-18811886(+) GGAAGGAAGGTC >mm10_chr16:18812018-18812029(-)::chr16:18812017-18812029(-) GGGCGGAAGTAA >mm10_chr16:18836239-18836250(-)::chr16:18836238-18836250(-) GGAAGGCAGTGC >mm10_chr16:18836243-18836254(-)::chr16:18836242-18836254(-) AGGAGGAAGGCA >mm10_chr16:18878300-18878311(+)::chr16:18878299-18878311(+) CGAAGGAAGCAC >mm10_chr16:18878310-18878321(+)::chr16:18878309-18878321(+) ACGAGGAAGCAG >mm10_chr16:18878336-18878347(+)::chr16:18878335-18878347(+) AAGAGGAAGGGT >mm10_chr16:18880639-18880650(+)::chr16:18880638-18880650(+) AGGAGGAAGCAC >mm10_chr16:18880657-18880668(+)::chr16:18880656-18880668(+) TAAAGGAAGAAC >mm10_chr16:18880690-18880701(+)::chr16:18880689-18880701(+) TGCAGGAAGTGT >mm10_chr16:18880924-18880935(+)::chr16:18880923-18880935(+) ATCAGGAagtga >mm10_chr16:18886086-18886097(+)::chr16:18886085-18886097(+) AGGAGGAAAtag >mm10_chr16:18889597-18889608(-)::chr16:18889596-18889608(-) TTAAGGAAGCTT >mm10_chr16:18889610-18889621(-)::chr16:18889609-18889621(-) AACAGGAAGGAC >mm10_chr16:18915719-18915730(+)::chr16:18915718-18915730(+) ACCAGGAAATAA >mm10_chr16:18915783-18915794(-)::chr16:18915782-18915794(-) aggaggaAATAA >mm10_chr16:19060145-19060156(-)::chr16:19060144-19060156(-) AAGAGGAAGGAG >mm10_chr16:19060197-19060208(-)::chr16:19060196-19060208(-) ACCAGGAAGAGT >mm10_chr16:19760122-19760133(-)::chr16:19760121-19760133(-) TCCAGGAAGCGA >mm10_chr16:19824963-19824974(-)::chr16:19824962-19824974(-) GGATGGAAGTAC >mm10_chr16:19857224-19857235(+)::chr16:19857223-19857235(+) agaaggaagaga >mm10_chr16:20032170-20032181(-)::chr16:20032169-20032181(-) ACAAGGATGTTA >mm10_chr16:20068415-20068426(-)::chr16:20068414-20068426(-) tgaaggaaatgc >mm10_chr16:20068464-20068475(-)::chr16:20068463-20068475(-) ctgaggaagtta >mm10_chr16:20074273-20074284(+)::chr16:20074272-20074284(+) aggaggaagaac >mm10_chr16:20074288-20074299(+)::chr16:20074287-20074299(+) aggaggaagagg >mm10_chr16:20074294-20074305(+)::chr16:20074293-20074305(+) aagaggaagaga >mm10_chr16:20098054-20098065(-)::chr16:20098053-20098065(-) AGAAGGAAGCGG >mm10_chr16:20099475-20099486(-)::chr16:20099474-20099486(-) ACAAGGAAGTTA >mm10_chr16:20110448-20110459(-)::chr16:20110447-20110459(-) AGAAGGAAGTAG >mm10_chr16:20115116-20115127(+)::chr16:20115115-20115127(+) tgtaggaagttg >mm10_chr16:20227797-20227808(+)::chr16:20227796-20227808(+) ACGAGGAAGAAG >mm10_chr16:20227857-20227868(+)::chr16:20227856-20227868(+) AGCAGGAAGAAA >mm10_chr16:20302472-20302483(-)::chr16:20302471-20302483(-) AAGAGGAAGACC >mm10_chr16:20362585-20362596(+)::chr16:20362584-20362596(+) GTAAGCAAGTAG >mm10_chr16:20362607-20362618(+)::chr16:20362606-20362618(+) TCCAGGAAGTGC >mm10_chr16:20436307-20436318(-)::chr16:20436306-20436318(-) AGAATGAAGTGG >mm10_chr16:20448286-20448297(+)::chr16:20448285-20448297(+) ataaggaagtaa >mm10_chr16:20497191-20497202(+)::chr16:20497190-20497202(+) CGAAGGAAGAAA >mm10_chr16:20498879-20498890(-)::chr16:20498878-20498890(-) GCCGGGAAGTCA >mm10_chr16:20529098-20529109(-)::chr16:20529097-20529109(-) TGCAGGAAGAAG >mm10_chr16:20529134-20529145(+)::chr16:20529133-20529145(+) TGGAGGAAGATC >mm10_chr16:20529225-20529236(+)::chr16:20529224-20529236(+) GTCGGGAAGTCC >mm10_chr16:20529261-20529272(+)::chr16:20529260-20529272(+) TTTAGGAAGTAC >mm10_chr16:20535255-20535266(-)::chr16:20535254-20535266(-) CACGGGAAGTGT >mm10_chr16:20537074-20537085(-)::chr16:20537073-20537085(-) GCCAGGAAGGTG >mm10_chr16:20548601-20548612(+)::chr16:20548600-20548612(+) GGGAGGAAGGGC >mm10_chr16:20694924-20694935(-)::chr16:20694923-20694935(-) TTGAGGAAGTGG >mm10_chr16:20718434-20718445(+)::chr16:20718433-20718445(+) ATCCGGAAGTTG >mm10_chr16:20724612-20724623(-)::chr16:20724611-20724623(-) TGCAGGAAGTGG >mm10_chr16:20724621-20724632(-)::chr16:20724620-20724632(-) AGGCGGAAGTGC >mm10_chr16:20785034-20785045(+)::chr16:20785033-20785045(+) ACAAGGATGTTG >mm10_chr16:20785049-20785060(-)::chr16:20785048-20785060(-) AACAGGAAATGT >mm10_chr16:20993912-20993923(-)::chr16:20993911-20993923(-) agaaggaactaa >mm10_chr16:21005699-21005710(+)::chr16:21005698-21005710(+) accaggaagtag >mm10_chr16:21005748-21005759(+)::chr16:21005747-21005759(+) ttaaggaagtgt >mm10_chr16:21140342-21140353(+)::chr16:21140341-21140353(+) acaaggaagtgg >mm10_chr16:21219780-21219791(+)::chr16:21219779-21219791(+) TACAGGAAGTGG >mm10_chr16:21248897-21248908(+)::chr16:21248896-21248908(+) AGAAGGAAGAAG >mm10_chr16:21253382-21253393(-)::chr16:21253381-21253393(-) GGAAGGAAGAGA >mm10_chr16:21253386-21253397(-)::chr16:21253385-21253397(-) ACAAGGAAGGAA >mm10_chr16:21289793-21289804(+)::chr16:21289792-21289804(+) AGAGGGAAGTTG >mm10_chr16:21319329-21319340(-)::chr16:21319328-21319340(-) ACAAGGAAACAC >mm10_chr16:21319340-21319351(-)::chr16:21319339-21319351(-) TCCAGGAAGGAA >mm10_chr16:21333364-21333375(-)::chr16:21333363-21333375(-) AGCCGGAAGTGG >mm10_chr16:21333418-21333429(-)::chr16:21333417-21333429(-) AGGAGGAAGCGG >mm10_chr16:21425698-21425709(+)::chr16:21425697-21425709(+) TCCAGGAAGACG >mm10_chr16:21486116-21486127(+)::chr16:21486115-21486127(+) accaggaagtga >mm10_chr16:21499275-21499286(-)::chr16:21499274-21499286(-) AAGAGGAAGGTA >mm10_chr16:21499286-21499297(-)::chr16:21499285-21499297(-) GAAAGGAAGACA >mm10_chr16:21642173-21642184(-)::chr16:21642172-21642184(-) AACAGGAAGAGA >mm10_chr16:21778530-21778541(+)::chr16:21778529-21778541(+) ttaaggaagatt >mm10_chr16:21779131-21779142(-)::chr16:21779130-21779142(-) AGAGGGAAGTTT >mm10_chr16:21794378-21794389(+)::chr16:21794377-21794389(+) AGGAGGAACGCG >mm10_chr16:21815158-21815169(-)::chr16:21815157-21815169(-) GGGAGGAAGAAG >mm10_chr16:21823360-21823371(-)::chr16:21823359-21823371(-) TAACGGAAGCTA >mm10_chr16:21827788-21827799(-)::chr16:21827787-21827799(-) AGGAGGAAGTTT >mm10_chr16:21925437-21925448(+)::chr16:21925436-21925448(+) AAGAGGAAGGGG >mm10_chr16:21945999-21946010(+)::chr16:21945998-21946010(+) ACCAGGAAGCTG >mm10_chr16:21947142-21947153(+)::chr16:21947141-21947153(+) GGCAGGAAGAGG >mm10_chr16:21956122-21956133(+)::chr16:21956121-21956133(+) GGAAGGATGTCT >mm10_chr16:21975820-21975831(+)::chr16:21975819-21975831(+) AAGAGGAAGCAC >mm10_chr16:21994557-21994568(+)::chr16:21994556-21994568(+) AGACGGAAGTGG >mm10_chr16:21994571-21994582(+)::chr16:21994570-21994582(+) TCCAGGAAGGAC >mm10_chr16:21995497-21995508(+)::chr16:21995496-21995508(+) AACAGGAAGTCC >mm10_chr16:22027403-22027414(-)::chr16:22027402-22027414(-) AGCAGGAAGGAA >mm10_chr16:22163791-22163802(+)::chr16:22163790-22163802(+) GGAAGGAACTGG >mm10_chr16:22163807-22163818(+)::chr16:22163806-22163818(+) GGAAGGAACTGG >mm10_chr16:22163819-22163830(+)::chr16:22163818-22163830(+) AGAAGAAAGTTG >mm10_chr16:22163845-22163856(+)::chr16:22163844-22163856(+) GGACGGAAGAGG >mm10_chr16:22210194-22210205(-)::chr16:22210193-22210205(-) agaaggaagaag >mm10_chr16:22210201-22210212(-)::chr16:22210200-22210212(-) agaaggaagaag >mm10_chr16:22210211-22210222(-)::chr16:22210210-22210222(-) agaaggaagaag >mm10_chr16:22358656-22358667(+)::chr16:22358655-22358667(+) TAAAGGAAGTGG >mm10_chr16:22403907-22403918(+)::chr16:22403906-22403918(+) tgcaggaagcta >mm10_chr16:22406090-22406101(-)::chr16:22406089-22406101(-) cgcgggaagtca >mm10_chr16:22408424-22408435(+)::chr16:22408423-22408435(+) AAGAGGAAGTCG >mm10_chr16:22428247-22428258(-)::chr16:22428246-22428258(-) TGTAGGAAGTAC >mm10_chr16:22428297-22428308(-)::chr16:22428296-22428308(-) ACCAGGAAGAAC >mm10_chr16:22431928-22431939(+)::chr16:22431927-22431939(+) CCCAGGAAGTCA >mm10_chr16:22431961-22431972(-)::chr16:22431960-22431972(-) ATCAGGAAGCTG >mm10_chr16:22437919-22437930(-)::chr16:22437918-22437930(-) TCTAGGAAGTTG >mm10_chr16:22437949-22437960(-)::chr16:22437948-22437960(-) GGAAGGAAGGCA >mm10_chr16:22447688-22447699(-)::chr16:22447687-22447699(-) TGGAGGAAGAGC >mm10_chr16:22455816-22455827(-)::chr16:22455815-22455827(-) GAAATGAAGTAc >mm10_chr16:22455885-22455896(-)::chr16:22455884-22455896(-) AGAAGGAAGGGA >mm10_chr16:22465822-22465833(-)::chr16:22465821-22465833(-) AGGAGGAAGGCG >mm10_chr16:22465834-22465845(-)::chr16:22465833-22465845(-) AACAGGAAGGGA >mm10_chr16:22465851-22465862(-)::chr16:22465850-22465862(-) TAAAGGAAGGGA >mm10_chr16:22466605-22466616(+)::chr16:22466604-22466616(+) AGCAGGAAGGCA >mm10_chr16:22498189-22498200(-)::chr16:22498188-22498200(-) AACAGGAAGTGA >mm10_chr16:22498205-22498216(+)::chr16:22498204-22498216(+) AACAGGAAGTGC >mm10_chr16:22498213-22498224(-)::chr16:22498212-22498224(-) AAGAGGAAGCAC >mm10_chr16:22509267-22509278(-)::chr16:22509266-22509278(-) AAGAGGAAGAAA >mm10_chr16:22513281-22513292(-)::chr16:22513280-22513292(-) aagaggaagaag >mm10_chr16:22513287-22513298(-)::chr16:22513286-22513298(-) aggaggaagagg >mm10_chr16:22513297-22513308(-)::chr16:22513296-22513308(-) aagaggaagaag >mm10_chr16:22513317-22513328(-)::chr16:22513316-22513328(-) aggaggaaggag >mm10_chr16:22513344-22513355(-)::chr16:22513343-22513355(-) aagaggaagaag >mm10_chr16:22513350-22513361(-)::chr16:22513349-22513361(-) aagaggaagagg >mm10_chr16:22513362-22513373(-)::chr16:22513361-22513373(-) aagaggaagaag >mm10_chr16:22527728-22527739(+)::chr16:22527727-22527739(+) GTCAGGAAGGGC >mm10_chr16:22531232-22531243(-)::chr16:22531231-22531243(-) TTAAGGAAGCTT >mm10_chr16:22545940-22545951(-)::chr16:22545939-22545951(-) ATAAGGAAGCAA >mm10_chr16:22545971-22545982(-)::chr16:22545970-22545982(-) GGAAGGAAGTCA >mm10_chr16:22547758-22547769(-)::chr16:22547757-22547769(-) ACCAGGAAGAGG >mm10_chr16:22630322-22630333(-)::chr16:22630321-22630333(-) GGCAGGAAGTAA >mm10_chr16:22674325-22674336(+)::chr16:22674324-22674336(+) AAAAGGAAGCAG >mm10_chr16:22722626-22722637(-)::chr16:22722625-22722637(-) ATAGGGAAGAGG >mm10_chr16:22755952-22755963(+)::chr16:22755951-22755963(+) aggaggaagagg >mm10_chr16:22755958-22755969(+)::chr16:22755957-22755969(+) aagaggaaggag >mm10_chr16:22755965-22755976(+)::chr16:22755964-22755976(+) aggaggaagagg >mm10_chr16:22755971-22755982(+)::chr16:22755970-22755982(+) aagaggaaggag >mm10_chr16:22755978-22755989(+)::chr16:22755977-22755989(+) aggaggaagaga >mm10_chr16:22756008-22756019(+)::chr16:22756007-22756019(+) aagaggaagaAA >mm10_chr16:22844767-22844778(+)::chr16:22844766-22844778(+) AGGAGGAAGTTT >mm10_chr16:22944805-22944816(+)::chr16:22944804-22944816(+) gagaggaagagg >mm10_chr16:23046569-23046580(+)::chr16:23046568-23046580(+) ACCAGGAAATGT >mm10_chr16:23050146-23050157(-)::chr16:23050145-23050157(-) gtcaggaagagg >mm10_chr16:23176124-23176135(-)::chr16:23176123-23176135(-) AGGAGGAAGTCA >mm10_chr16:23176150-23176161(-)::chr16:23176149-23176161(-) ATAAGGAAAGGA >mm10_chr16:23176182-23176193(-)::chr16:23176181-23176193(-) TTAGGGAAGTGC >mm10_chr16:23209826-23209837(+)::chr16:23209825-23209837(+) aacaggaagtgg >mm10_chr16:23209850-23209861(+)::chr16:23209849-23209861(+) agaagtaagtgt >mm10_chr16:23227088-23227099(-)::chr16:23227087-23227099(-) AGGAGGAAGGAA >mm10_chr16:23259268-23259279(+)::chr16:23259267-23259279(+) TGGAGGAAGACG >mm10_chr16:23262335-23262346(-)::chr16:23262334-23262346(-) AGGAGGAAGCTG >mm10_chr16:23268391-23268402(+)::chr16:23268390-23268402(+) TGGAGGAAGGAG >mm10_chr16:23269568-23269579(-)::chr16:23269567-23269579(-) ACAAGGAAGTTT >mm10_chr16:23272914-23272925(-)::chr16:23272913-23272925(-) GAAAGGAAGTTT >mm10_chr16:23275785-23275796(-)::chr16:23275784-23275796(-) GGAAGGAAGGAG >mm10_chr16:23275789-23275800(-)::chr16:23275788-23275800(-) ACCAGGAAGGAA >mm10_chr16:23301261-23301272(+)::chr16:23301260-23301272(+) aagaggaaGACG >mm10_chr16:23301268-23301279(+)::chr16:23301267-23301279(+) aGACGgaagctg >mm10_chr16:23318230-23318241(+)::chr16:23318229-23318241(+) ACAAGGAAGCAT >mm10_chr16:23325714-23325725(+)::chr16:23325713-23325725(+) TGCAGGAAGAGG >mm10_chr16:23329499-23329510(-)::chr16:23329498-23329510(-) TACAGGAAGAAG >mm10_chr16:23348895-23348906(-)::chr16:23348894-23348906(-) aggaggaaggga >mm10_chr16:23348907-23348918(-)::chr16:23348906-23348918(-) agaaggaaggga >mm10_chr16:23369353-23369364(-)::chr16:23369352-23369364(-) GGAAGGAAACGA >mm10_chr16:23369357-23369368(-)::chr16:23369356-23369368(-) AGCAGGAAGGAA >mm10_chr16:23369569-23369580(+)::chr16:23369568-23369580(+) GACAGGAAGCAG >mm10_chr16:23401812-23401823(-)::chr16:23401811-23401823(-) TTAAGGAAATAA >mm10_chr16:23401828-23401839(-)::chr16:23401827-23401839(-) AGAAGGAAAGAA >mm10_chr16:23401849-23401860(+)::chr16:23401848-23401860(+) ACAAGGAAGCAA >mm10_chr16:23401892-23401903(+)::chr16:23401891-23401903(+) AGGAGGAAGGGA >mm10_chr16:23423560-23423571(-)::chr16:23423559-23423571(-) atagggaagtat >mm10_chr16:23505970-23505981(-)::chr16:23505969-23505981(-) AGAAGGAAGAGC >mm10_chr16:23506015-23506026(-)::chr16:23506014-23506026(-) AGCAGGAAATGC >mm10_chr16:23516817-23516828(+)::chr16:23516816-23516828(+) ATCAGGAAGCCA >mm10_chr16:23516840-23516851(-)::chr16:23516839-23516851(-) GGAAGGAAGTCT >mm10_chr16:23577044-23577055(-)::chr16:23577043-23577055(-) AGGAGGAAATTA >mm10_chr16:23601535-23601546(-)::chr16:23601534-23601546(-) AGGAGGAAGAAC >mm10_chr16:23672866-23672877(+)::chr16:23672865-23672877(+) atgaggaaggga >mm10_chr16:23864946-23864957(-)::chr16:23864945-23864957(-) accaggaagcac >mm10_chr16:23984863-23984874(+)::chr16:23984862-23984874(+) AGCAGGAAGGGT >mm10_chr16:23989034-23989045(-)::chr16:23989033-23989045(-) GAGAGGAAGAAT >mm10_chr16:24051062-24051073(-)::chr16:24051061-24051073(-) agaaggaaggga >mm10_chr16:24051074-24051085(-)::chr16:24051073-24051085(-) AACaggaaggaa >mm10_chr16:24051258-24051269(+)::chr16:24051257-24051269(+) GGGAGGAAGAAT >mm10_chr16:24076638-24076649(+)::chr16:24076637-24076649(+) AGAAGGAAGTCT >mm10_chr16:24076701-24076712(+)::chr16:24076700-24076712(+) AAGAGGAAGTGC >mm10_chr16:24081995-24082006(-)::chr16:24081994-24082006(-) GAAAGGAAGGAG >mm10_chr16:24103000-24103011(-)::chr16:24102999-24103011(-) ATAAGGAAGAGG >mm10_chr16:24134325-24134336(+)::chr16:24134324-24134336(+) accaggaaggac >mm10_chr16:24151542-24151553(+)::chr16:24151541-24151553(+) ACAAGGAAGTGC >mm10_chr16:24157957-24157968(-)::chr16:24157956-24157968(-) AAGAGGAAATAA >mm10_chr16:24158007-24158018(-)::chr16:24158006-24158018(-) AGAAGGAAGGCG >mm10_chr16:24158014-24158025(-)::chr16:24158013-24158025(-) AGAAGGAAGAAG >mm10_chr16:24176392-24176403(+)::chr16:24176391-24176403(+) ACCAGGAAGTCC >mm10_chr16:24177348-24177359(+)::chr16:24177347-24177359(+) ATGAGGAAGAGC >mm10_chr16:24184837-24184848(+)::chr16:24184836-24184848(+) ATCAGGAAGGCA >mm10_chr16:24188500-24188511(-)::chr16:24188499-24188511(-) AGAAAGAAGTTA >mm10_chr16:24207289-24207300(-)::chr16:24207288-24207300(-) tgcaggaaatgt >mm10_chr16:24219929-24219940(-)::chr16:24219928-24219940(-) GCCAGGAAGGGT >mm10_chr16:24282379-24282390(-)::chr16:24282378-24282390(-) ATAAGGAAATGT >mm10_chr16:24290048-24290059(+)::chr16:24290047-24290059(+) ACAAGGAAGGAA >mm10_chr16:24354570-24354581(+)::chr16:24354569-24354581(+) CAAAGGAAGACA >mm10_chr16:24355892-24355903(-)::chr16:24355891-24355903(-) tccaggaaggaa >mm10_chr16:24393140-24393151(-)::chr16:24393139-24393151(-) AGGAGGAAGTCG >mm10_chr16:24393156-24393167(-)::chr16:24393155-24393167(-) AAGAGGAAGGAG >mm10_chr16:24393162-24393173(-)::chr16:24393161-24393173(-) TGGAGGAAGAGG >mm10_chr16:24393193-24393204(-)::chr16:24393192-24393204(-) aagaggaaggaa >mm10_chr16:24404070-24404081(+)::chr16:24404069-24404081(+) TCCAGGAAGAAA >mm10_chr16:24412933-24412944(+)::chr16:24412932-24412944(+) TGGAGGAAGTTG >mm10_chr16:24412970-24412981(+)::chr16:24412969-24412981(+) ATAAGGAAGTGT >mm10_chr16:24425955-24425966(-)::chr16:24425954-24425966(-) ACAAGGAAAGGG >mm10_chr16:24431313-24431324(+)::chr16:24431312-24431324(+) AGAGGGAAGTGC >mm10_chr16:24434167-24434178(-)::chr16:24434166-24434178(-) AGGAGGAAGCAG >mm10_chr16:24495310-24495321(-)::chr16:24495309-24495321(-) TTAAGGAAGTCA >mm10_chr16:24575490-24575501(-)::chr16:24575489-24575501(-) AGAAGGAAGCAG >mm10_chr16:24575497-24575508(-)::chr16:24575496-24575508(-) ATCAGGAAGAAG >mm10_chr16:24577985-24577996(-)::chr16:24577984-24577996(-) AACAGGAAGTTT >mm10_chr16:24578009-24578020(-)::chr16:24578008-24578020(-) ATAAGGAAATGC >mm10_chr16:24609712-24609723(-)::chr16:24609711-24609723(-) ACCAGGAAGCCA >mm10_chr16:24609735-24609746(-)::chr16:24609734-24609746(-) AAAAGGAAATGG >mm10_chr16:24633713-24633724(+)::chr16:24633712-24633724(+) AGAAGGAAATGG >mm10_chr16:24653308-24653319(+)::chr16:24653307-24653319(+) AAGAGGAAGAGA >mm10_chr16:24664140-24664151(+)::chr16:24664139-24664151(+) AAGAGGaaggaa >mm10_chr16:24671898-24671909(+)::chr16:24671897-24671909(+) ACAAGGAAGCAT >mm10_chr16:24671912-24671923(+)::chr16:24671911-24671923(+) GTCAGGAAGGAC >mm10_chr16:24701098-24701109(+)::chr16:24701097-24701109(+) AGGAGGAAGTCT >mm10_chr16:24701125-24701136(+)::chr16:24701124-24701136(+) AGAAGGAAACAG >mm10_chr16:24704818-24704829(+)::chr16:24704817-24704829(+) AAGAGGAAGAAC >mm10_chr16:24812238-24812249(-)::chr16:24812237-24812249(-) ggaaggaagagg >mm10_chr16:24812261-24812272(-)::chr16:24812260-24812272(-) aggaggaagggg >mm10_chr16:24812268-24812279(-)::chr16:24812267-24812279(-) ggaaggaaggag >mm10_chr16:24812272-24812283(-)::chr16:24812271-24812283(-) gtaaggaaggaa >mm10_chr16:24874529-24874540(+)::chr16:24874528-24874540(+) ATAGGGAAATAA >mm10_chr16:24886544-24886555(-)::chr16:24886543-24886555(-) AGCAGGAAGCAA >mm10_chr16:24886568-24886579(-)::chr16:24886567-24886579(-) TGAAGGAAGCAC >mm10_chr16:24919787-24919798(+)::chr16:24919786-24919798(+) GTATGGAAGTGT >mm10_chr16:24919840-24919851(-)::chr16:24919839-24919851(-) GCAGGGAAGTGA >mm10_chr16:24930750-24930761(-)::chr16:24930749-24930761(-) AGAAGGAAATAG >mm10_chr16:24947681-24947692(-)::chr16:24947680-24947692(-) taaaggaagtac >mm10_chr16:24950939-24950950(+)::chr16:24950938-24950950(+) ATAAGGAAAAAC >mm10_chr16:24950977-24950988(+)::chr16:24950976-24950988(+) AAAAGGAAGCAG >mm10_chr16:24969455-24969466(+)::chr16:24969454-24969466(+) TAGAGGAAGTAC >mm10_chr16:25026342-25026353(-)::chr16:25026341-25026353(-) aggaggaagttg >mm10_chr16:25026349-25026360(-)::chr16:25026348-25026360(-) atcaggaaggag >mm10_chr16:25042135-25042146(+)::chr16:25042134-25042146(+) GAGAGGAAGGGA >mm10_chr16:25042140-25042151(+)::chr16:25042139-25042151(+) GAAGGGAAGTGG >mm10_chr16:25042656-25042667(-)::chr16:25042655-25042667(-) aggaggaagaag >mm10_chr16:25042677-25042688(-)::chr16:25042676-25042688(-) aagaggaagagg >mm10_chr16:25042906-25042917(-)::chr16:25042905-25042917(-) GCAAGGAAGTGA >mm10_chr16:25059888-25059899(-)::chr16:25059887-25059899(-) AGAAGGAAGAGG >mm10_chr16:25059909-25059920(-)::chr16:25059908-25059920(-) AGCAGGAAGGAG >mm10_chr16:25096812-25096823(+)::chr16:25096811-25096823(+) acaaggaaataa >mm10_chr16:25096829-25096840(+)::chr16:25096828-25096840(+) aacaggaaattc >mm10_chr16:25167549-25167560(+)::chr16:25167548-25167560(+) ATAAGGAAGCCC >mm10_chr16:25215101-25215112(-)::chr16:25215100-25215112(-) aagaggaaatag >mm10_chr16:25220732-25220743(+)::chr16:25220731-25220743(+) TGCAGGAAGTTC >mm10_chr16:25227739-25227750(-)::chr16:25227738-25227750(-) agaaggaagagg >mm10_chr16:25249383-25249394(+)::chr16:25249382-25249394(+) AGGAGGAAGGCA >mm10_chr16:25249415-25249426(+)::chr16:25249414-25249426(+) ACGAGGAAGGTG >mm10_chr16:25262631-25262642(+)::chr16:25262630-25262642(+) ACGAGGAAGGGA >mm10_chr16:25425606-25425617(-)::chr16:25425605-25425617(-) ACAGGGAAGTAA >mm10_chr16:25425793-25425804(+)::chr16:25425792-25425804(+) CTAAGGAAGGAT >mm10_chr16:25528036-25528047(-)::chr16:25528035-25528047(-) TAAAGGAAGTTA >mm10_chr16:25528104-25528115(+)::chr16:25528103-25528115(+) ATGAGGAAATTG >mm10_chr16:25681658-25681669(-)::chr16:25681657-25681669(-) AGCAGGAAGTAG >mm10_chr16:25736954-25736965(-)::chr16:25736953-25736965(-) TACAGGAAGGGC >mm10_chr16:25775136-25775147(-)::chr16:25775135-25775147(-) AGAAGGAAAAGG >mm10_chr16:25784725-25784736(-)::chr16:25784724-25784736(-) aagaggaagagg >mm10_chr16:25784731-25784742(-)::chr16:25784730-25784742(-) aagaggaagagg >mm10_chr16:25784737-25784748(-)::chr16:25784736-25784748(-) aggaggaagagg >mm10_chr16:25784773-25784784(-)::chr16:25784772-25784784(-) aagaggaagagg >mm10_chr16:25784779-25784790(-)::chr16:25784778-25784790(-) aagaggaagagg >mm10_chr16:25784785-25784796(-)::chr16:25784784-25784796(-) aggaggaagagg >mm10_chr16:25803294-25803305(+)::chr16:25803293-25803305(+) AAAAGGAAGTTC >mm10_chr16:25803912-25803923(-)::chr16:25803911-25803923(-) AACAGGAAGTTC >mm10_chr16:25804479-25804490(+)::chr16:25804478-25804490(+) ATGGGGAAGTAA >mm10_chr16:25812125-25812136(+)::chr16:25812124-25812136(+) TTCAGGAAGTAG >mm10_chr16:25846087-25846098(-)::chr16:25846086-25846098(-) aggaggaaggag >mm10_chr16:25846137-25846148(-)::chr16:25846136-25846148(-) ggaaggaagcag >mm10_chr16:25856167-25856178(+)::chr16:25856166-25856178(+) ATGAGGAAGAGG >mm10_chr16:25869418-25869429(-)::chr16:25869417-25869429(-) GGAAGGAAGCAA >mm10_chr16:25905360-25905371(-)::chr16:25905359-25905371(-) ATGAGGAAGGAG >mm10_chr16:25905390-25905401(+)::chr16:25905389-25905401(+) AGGAGGAAATGA >mm10_chr16:25934296-25934307(+)::chr16:25934295-25934307(+) TTAAGGAAGTTG >mm10_chr16:25948328-25948339(+)::chr16:25948327-25948339(+) AAGAGGAAGGTG >mm10_chr16:25954852-25954863(-)::chr16:25954851-25954863(-) AGGAGGAAGTTT >mm10_chr16:25969835-25969846(+)::chr16:25969834-25969846(+) ACGAGGAAGCAA >mm10_chr16:25969860-25969871(-)::chr16:25969859-25969871(-) AGAGGGAAGTGG >mm10_chr16:25979095-25979106(-)::chr16:25979094-25979106(-) CCCAGGAAGTGA >mm10_chr16:26001378-26001389(+)::chr16:26001377-26001389(+) aggaggaagagg >mm10_chr16:26003631-26003642(-)::chr16:26003630-26003642(-) GGAAGCAAGTGT >mm10_chr16:26003635-26003646(-)::chr16:26003634-26003646(-) AGCAGGAAGCAA >mm10_chr16:26024029-26024040(+)::chr16:26024028-26024040(+) AGCAGGAAGATC >mm10_chr16:26064101-26064112(+)::chr16:26064100-26064112(+) ggcaggaagaga >mm10_chr16:26065257-26065268(-)::chr16:26065256-26065268(-) AAGAGGAAATGG >mm10_chr16:26065263-26065274(-)::chr16:26065262-26065274(-) GACAGGAAGAGG >mm10_chr16:26106652-26106663(+)::chr16:26106651-26106663(+) CCAAGGAACTAG >mm10_chr16:26166425-26166436(-)::chr16:26166424-26166436(-) TGAAGGAAGGAT >mm10_chr16:26359020-26359031(-)::chr16:26359019-26359031(-) CAAAGGAAGAGA >mm10_chr16:26370389-26370400(-)::chr16:26370388-26370400(-) AGGAGGAAGAGT >mm10_chr16:26454266-26454277(-)::chr16:26454265-26454277(-) accaggaagcac >mm10_chr16:26547591-26547602(-)::chr16:26547590-26547602(-) ACAAGgaagttg >mm10_chr16:26570591-26570602(+)::chr16:26570590-26570602(+) ACTAGGAAGAGG >mm10_chr16:26570775-26570786(-)::chr16:26570774-26570786(-) AGAAGGAAGAAA >mm10_chr16:26580892-26580903(+)::chr16:26580891-26580903(+) GTAAGGAAGACC >mm10_chr16:26581727-26581738(+)::chr16:26581726-26581738(+) AAAAGAAAGTGC >mm10_chr16:26582392-26582403(+)::chr16:26582391-26582403(+) AGAAGGAAGAGA >mm10_chr16:26591331-26591342(+)::chr16:26591330-26591342(+) TGAAGGAAACGT >mm10_chr16:26626308-26626319(-)::chr16:26626307-26626319(-) ATAAGGAAGGCA >mm10_chr16:26626350-26626361(-)::chr16:26626349-26626361(-) ATGAGGAAGTAC >mm10_chr16:26649171-26649182(+)::chr16:26649170-26649182(+) GGGAGGAAGTGG >mm10_chr16:26721575-26721586(+)::chr16:26721574-26721586(+) agggggaagtgc >mm10_chr16:27139311-27139322(-)::chr16:27139310-27139322(-) TACAGGAAATGT >mm10_chr16:27374193-27374204(-)::chr16:27374192-27374204(-) ACAAGGAAGCGG >mm10_chr16:27374214-27374225(-)::chr16:27374213-27374225(-) AACAGGAAGGTA >mm10_chr16:27374233-27374244(-)::chr16:27374232-27374244(-) TTAGGGAAGTTG >mm10_chr16:27512140-27512151(+)::chr16:27512139-27512151(+) GTAAGGAAATGA >mm10_chr16:28518814-28518825(-)::chr16:28518813-28518825(-) taaaggaaGTga >mm10_chr16:28625962-28625973(-)::chr16:28625961-28625973(-) AGGAGGAAGTCT >mm10_chr16:28826533-28826544(-)::chr16:28826532-28826544(-) ACCAGGAAATAC >mm10_chr16:28859017-28859028(-)::chr16:28859016-28859028(-) ggaaggaagtca >mm10_chr16:28859021-28859032(-)::chr16:28859020-28859032(-) gcaaggaaggaa >mm10_chr16:28881285-28881296(+)::chr16:28881284-28881296(+) AGGAGGAAGAAG >mm10_chr16:28898613-28898624(-)::chr16:28898612-28898624(-) AGAAGGAAGCAT >mm10_chr16:28898929-28898940(-)::chr16:28898928-28898940(-) AAGAGGAAGTTT >mm10_chr16:28911631-28911642(+)::chr16:28911630-28911642(+) TCAAGGAAGTGT >mm10_chr16:28911659-28911670(-)::chr16:28911658-28911670(-) TTGAGGAAGTTA >mm10_chr16:29173425-29173436(-)::chr16:29173424-29173436(-) AAAAGGAAGCAA >mm10_chr16:29334476-29334487(+)::chr16:29334475-29334487(+) ACAAGGAAGTGA >mm10_chr16:29406191-29406202(-)::chr16:29406190-29406202(-) aggaggaaggtc >mm10_chr16:29452081-29452092(+)::chr16:29452080-29452092(+) AGCAGGAAGAAA >mm10_chr16:29459978-29459989(-)::chr16:29459977-29459989(-) ggaaggaaggaa >mm10_chr16:29459982-29459993(-)::chr16:29459981-29459993(-) ggaaggaaggaa >mm10_chr16:29459986-29459997(-)::chr16:29459985-29459997(-) ggaaggaaggaa >mm10_chr16:29459990-29460001(-)::chr16:29459989-29460001(-) ggaaggaaggaa >mm10_chr16:29459994-29460005(-)::chr16:29459993-29460005(-) Agaaggaaggaa >mm10_chr16:29460027-29460038(-)::chr16:29460026-29460038(-) AGAAAGAAGTTA >mm10_chr16:29460035-29460046(-)::chr16:29460034-29460046(-) ATAAGGAAAGAA >mm10_chr16:29471069-29471080(+)::chr16:29471068-29471080(+) AAAAGGAAGAAG >mm10_chr16:29471076-29471087(+)::chr16:29471075-29471087(+) AGAAGGAAGCTG >mm10_chr16:29482765-29482776(+)::chr16:29482764-29482776(+) acaaggaagctg >mm10_chr16:29495997-29496008(-)::chr16:29495996-29496008(-) ATAAGGAAGTGG >mm10_chr16:29496062-29496073(-)::chr16:29496061-29496073(-) AGAAGGAAAAAC >mm10_chr16:29496946-29496957(+)::chr16:29496945-29496957(+) GAAAGGAAGGAA >mm10_chr16:29497130-29497141(-)::chr16:29497129-29497141(-) ACCAGGAAGTGA >mm10_chr16:29513256-29513267(+)::chr16:29513255-29513267(+) ttaaggaaacga >mm10_chr16:29513276-29513287(+)::chr16:29513275-29513287(+) ggaagcaagtgg >mm10_chr16:29524515-29524526(+)::chr16:29524514-29524526(+) agaaggaaatgg >mm10_chr16:29524755-29524766(+)::chr16:29524754-29524766(+) ataaggaagtgt >mm10_chr16:29540092-29540103(+)::chr16:29540091-29540103(+) TCAAGGAAATAC >mm10_chr16:29540128-29540139(+)::chr16:29540127-29540139(+) GCCAGGAAGTCA >mm10_chr16:29547845-29547856(-)::chr16:29547844-29547856(-) GTAAGGATGTGT >mm10_chr16:29727658-29727669(+)::chr16:29727657-29727669(+) AGCAGGAAGTGA >mm10_chr16:29735918-29735929(-)::chr16:29735917-29735929(-) GAGAGGAAGTAG >mm10_chr16:29741948-29741959(+)::chr16:29741947-29741959(+) ACAAGGAAGAGA >mm10_chr16:29741963-29741974(+)::chr16:29741962-29741974(+) TCGAGGAAGTGT >mm10_chr16:29775050-29775061(+)::chr16:29775049-29775061(+) ATAAGGAAGAAT >mm10_chr16:29782603-29782614(+)::chr16:29782602-29782614(+) AGGAGGAAGCAT >mm10_chr16:29815760-29815771(-)::chr16:29815759-29815771(-) tacaggaagatg >mm10_chr16:29861262-29861273(+)::chr16:29861261-29861273(+) ACAAGGAAGAGA >mm10_chr16:29876324-29876335(-)::chr16:29876323-29876335(-) ATGAGGAAGAGC >mm10_chr16:29880139-29880150(-)::chr16:29880138-29880150(-) acaaggaaggac >mm10_chr16:29880161-29880172(+)::chr16:29880160-29880172(+) tcaaggaaatga >mm10_chr16:29907866-29907877(+)::chr16:29907865-29907877(+) AGAAGGAAAGGG >mm10_chr16:29947884-29947895(-)::chr16:29947883-29947895(-) AGCAGGAAATGA >mm10_chr16:29968994-29969005(+)::chr16:29968993-29969005(+) TGCAGGAAGTCT >mm10_chr16:30034704-30034715(-)::chr16:30034703-30034715(-) ACAAGGAAGCTC >mm10_chr16:30039344-30039355(-)::chr16:30039343-30039355(-) ATGAGGAAGTCA >mm10_chr16:30079160-30079171(+)::chr16:30079159-30079171(+) AGAAGGAAGGAA >mm10_chr16:30113172-30113183(+)::chr16:30113171-30113183(+) GGGAGGAAGGAA >mm10_chr16:30113176-30113187(+)::chr16:30113175-30113187(+) GGAAGGAAGTAG >mm10_chr16:30114800-30114811(+)::chr16:30114799-30114811(+) TGGAGGAAATGG >mm10_chr16:30114855-30114866(+)::chr16:30114854-30114866(+) AGCAGGAAGTAG >mm10_chr16:30119756-30119767(-)::chr16:30119755-30119767(-) GACAGGAAGTGC >mm10_chr16:30154009-30154020(+)::chr16:30154008-30154020(+) ACAAGGAAGAGA >mm10_chr16:30154038-30154049(+)::chr16:30154037-30154049(+) Gggaggaagagg >mm10_chr16:30155402-30155413(-)::chr16:30155401-30155413(-) GTAAGGAATTGC >mm10_chr16:30160626-30160637(-)::chr16:30160625-30160637(-) ATGAGGAAATGA >mm10_chr16:30179588-30179599(-)::chr16:30179587-30179599(-) ACAAGGAAGCCT >mm10_chr16:30184830-30184841(-)::chr16:30184829-30184841(-) TGGAGGAAGGGC >mm10_chr16:30185080-30185091(-)::chr16:30185079-30185091(-) AGCAGGAAGCCC >mm10_chr16:30188106-30188117(-)::chr16:30188105-30188117(-) GCCAGGAAGGGT >mm10_chr16:30190053-30190064(+)::chr16:30190052-30190064(+) AAAAGGAAGAGG >mm10_chr16:30199914-30199925(-)::chr16:30199913-30199925(-) GGAAGGAAGGAA >mm10_chr16:30199918-30199929(-)::chr16:30199917-30199929(-) GGAAGGAAGGAA >mm10_chr16:30244141-30244152(+)::chr16:30244140-30244152(+) GGCAGGAAGGAC >mm10_chr16:30288394-30288405(-)::chr16:30288393-30288405(-) AGACGGAAATAG >mm10_chr16:30326897-30326908(+)::chr16:30326896-30326908(+) gtgaggaagtgg >mm10_chr16:30365595-30365606(+)::chr16:30365594-30365606(+) aggaggaagagg >mm10_chr16:30365601-30365612(+)::chr16:30365600-30365612(+) aagaggaagagg >mm10_chr16:30365617-30365628(+)::chr16:30365616-30365628(+) agaaggaagaaa >mm10_chr16:30365629-30365640(+)::chr16:30365628-30365640(+) aagaggaagaga >mm10_chr16:30387741-30387752(-)::chr16:30387740-30387752(-) TGGAGGAAGGAG >mm10_chr16:30397595-30397606(-)::chr16:30397594-30397606(-) ACAAGGAAGCAA >mm10_chr16:30415959-30415970(+)::chr16:30415958-30415970(+) AGAAGGAAGACT >mm10_chr16:30416465-30416476(+)::chr16:30416464-30416476(+) aagaggaagagg >mm10_chr16:30435529-30435540(+)::chr16:30435528-30435540(+) AGAAGTAAGTGG >mm10_chr16:30435565-30435576(+)::chr16:30435564-30435576(+) AGCAGGAAATGT >mm10_chr16:30487868-30487879(+)::chr16:30487867-30487879(+) aagaggaagaag >mm10_chr16:30487886-30487897(+)::chr16:30487885-30487897(+) aggaggaagagg >mm10_chr16:30507166-30507177(-)::chr16:30507165-30507177(-) ACAAGGAAATTC >mm10_chr16:30510279-30510290(+)::chr16:30510278-30510290(+) CAAAGGAAGCTG >mm10_chr16:30510329-30510340(-)::chr16:30510328-30510340(-) ATAAGGAAAACA >mm10_chr16:30512601-30512612(-)::chr16:30512600-30512612(-) TGGAGGAAGGGG >mm10_chr16:30553171-30553182(-)::chr16:30553170-30553182(-) AGCAGGAAATGC >mm10_chr16:30553219-30553230(+)::chr16:30553218-30553230(+) AGAAGGAAGGGC >mm10_chr16:30572486-30572497(+)::chr16:30572485-30572497(+) AAACGGAAGGAG >mm10_chr16:30587601-30587612(+)::chr16:30587600-30587612(+) ACCCGGAAGTGA >mm10_chr16:30587638-30587649(+)::chr16:30587637-30587649(+) GTCCGGAAGTGT >mm10_chr16:30587912-30587923(-)::chr16:30587911-30587923(-) TGACGGAAGCCG >mm10_chr16:30587920-30587931(+)::chr16:30587919-30587931(+) GTCAGGAAGAGG >mm10_chr16:30596408-30596419(+)::chr16:30596407-30596419(+) agaaggaaggga >mm10_chr16:30596413-30596424(+)::chr16:30596412-30596424(+) gaagggaagtgg >mm10_chr16:30597167-30597178(-)::chr16:30597166-30597178(-) AGGCGGAAGTGC >mm10_chr16:30624860-30624871(-)::chr16:30624859-30624871(-) AAAAGGAAGTTG >mm10_chr16:30624892-30624903(+)::chr16:30624891-30624903(+) AGAAGGAAGAGA >mm10_chr16:30651763-30651774(-)::chr16:30651762-30651774(-) aagaggaagagg >mm10_chr16:30651802-30651813(-)::chr16:30651801-30651813(-) tggaggaagaga >mm10_chr16:30651811-30651822(-)::chr16:30651810-30651822(-) aagaggaagtgg >mm10_chr16:30651817-30651828(-)::chr16:30651816-30651828(-) agaaggaagagg >mm10_chr16:30655973-30655984(+)::chr16:30655972-30655984(+) AATAGGAAGTTT >mm10_chr16:30666386-30666397(-)::chr16:30666385-30666397(-) ttgaggaagttg >mm10_chr16:30666421-30666432(-)::chr16:30666420-30666432(-) aagaggaagagg >mm10_chr16:30666427-30666438(-)::chr16:30666426-30666438(-) aggaggaagagg >mm10_chr16:30711046-30711057(+)::chr16:30711045-30711057(+) atcaggaaggtt >mm10_chr16:30711110-30711121(-)::chr16:30711109-30711121(-) AGGAGGAAGCTG >mm10_chr16:30712020-30712031(-)::chr16:30712019-30712031(-) AACAGGAAATGA >mm10_chr16:30754484-30754495(-)::chr16:30754483-30754495(-) AGCAGGAAGCCG >mm10_chr16:30754508-30754519(+)::chr16:30754507-30754519(+) aggaggaagaga >mm10_chr16:30754529-30754540(+)::chr16:30754528-30754540(+) aggaggaagagg >mm10_chr16:30772956-30772967(+)::chr16:30772955-30772967(+) ATGAGGAAGAGC >mm10_chr16:30772987-30772998(-)::chr16:30772986-30772998(-) GACAGGAAGTGG >mm10_chr16:30787855-30787866(-)::chr16:30787854-30787866(-) ACAAGGAAGTGA >mm10_chr16:30787873-30787884(-)::chr16:30787872-30787884(-) AGCAGGAAATAA >mm10_chr16:30806556-30806567(+)::chr16:30806555-30806567(+) GAGAGGAAGTTA >mm10_chr16:30806576-30806587(+)::chr16:30806575-30806587(+) TGAAGGAAGGCT >mm10_chr16:30814958-30814969(-)::chr16:30814957-30814969(-) atcaggaactac >mm10_chr16:30814979-30814990(-)::chr16:30814978-30814990(-) agcaggaagtgg >mm10_chr16:30868401-30868412(+)::chr16:30868400-30868412(+) GAAAGGAAGGAA >mm10_chr16:30868405-30868416(+)::chr16:30868404-30868416(+) GGAAGGAAGAAA >mm10_chr16:30870189-30870200(-)::chr16:30870188-30870200(-) aagcggaagtat >mm10_chr16:30871895-30871906(-)::chr16:30871894-30871906(-) AGAAGGAAGCAG >mm10_chr16:30873714-30873725(-)::chr16:30873713-30873725(-) AAGAGGAAGAGT >mm10_chr16:30903090-30903101(+)::chr16:30903089-30903101(+) TACAGGAAGAAC >mm10_chr16:30903621-30903632(-)::chr16:30903620-30903632(-) AGCAGGAAGTTG >mm10_chr16:30915514-30915525(-)::chr16:30915513-30915525(-) AGCAGGAAGGGG >mm10_chr16:30933629-30933640(+)::chr16:30933628-30933640(+) AGCAGGAAATGA >mm10_chr16:30939192-30939203(-)::chr16:30939191-30939203(-) AGGAGGAAGAGT >mm10_chr16:30943453-30943464(-)::chr16:30943452-30943464(-) AAGAGGAAGCTA >mm10_chr16:30943459-30943470(-)::chr16:30943458-30943470(-) AGCAGGAAGAGG >mm10_chr16:30945170-30945181(+)::chr16:30945169-30945181(+) GAAAGGAAATAG >mm10_chr16:30945226-30945237(-)::chr16:30945225-30945237(-) ACGAGGAACTGT >mm10_chr16:30948400-30948411(+)::chr16:30948399-30948411(+) aggaggaaatag >mm10_chr16:30992877-30992888(+)::chr16:30992876-30992888(+) TGCAGGAAGAAG >mm10_chr16:31008615-31008626(+)::chr16:31008614-31008626(+) tcaaggaagcag >mm10_chr16:31020710-31020721(+)::chr16:31020709-31020721(+) TTCAGGAAGCGG >mm10_chr16:31029938-31029949(+)::chr16:31029937-31029949(+) CAAAGGAAGAGG >mm10_chr16:31029944-31029955(+)::chr16:31029943-31029955(+) AAGAGGAAGAGG >mm10_chr16:31042169-31042180(-)::chr16:31042168-31042180(-) accaggaagtgg >mm10_chr16:31066902-31066913(-)::chr16:31066901-31066913(-) ACCAGGAAGTTG >mm10_chr16:31129204-31129215(+)::chr16:31129203-31129215(+) GGCAGGAAGAAG >mm10_chr16:31129211-31129222(+)::chr16:31129210-31129222(+) AGAAGGAAGAAG >mm10_chr16:31138750-31138761(+)::chr16:31138749-31138761(+) agaaggaaagag >mm10_chr16:31149671-31149682(+)::chr16:31149670-31149682(+) agagggaaggga >mm10_chr16:31149699-31149710(+)::chr16:31149698-31149710(+) gaaaggaaggag >mm10_chr16:31165613-31165624(+)::chr16:31165612-31165624(+) CCAAGGAAGAAC >mm10_chr16:31167652-31167663(-)::chr16:31167651-31167663(-) AACAGGAAATAC >mm10_chr16:31186628-31186639(+)::chr16:31186627-31186639(+) ACCAGGAAGTCC >mm10_chr16:31191990-31192001(+)::chr16:31191989-31192001(+) AGGAGGAAGAAC >mm10_chr16:31192024-31192035(+)::chr16:31192023-31192035(+) agcaggaagcga >mm10_chr16:31197219-31197230(-)::chr16:31197218-31197230(-) AAAAGGATGTTG >mm10_chr16:31197255-31197266(-)::chr16:31197254-31197266(-) ATGAGGAAGTAG >mm10_chr16:31200187-31200198(-)::chr16:31200186-31200198(-) GAACGGAAGTAG >mm10_chr16:31201400-31201411(+)::chr16:31201399-31201411(+) AGAAGGAAGGAA >mm10_chr16:31201404-31201415(+)::chr16:31201403-31201415(+) GGAAGGAAGTCA >mm10_chr16:31212197-31212208(+)::chr16:31212196-31212208(+) GGAAGGAAATTC >mm10_chr16:31212270-31212281(-)::chr16:31212269-31212281(-) AGAAGGAAATGA >mm10_chr16:31233543-31233554(+)::chr16:31233542-31233554(+) ACCAGGACGTGT >mm10_chr16:31235663-31235674(+)::chr16:31235662-31235674(+) TCCAGGAAGAGG >mm10_chr16:31282181-31282192(-)::chr16:31282180-31282192(-) GGAAGGAAGGGC >mm10_chr16:31286440-31286451(-)::chr16:31286439-31286451(-) ggaaggaaggaa >mm10_chr16:31286444-31286455(-)::chr16:31286443-31286455(-) gggaggaaggaa >mm10_chr16:31286504-31286515(-)::chr16:31286503-31286515(-) aagaggaaggga >mm10_chr16:31286515-31286526(-)::chr16:31286514-31286526(-) aggaggaagaga >mm10_chr16:31291157-31291168(+)::chr16:31291156-31291168(+) TGCAGGAAGTCC >mm10_chr16:31316472-31316483(+)::chr16:31316471-31316483(+) ATCAGGAAATGG >mm10_chr16:31326323-31326334(+)::chr16:31326322-31326334(+) ACAGGGAAGTCT >mm10_chr16:31326349-31326360(+)::chr16:31326348-31326360(+) TGCAGGAAGTCA >mm10_chr16:31326386-31326397(-)::chr16:31326385-31326397(-) TTTAGGAAGTTC >mm10_chr16:31338256-31338267(+)::chr16:31338255-31338267(+) AGGAGGAAATGG >mm10_chr16:31355271-31355282(+)::chr16:31355270-31355282(+) GTGAGGAAGTCT >mm10_chr16:31364617-31364628(-)::chr16:31364616-31364628(-) ACAAGCAAGTGT >mm10_chr16:31417315-31417326(+)::chr16:31417314-31417326(+) TGCAGGAAGTAT >mm10_chr16:31425767-31425778(+)::chr16:31425766-31425778(+) TGCAGGAAGGAG >mm10_chr16:31450099-31450110(+)::chr16:31450098-31450110(+) GAGAGGAAGTGG >mm10_chr16:31454434-31454445(+)::chr16:31454433-31454445(+) tgaaggaagtcc >mm10_chr16:31506901-31506912(-)::chr16:31506900-31506912(-) AAGAGGAAGTTG >mm10_chr16:31510299-31510310(+)::chr16:31510298-31510310(+) ATCAGGAAGTTC >mm10_chr16:31592388-31592399(+)::chr16:31592387-31592399(+) AGAAGGAATTCA >mm10_chr16:31608817-31608828(+)::chr16:31608816-31608828(+) aacaggaaggag >mm10_chr16:31608868-31608879(-)::chr16:31608867-31608879(-) tgaaggaagcaa >mm10_chr16:31618167-31618178(-)::chr16:31618166-31618178(-) AGAAGGAAATCC >mm10_chr16:31618203-31618214(+)::chr16:31618202-31618214(+) TGGGGGAAGTAG >mm10_chr16:31659667-31659678(-)::chr16:31659666-31659678(-) AAAAGGATGTGA >mm10_chr16:31663407-31663418(-)::chr16:31663406-31663418(-) GAAAGGAAGAGT >mm10_chr16:31664795-31664806(-)::chr16:31664794-31664806(-) AACAGGAAGGAA >mm10_chr16:31664829-31664840(-)::chr16:31664828-31664840(-) ATCAGGAAGGCG >mm10_chr16:31683836-31683847(+)::chr16:31683835-31683847(+) ACGAGGAAATAA >mm10_chr16:31707501-31707512(-)::chr16:31707500-31707512(-) agcaggaagcag >mm10_chr16:31707542-31707553(-)::chr16:31707541-31707553(-) aggaggaagcag >mm10_chr16:31717318-31717329(-)::chr16:31717317-31717329(-) gaaaggatgtgt >mm10_chr16:31948578-31948589(-)::chr16:31948577-31948589(-) AAACGGAAATGC >mm10_chr16:32003186-32003197(-)::chr16:32003185-32003197(-) GGAAGGAGGTGA >mm10_chr16:32003190-32003201(-)::chr16:32003189-32003201(-) GCAAGGAAGGAG >mm10_chr16:32060013-32060024(+)::chr16:32060012-32060024(+) ATAAGGAAGTGG >mm10_chr16:32060431-32060442(+)::chr16:32060430-32060442(+) GTAAGGAACTCA >mm10_chr16:32064118-32064129(-)::chr16:32064117-32064129(-) aaagggaagtga >mm10_chr16:32064154-32064165(-)::chr16:32064153-32064165(-) attaggaagtag >mm10_chr16:32088167-32088178(+)::chr16:32088166-32088178(+) AACAGGAAATTA >mm10_chr16:32124898-32124909(-)::chr16:32124897-32124909(-) AGGAGGAAATGG >mm10_chr16:32124940-32124951(-)::chr16:32124939-32124951(-) ACACGGAAATAC >mm10_chr16:32130260-32130271(-)::chr16:32130259-32130271(-) AGGAGGAAGAGG >mm10_chr16:32130322-32130333(+)::chr16:32130321-32130333(+) AACAGGAAGTGC >mm10_chr16:32138997-32139008(-)::chr16:32138996-32139008(-) aagaggaagaga >mm10_chr16:32139009-32139020(-)::chr16:32139008-32139020(-) aggaggaagaag >mm10_chr16:32139021-32139032(-)::chr16:32139020-32139032(-) aagaggaagaga >mm10_chr16:32139030-32139041(-)::chr16:32139029-32139041(-) aggaggaagaag >mm10_chr16:32165577-32165588(+)::chr16:32165576-32165588(+) AGAAGGAACTGC >mm10_chr16:32167704-32167715(-)::chr16:32167703-32167715(-) TGCAGGAAGTAA >mm10_chr16:32167726-32167737(-)::chr16:32167725-32167737(-) AGAAGGAAGAAG >mm10_chr16:32186283-32186294(+)::chr16:32186282-32186294(+) AGGAGGAAGAGT >mm10_chr16:32200922-32200933(-)::chr16:32200921-32200933(-) TCGAGGAAGGGA >mm10_chr16:32207607-32207618(+)::chr16:32207606-32207618(+) AGCAGGAACTAC >mm10_chr16:32256014-32256025(+)::chr16:32256013-32256025(+) aTGAGGAAGCAG >mm10_chr16:32361950-32361961(+)::chr16:32361949-32361961(+) ttcaggaagttt >mm10_chr16:32377662-32377673(+)::chr16:32377661-32377673(+) acaagaaagtgt >mm10_chr16:32419769-32419780(-)::chr16:32419768-32419780(-) AGAAGGAAGTGT >mm10_chr16:32436517-32436528(-)::chr16:32436516-32436528(-) AAAAGGAAGAAG >mm10_chr16:32510806-32510817(+)::chr16:32510805-32510817(+) TGAAGGAAGTCA >mm10_chr16:32510916-32510927(-)::chr16:32510915-32510927(-) aaaaggaaggga >mm10_chr16:32511507-32511518(+)::chr16:32511506-32511518(+) ACCAGGAAGGGC >mm10_chr16:32513266-32513277(+)::chr16:32513265-32513277(+) aggaggaagcac >mm10_chr16:32527296-32527307(-)::chr16:32527295-32527307(-) AGCAGGAAACGG >mm10_chr16:32563070-32563081(+)::chr16:32563069-32563081(+) AGGAggaaggat >mm10_chr16:32563515-32563526(+)::chr16:32563514-32563526(+) AGGAGGAAGTTG >mm10_chr16:32570370-32570381(+)::chr16:32570369-32570381(+) GGAAGAAAGTTA >mm10_chr16:32570403-32570414(-)::chr16:32570402-32570414(-) GAGAGGAAGTCA >mm10_chr16:32571427-32571438(+)::chr16:32571426-32571438(+) AGGAGGAAGTaa >mm10_chr16:32593686-32593697(-)::chr16:32593685-32593697(-) TGAAGGAAGAGA >mm10_chr16:32607726-32607737(-)::chr16:32607725-32607737(-) aagaggaagaAG >mm10_chr16:32607732-32607743(-)::chr16:32607731-32607743(-) aagaggaagagg >mm10_chr16:32607744-32607755(-)::chr16:32607743-32607755(-) aagaggaagggg >mm10_chr16:32607750-32607761(-)::chr16:32607749-32607761(-) aagaggaagagg >mm10_chr16:32607786-32607797(-)::chr16:32607785-32607797(-) gtaaggaagagg >mm10_chr16:32607803-32607814(-)::chr16:32607802-32607814(-) aagaggaagaag >mm10_chr16:32644905-32644916(-)::chr16:32644904-32644916(-) GCAAGGAAGGCA >mm10_chr16:32644949-32644960(+)::chr16:32644948-32644960(+) TGCAGGAAGATG >mm10_chr16:32651185-32651196(-)::chr16:32651184-32651196(-) AGGAGGAAGtat >mm10_chr16:32659556-32659567(+)::chr16:32659555-32659567(+) AAAAGGAACCGT >mm10_chr16:32659578-32659589(-)::chr16:32659577-32659589(-) GAAAGGAAGCAG >mm10_chr16:32671311-32671322(+)::chr16:32671310-32671322(+) ACAAGGAAGGGG >mm10_chr16:32672443-32672454(+)::chr16:32672442-32672454(+) ACCAGGAAGAAA >mm10_chr16:32672447-32672458(+)::chr16:32672446-32672458(+) GGAAGAAAGTGA >mm10_chr16:32672754-32672765(+)::chr16:32672753-32672765(+) AGCAGGAAGGAG >mm10_chr16:32672992-32673003(-)::chr16:32672991-32673003(-) AGCAGGAAATAG >mm10_chr16:32698792-32698803(+)::chr16:32698791-32698803(+) GGAAGTAAGTGA >mm10_chr16:32698817-32698828(+)::chr16:32698816-32698828(+) AGGAGGAAGAGC >mm10_chr16:32700062-32700073(+)::chr16:32700061-32700073(+) AGAAGGAAGAGG >mm10_chr16:32700081-32700092(+)::chr16:32700080-32700092(+) AACAGGAAGTGA >mm10_chr16:32705280-32705291(-)::chr16:32705279-32705291(-) GGAAAGAAGTGT >mm10_chr16:32708777-32708788(-)::chr16:32708776-32708788(-) ACAAGGAAGTAA >mm10_chr16:32720454-32720465(+)::chr16:32720453-32720465(+) AGGAGGAAGAGC >mm10_chr16:32720484-32720495(+)::chr16:32720483-32720495(+) AGCAGGAAGCAA >mm10_chr16:32720500-32720511(+)::chr16:32720499-32720511(+) AGGAGGAAGACT >mm10_chr16:32724545-32724556(+)::chr16:32724544-32724556(+) AGAAGGAAGACC >mm10_chr16:32731258-32731269(-)::chr16:32731257-32731269(-) AGGAGGAAGTGC >mm10_chr16:32739185-32739196(+)::chr16:32739184-32739196(+) ACGAGGAAGCCC >mm10_chr16:32759636-32759647(-)::chr16:32759635-32759647(-) ATCAGGAAGTAA >mm10_chr16:32769429-32769440(-)::chr16:32769428-32769440(-) AGCAGGAAATTA >mm10_chr16:32769462-32769473(+)::chr16:32769461-32769473(+) TGAAGGAAATGC >mm10_chr16:32769500-32769511(-)::chr16:32769499-32769511(-) gggaggaaggaG >mm10_chr16:32779074-32779085(+)::chr16:32779073-32779085(+) GCCAGGAAGGAC >mm10_chr16:32779078-32779089(+)::chr16:32779077-32779089(+) GGAAGGACGTCC >mm10_chr16:32799025-32799036(-)::chr16:32799024-32799036(-) AAGAGGAAATGC >mm10_chr16:32813298-32813309(+)::chr16:32813297-32813309(+) CTAAGGAAGTGG >mm10_chr16:32813311-32813322(+)::chr16:32813310-32813322(+) AATAGGAAGGAA >mm10_chr16:32813315-32813326(+)::chr16:32813314-32813326(+) GGAAGGAAGTAG >mm10_chr16:32814593-32814604(+)::chr16:32814592-32814604(+) ATGGGGAAGTGG >mm10_chr16:32823028-32823039(+)::chr16:32823027-32823039(+) AAGAGGAAGGGC >mm10_chr16:32823050-32823061(+)::chr16:32823049-32823061(+) TGGAGGAAGGGG >mm10_chr16:32836512-32836523(-)::chr16:32836511-32836523(-) AGGAGGAAGATA >mm10_chr16:32836524-32836535(-)::chr16:32836523-32836535(-) AGGAGGAAGTAG >mm10_chr16:32868344-32868355(-)::chr16:32868343-32868355(-) AGCCGGAAGTGG >mm10_chr16:32931681-32931692(+)::chr16:32931680-32931692(+) GTGAGGAAGATA >mm10_chr16:32945489-32945500(+)::chr16:32945488-32945500(+) GTGAGGAAGTTG >mm10_chr16:33056475-33056486(-)::chr16:33056474-33056486(-) AAGAGGAAGGCA >mm10_chr16:33104090-33104101(+)::chr16:33104089-33104101(+) TTGAggaagttc >mm10_chr16:33166856-33166867(+)::chr16:33166855-33166867(+) AACAGGATGTAA >mm10_chr16:33210696-33210707(+)::chr16:33210695-33210707(+) aggaggaagaaa >mm10_chr16:33210709-33210720(+)::chr16:33210708-33210720(+) gagaggaagaag >mm10_chr16:33241237-33241248(-)::chr16:33241236-33241248(-) ACGAGGAAGTGT >mm10_chr16:33242210-33242221(+)::chr16:33242209-33242221(+) AAGAGGAAGAGG >mm10_chr16:33250135-33250146(-)::chr16:33250134-33250146(-) ACGAGGAAATGA >mm10_chr16:33250699-33250710(+)::chr16:33250698-33250710(+) AGGAGGAAGAAA >mm10_chr16:33250729-33250740(+)::chr16:33250728-33250740(+) AAAAGGATGTTT >mm10_chr16:33326737-33326748(-)::chr16:33326736-33326748(-) acaaggaagagg >mm10_chr16:33326765-33326776(+)::chr16:33326764-33326776(+) acaaggaaggtg >mm10_chr16:33368888-33368899(-)::chr16:33368887-33368899(-) tccaggaagtac >mm10_chr16:33380829-33380840(+)::chr16:33380828-33380840(+) aggaggaagaga >mm10_chr16:33380841-33380852(+)::chr16:33380840-33380852(+) aggaggaagagg >mm10_chr16:33380868-33380879(+)::chr16:33380867-33380879(+) gggaggaagagg >mm10_chr16:33431721-33431732(+)::chr16:33431720-33431732(+) AACAGGAAGAGG >mm10_chr16:33433832-33433843(+)::chr16:33433831-33433843(+) ACCAGGAAGGCA >mm10_chr16:33456250-33456261(+)::chr16:33456249-33456261(+) GAAAGGAAATTA >mm10_chr16:33456286-33456297(-)::chr16:33456285-33456297(-) AGGAGGAAATGA >mm10_chr16:33527983-33527994(-)::chr16:33527982-33527994(-) aacaggaaatac >mm10_chr16:33528018-33528029(-)::chr16:33528017-33528029(-) ggaaggaagttg >mm10_chr16:33545663-33545674(+)::chr16:33545662-33545674(+) CCAAGGAAGAGC >mm10_chr16:33563153-33563164(+)::chr16:33563152-33563164(+) AGAAGGAAGGAA >mm10_chr16:33563157-33563168(+)::chr16:33563156-33563168(+) GGAAGGAAGAAG >mm10_chr16:33563200-33563211(-)::chr16:33563199-33563211(-) AGGAGGAAGACA >mm10_chr16:33584043-33584054(-)::chr16:33584042-33584054(-) AGAAGGAAATAC >mm10_chr16:33591850-33591861(-)::chr16:33591849-33591861(-) AGTAGGAAGTCG >mm10_chr16:33591890-33591901(-)::chr16:33591889-33591901(-) AGCAGGAAGTCA >mm10_chr16:33591925-33591936(-)::chr16:33591924-33591936(-) TAGAGGAAGCGG >mm10_chr16:33655240-33655251(+)::chr16:33655239-33655251(+) ggcaggaagact >mm10_chr16:33659008-33659019(-)::chr16:33659007-33659019(-) ATGAGGAAGTCC >mm10_chr16:33675373-33675384(-)::chr16:33675372-33675384(-) ATAAGGAAACAG >mm10_chr16:33680282-33680293(+)::chr16:33680281-33680293(+) ATGGGGAAGTAG >mm10_chr16:33682889-33682900(-)::chr16:33682888-33682900(-) AACAGGAAGGCT >mm10_chr16:33691469-33691480(+)::chr16:33691468-33691480(+) AAGAGGAAGCTA >mm10_chr16:33691517-33691528(+)::chr16:33691516-33691528(+) AAGAGGAAGAAG >mm10_chr16:33696904-33696915(-)::chr16:33696903-33696915(-) aggaggaagagg >mm10_chr16:33734432-33734443(+)::chr16:33734431-33734443(+) AGCAGGAACTTA >mm10_chr16:33785525-33785536(+)::chr16:33785524-33785536(+) AAGAGGAAGTAG >mm10_chr16:33821043-33821054(-)::chr16:33821042-33821054(-) gcaaggaagtca >mm10_chr16:33828866-33828877(-)::chr16:33828865-33828877(-) aagaggaagaag >mm10_chr16:33829147-33829158(-)::chr16:33829146-33829158(-) TGGAGGAAGCGA >mm10_chr16:33836839-33836850(-)::chr16:33836838-33836850(-) GGAAGGAAGAAG >mm10_chr16:33842719-33842730(+)::chr16:33842718-33842730(+) AGGAGGAAGGAG >mm10_chr16:33843827-33843838(-)::chr16:33843826-33843838(-) aagaggaagcag >mm10_chr16:33843833-33843844(-)::chr16:33843832-33843844(-) AGaaggaagagg >mm10_chr16:33845826-33845837(-)::chr16:33845825-33845837(-) taaaggaagagc >mm10_chr16:33845836-33845847(+)::chr16:33845835-33845847(+) taaaggaagttt >mm10_chr16:33865187-33865198(-)::chr16:33865186-33865198(-) aggaggaagaag >mm10_chr16:33865199-33865210(-)::chr16:33865198-33865210(-) gggaggaagagg >mm10_chr16:33865215-33865226(-)::chr16:33865214-33865226(-) aggaggaagggg >mm10_chr16:33865236-33865247(-)::chr16:33865235-33865247(-) aggaggaaggag >mm10_chr16:33872514-33872525(-)::chr16:33872513-33872525(-) ACTAGGAAGTGG >mm10_chr16:33872555-33872566(-)::chr16:33872554-33872566(-) AGAAGGAAGTGC >mm10_chr16:33875934-33875945(+)::chr16:33875933-33875945(+) CGGAGGAAATGA >mm10_chr16:33875959-33875970(-)::chr16:33875958-33875970(-) AAGCGGAAGTTA >mm10_chr16:33878345-33878356(-)::chr16:33878344-33878356(-) AGTAGGAAGTCT >mm10_chr16:33932457-33932468(-)::chr16:33932456-33932468(-) AACAGGAAGGGG >mm10_chr16:33951090-33951101(-)::chr16:33951089-33951101(-) ATGAGGAAATCA >mm10_chr16:33967102-33967113(-)::chr16:33967101-33967113(-) ACCAGGAAGCAT >mm10_chr16:34000822-34000833(+)::chr16:34000821-34000833(+) ATAAGGAAATGG >mm10_chr16:34119857-34119868(+)::chr16:34119856-34119868(+) ACCAGGAAGATG >mm10_chr16:34119878-34119889(+)::chr16:34119877-34119889(+) TGCGGGAAGTCC >mm10_chr16:34164157-34164168(-)::chr16:34164156-34164168(-) ACAAGGAAGTGT >mm10_chr16:34310063-34310074(+)::chr16:34310062-34310074(+) GACAGGAAGGCA >mm10_chr16:34310093-34310104(-)::chr16:34310092-34310104(-) GTGAGGAAGTAA >mm10_chr16:34310105-34310116(-)::chr16:34310104-34310116(-) GGGAGGAAGGGT >mm10_chr16:34310119-34310130(+)::chr16:34310118-34310130(+) AGAAGGAAGTTC >mm10_chr16:34324037-34324048(-)::chr16:34324036-34324048(-) AGAAGGAAGAGG >mm10_chr16:34332668-34332679(+)::chr16:34332667-34332679(+) TCCAGGAAGTTC >mm10_chr16:34336026-34336037(+)::chr16:34336025-34336037(+) ATGAGGAAGGAT >mm10_chr16:34336080-34336091(+)::chr16:34336079-34336091(+) ttaaggaaggaa >mm10_chr16:34336084-34336095(+)::chr16:34336083-34336095(+) ggaaggaagggg >mm10_chr16:34339998-34340009(-)::chr16:34339997-34340009(-) AGGAGGAAGCTA >mm10_chr16:34347692-34347703(+)::chr16:34347691-34347703(+) GCGGGGAAGCGA >mm10_chr16:34347705-34347716(-)::chr16:34347704-34347716(-) GCAAGGAAGTAT >mm10_chr16:34351294-34351305(+)::chr16:34351293-34351305(+) AAGAGGAAGGAC >mm10_chr16:34351901-34351912(-)::chr16:34351900-34351912(-) ATAAGGAAGCTG >mm10_chr16:34371440-34371451(+)::chr16:34371439-34371451(+) AGGAGGAAGGAC >mm10_chr16:34371486-34371497(+)::chr16:34371485-34371497(+) AGAAGGAACTGC >mm10_chr16:34395759-34395770(+)::chr16:34395758-34395770(+) TTAAGGAAGCAG >mm10_chr16:34414042-34414053(+)::chr16:34414041-34414053(+) GAAAGGAAATGA >mm10_chr16:34414081-34414092(+)::chr16:34414080-34414092(+) AGAAGGAAGGGG >mm10_chr16:34439775-34439786(+)::chr16:34439774-34439786(+) GCTAGGAAGGTA >mm10_chr16:34451861-34451872(-)::chr16:34451860-34451872(-) AGCAGGAAGTGT >mm10_chr16:34623853-34623864(+)::chr16:34623852-34623864(+) TAAAGGAAGAAT >mm10_chr16:34624197-34624208(-)::chr16:34624196-34624208(-) AGGGGGAAGTAT >mm10_chr16:34699913-34699924(-)::chr16:34699912-34699924(-) AGAAGGAAATAT >mm10_chr16:34700415-34700426(+)::chr16:34700414-34700426(+) AGAAGGAAGTCC >mm10_chr16:34782121-34782132(-)::chr16:34782120-34782132(-) AAGAGGAAGAAA >mm10_chr16:34878775-34878786(+)::chr16:34878774-34878786(+) CTAAGGAAGTCT >mm10_chr16:34937847-34937858(-)::chr16:34937846-34937858(-) AGGAGGAAGTTC >mm10_chr16:34956136-34956147(-)::chr16:34956135-34956147(-) gggaggaagagg >mm10_chr16:34986647-34986658(-)::chr16:34986646-34986658(-) TACAGGAAGGCC >mm10_chr16:34986671-34986682(-)::chr16:34986670-34986682(-) ACCAGGAAGGGG >mm10_chr16:34986707-34986718(+)::chr16:34986706-34986718(+) AAGAGGAAGGAG >mm10_chr16:34991469-34991480(+)::chr16:34991468-34991480(+) GACAGGAAGAGA >mm10_chr16:35052038-35052049(-)::chr16:35052037-35052049(-) ggcaggaagact >mm10_chr16:35055158-35055169(-)::chr16:35055157-35055169(-) TGAAGGAAGCAA >mm10_chr16:35055209-35055220(-)::chr16:35055208-35055220(-) ATCAGGAAGCAA >mm10_chr16:35166910-35166921(-)::chr16:35166909-35166921(-) agcaggaagaac >mm10_chr16:35166922-35166933(-)::chr16:35166921-35166933(-) accaggaagaag >mm10_chr16:35166937-35166948(-)::chr16:35166936-35166948(-) agaaggaagcaa >mm10_chr16:35175158-35175169(+)::chr16:35175157-35175169(+) aagaggaagcag >mm10_chr16:35175181-35175192(-)::chr16:35175180-35175192(-) agaaggaagctt >mm10_chr16:35203589-35203600(-)::chr16:35203588-35203600(-) ttcaggaagtca >mm10_chr16:35206961-35206972(+)::chr16:35206960-35206972(+) AGGAGGAAGCTG >mm10_chr16:35211461-35211472(-)::chr16:35211460-35211472(-) aggaggaagagg >mm10_chr16:35211479-35211490(-)::chr16:35211478-35211490(-) aggaggaagaag >mm10_chr16:35211506-35211517(-)::chr16:35211505-35211517(-) aagaggaagagg >mm10_chr16:35211512-35211523(-)::chr16:35211511-35211523(-) aagaggaagagg >mm10_chr16:35211518-35211529(-)::chr16:35211517-35211529(-) aggaggaagagg >mm10_chr16:35223651-35223662(-)::chr16:35223650-35223662(-) GGAAGGAAGGAC >mm10_chr16:35223655-35223666(-)::chr16:35223654-35223666(-) GGAAGGAAGGAA >mm10_chr16:35223659-35223670(-)::chr16:35223658-35223670(-) AGAAGGAAGGAA >mm10_chr16:35230886-35230897(-)::chr16:35230885-35230897(-) AGCAGGAAGAAC >mm10_chr16:35232361-35232372(+)::chr16:35232360-35232372(+) AGGAGGAAGCCG >mm10_chr16:35238017-35238028(-)::chr16:35238016-35238028(-) atgaggaagacg >mm10_chr16:35244216-35244227(-)::chr16:35244215-35244227(-) gggaggaaggtg >mm10_chr16:35244274-35244285(+)::chr16:35244273-35244285(+) aggaggaagttt >mm10_chr16:35249088-35249099(-)::chr16:35249087-35249099(-) TGCAGGAAATAC >mm10_chr16:35251905-35251916(+)::chr16:35251904-35251916(+) AAGAGGAAGGGA >mm10_chr16:35319726-35319737(-)::chr16:35319725-35319737(-) TGAAGGAAGTTG >mm10_chr16:35326992-35327003(-)::chr16:35326991-35327003(-) TAAAGGAAGCTT >mm10_chr16:35327024-35327035(+)::chr16:35327023-35327035(+) AAGAGGAAGGAA >mm10_chr16:35360667-35360678(-)::chr16:35360666-35360678(-) cgaaggaaaaag >mm10_chr16:35407146-35407157(-)::chr16:35407145-35407157(-) CTGAGGAAGTGA >mm10_chr16:35568818-35568829(-)::chr16:35568817-35568829(-) GAGAGGAAGAAC >mm10_chr16:35584201-35584212(+)::chr16:35584200-35584212(+) aagaggaagagg >mm10_chr16:35584207-35584218(+)::chr16:35584206-35584218(+) aagaggaagaag >mm10_chr16:35584220-35584231(+)::chr16:35584219-35584231(+) aggagGAAGGAA >mm10_chr16:35585579-35585590(-)::chr16:35585578-35585590(-) ACCAGGAAGGGC >mm10_chr16:35585616-35585627(+)::chr16:35585615-35585627(+) TCAGGGAAGTAG >mm10_chr16:35585639-35585650(-)::chr16:35585638-35585650(-) AGACGGAAGACT >mm10_chr16:35588829-35588840(-)::chr16:35588828-35588840(-) ATAAGGATGTCT >mm10_chr16:35739738-35739749(+)::chr16:35739737-35739749(+) AAGAGGAAGTCA >mm10_chr16:35821847-35821858(-)::chr16:35821846-35821858(-) AGAAGGAAGAAG >mm10_chr16:35821854-35821865(-)::chr16:35821853-35821865(-) AGCAGGAAGAAG >mm10_chr16:35830154-35830165(+)::chr16:35830153-35830165(+) acccggaagtcc >mm10_chr16:35869276-35869287(-)::chr16:35869275-35869287(-) AGTAGGAAGTCA >mm10_chr16:35871363-35871374(+)::chr16:35871362-35871374(+) ACTAGGAAGCGG >mm10_chr16:35871420-35871431(-)::chr16:35871419-35871431(-) CGAAAGAAGTTA >mm10_chr16:35880116-35880127(-)::chr16:35880115-35880127(-) gagaggaagaag >mm10_chr16:35896048-35896059(-)::chr16:35896047-35896059(-) TGAAGGAAGAAA >mm10_chr16:35904544-35904555(+)::chr16:35904543-35904555(+) AGGAGGAAATGA >mm10_chr16:35912736-35912747(-)::chr16:35912735-35912747(-) AGGAGGAAGGTT >mm10_chr16:35914719-35914730(-)::chr16:35914718-35914730(-) aaaaggaaggaa >mm10_chr16:35936006-35936017(-)::chr16:35936005-35936017(-) gagaggaagttt >mm10_chr16:35937299-35937310(+)::chr16:35937298-35937310(+) tgcaggaagtga >mm10_chr16:35937327-35937338(+)::chr16:35937326-35937338(+) aggaggaagttt >mm10_chr16:35938410-35938421(-)::chr16:35938409-35938421(-) aggaggaaatcc >mm10_chr16:35939845-35939856(+)::chr16:35939844-35939856(+) acaaggaaaaag >mm10_chr16:35939852-35939863(+)::chr16:35939851-35939863(+) aaaaggaagttt >mm10_chr16:35956934-35956945(+)::chr16:35956933-35956945(+) TTGAGGAAGTTT >mm10_chr16:35956964-35956975(+)::chr16:35956963-35956975(+) ACAAGGAAAAAA >mm10_chr16:36041068-36041079(-)::chr16:36041067-36041079(-) GACAGGAAGTTG >mm10_chr16:36313556-36313567(-)::chr16:36313555-36313567(-) gggaggaagtta >mm10_chr16:36336873-36336884(-)::chr16:36336872-36336884(-) acacggaaggtc >mm10_chr16:36336907-36336918(-)::chr16:36336906-36336918(-) TGCAGGAAGAGA >mm10_chr16:36436977-36436988(+)::chr16:36436976-36436988(+) agaaggaagtag >mm10_chr16:36437016-36437027(+)::chr16:36437015-36437027(+) gagaggaaggaa >mm10_chr16:36437020-36437031(+)::chr16:36437019-36437031(+) ggaaggaagtta >mm10_chr16:36437043-36437054(-)::chr16:36437042-36437054(-) acaaggaagagc >mm10_chr16:36455557-36455568(+)::chr16:36455556-36455568(+) TGAAGGAAATGA >mm10_chr16:36455609-36455620(-)::chr16:36455608-36455620(-) TGCAGGAAGGAG >mm10_chr16:36519134-36519145(-)::chr16:36519133-36519145(-) atagggaaatag >mm10_chr16:36626950-36626961(+)::chr16:36626949-36626961(+) AGCAGGAAGTGG >mm10_chr16:36627038-36627049(+)::chr16:36627037-36627049(+) AGGAGGAAGAGC >mm10_chr16:36672274-36672285(-)::chr16:36672273-36672285(-) aggaggaagccg >mm10_chr16:36674602-36674613(-)::chr16:36674601-36674613(-) TAGAGGAAGTAG >mm10_chr16:36674619-36674630(-)::chr16:36674618-36674630(-) AAAAGGAAATGT >mm10_chr16:36691732-36691743(-)::chr16:36691731-36691743(-) ACGAGGAAATGG >mm10_chr16:36708886-36708897(-)::chr16:36708885-36708897(-) GGAAGGAAGAGC >mm10_chr16:36732672-36732683(+)::chr16:36732671-36732683(+) gacaggaagaag >mm10_chr16:36732679-36732690(+)::chr16:36732678-36732690(+) agaaggaagatt >mm10_chr16:36784604-36784615(-)::chr16:36784603-36784615(-) ATACGGAAGTCT >mm10_chr16:36790195-36790206(+)::chr16:36790194-36790206(+) ACAAGGAAGACC >mm10_chr16:36808517-36808528(-)::chr16:36808516-36808528(-) AGCAGGAAGAGT >mm10_chr16:36815869-36815880(+)::chr16:36815868-36815880(+) AGAAGGAAAGAA >mm10_chr16:36821737-36821748(+)::chr16:36821736-36821748(+) AGAAGGAAGCAC >mm10_chr16:36874969-36874980(-)::chr16:36874968-36874980(-) AGAAGGACGTGC >mm10_chr16:36875002-36875013(+)::chr16:36875001-36875013(+) TTGAGGAAGTGG >mm10_chr16:36875720-36875731(+)::chr16:36875719-36875731(+) AGAAGGAAGGGA >mm10_chr16:36876268-36876279(-)::chr16:36876267-36876279(-) TCAAGGAAGTAA >mm10_chr16:36876298-36876309(-)::chr16:36876297-36876309(-) GGACGGAAGCAT >mm10_chr16:36879300-36879311(-)::chr16:36879299-36879311(-) ACAAGGAAAATG >mm10_chr16:36904177-36904188(-)::chr16:36904176-36904188(-) AACAGGAAGAGA >mm10_chr16:36920554-36920565(-)::chr16:36920553-36920565(-) ACCAGGAAATCA >mm10_chr16:36934902-36934913(+)::chr16:36934901-36934913(+) ATAAGGAACTGA >mm10_chr16:36934921-36934932(+)::chr16:36934920-36934932(+) TTCAGGAAGTAG >mm10_chr16:36934948-36934959(+)::chr16:36934947-36934959(+) GGCAGGAAATGA >mm10_chr16:37055969-37055980(-)::chr16:37055968-37055980(-) aggaggaagagg >mm10_chr16:37055982-37055993(-)::chr16:37055981-37055993(-) ggaaggaagaag >mm10_chr16:37055986-37055997(-)::chr16:37055985-37055997(-) aggaggaaggaa >mm10_chr16:37055996-37056007(-)::chr16:37055995-37056007(-) aggaggaaggag >mm10_chr16:37056015-37056026(-)::chr16:37056014-37056026(-) gggaggaagaag >mm10_chr16:37056031-37056042(-)::chr16:37056030-37056042(-) aggaggaagatg >mm10_chr16:37056054-37056065(-)::chr16:37056053-37056065(-) aggaggaagggg >mm10_chr16:37101823-37101834(+)::chr16:37101822-37101834(+) ccagggaagtga >mm10_chr16:37186322-37186333(-)::chr16:37186321-37186333(-) AGAAGGAAGAGC >mm10_chr16:37186336-37186347(+)::chr16:37186335-37186347(+) AAAAGGAAGCGC >mm10_chr16:37319268-37319279(-)::chr16:37319267-37319279(-) accaggaagata >mm10_chr16:37319284-37319295(+)::chr16:37319283-37319295(+) agaagaaagttg >mm10_chr16:37428728-37428739(-)::chr16:37428727-37428739(-) AAAAGGAACTTA >mm10_chr16:37555936-37555947(+)::chr16:37555935-37555947(+) agcaggaagacc >mm10_chr16:37555967-37555978(+)::chr16:37555966-37555978(+) atgaggaaatgg >mm10_chr16:37584533-37584544(-)::chr16:37584532-37584544(-) GGGAGGAAGGGA >mm10_chr16:37753002-37753013(+)::chr16:37753001-37753013(+) ACAAAGAAGTAT >mm10_chr16:37781623-37781634(+)::chr16:37781622-37781634(+) AGTAGGAagtgg >mm10_chr16:37814353-37814364(-)::chr16:37814352-37814364(-) AAGAGGAAGTAA >mm10_chr16:37820542-37820553(-)::chr16:37820541-37820553(-) TCCAGGAAGTCA >mm10_chr16:37843985-37843996(-)::chr16:37843984-37843996(-) ACAGGGAAGCCG >mm10_chr16:37847302-37847313(-)::chr16:37847301-37847313(-) cccaggaagttg >mm10_chr16:37870288-37870299(+)::chr16:37870287-37870299(+) tgaaggaagtaa >mm10_chr16:37871332-37871343(-)::chr16:37871331-37871343(-) AGAAGGAAACGA >mm10_chr16:37871352-37871363(+)::chr16:37871351-37871363(+) ATGAGGAAGTTG >mm10_chr16:37878654-37878665(+)::chr16:37878653-37878665(+) GGAAGGCAGTTA >mm10_chr16:37878692-37878703(-)::chr16:37878691-37878703(-) AGGAGGAAGCTG >mm10_chr16:37878699-37878710(-)::chr16:37878698-37878710(-) TTAAGGAAGGAG >mm10_chr16:37881046-37881057(-)::chr16:37881045-37881057(-) ACCAGGAAggcc >mm10_chr16:37944873-37944884(-)::chr16:37944872-37944884(-) aacaggaagcag >mm10_chr16:37944887-37944898(+)::chr16:37944886-37944898(+) ttaaggaagtcc >mm10_chr16:37963082-37963093(+)::chr16:37963081-37963093(+) aagaggaagagg >mm10_chr16:37963088-37963099(+)::chr16:37963087-37963099(+) aagaggaagagg >mm10_chr16:37963094-37963105(+)::chr16:37963093-37963105(+) aagaggaagaaa >mm10_chr16:37963140-37963151(+)::chr16:37963139-37963151(+) GACAGGAAGTAG >mm10_chr16:37991464-37991475(+)::chr16:37991463-37991475(+) GACAGGAAGTGG >mm10_chr16:38003888-38003899(+)::chr16:38003887-38003899(+) ACCAGGAAGCCC >mm10_chr16:38003902-38003913(-)::chr16:38003901-38003913(-) ACCAGGAAGTGG >mm10_chr16:38009549-38009560(-)::chr16:38009548-38009560(-) CTAGGGAAGTCG >mm10_chr16:38017114-38017125(+)::chr16:38017113-38017125(+) CAAAGGAAATTA >mm10_chr16:38047769-38047780(-)::chr16:38047768-38047780(-) TGAAGGAAGTTC >mm10_chr16:38048274-38048285(-)::chr16:38048273-38048285(-) ACAAGGAAGCAT >mm10_chr16:38092680-38092691(+)::chr16:38092679-38092691(+) AAAAGGAAGGGG >mm10_chr16:38110699-38110710(-)::chr16:38110698-38110710(-) ggaaggaaggaa >mm10_chr16:38115904-38115915(+)::chr16:38115903-38115915(+) TCCAGGAAGTAG >mm10_chr16:38115976-38115987(+)::chr16:38115975-38115987(+) GCACGGAAGTGT >mm10_chr16:38131761-38131772(-)::chr16:38131760-38131772(-) ACCAGGAAGAAG >mm10_chr16:38131776-38131787(-)::chr16:38131775-38131787(-) AGAAGGAAATAA >mm10_chr16:38150485-38150496(-)::chr16:38150484-38150496(-) ggaaagaagtat >mm10_chr16:38150541-38150552(-)::chr16:38150540-38150552(-) gtgaggaagaag >mm10_chr16:38181410-38181421(-)::chr16:38181409-38181421(-) ACAAGGAAATAA >mm10_chr16:38271830-38271841(+)::chr16:38271829-38271841(+) ataaggaaaatg >mm10_chr16:38295173-38295184(+)::chr16:38295172-38295184(+) GGGAGGAAATAG >mm10_chr16:38298052-38298063(-)::chr16:38298051-38298063(-) GGAAGGAAGTCT >mm10_chr16:38298829-38298840(+)::chr16:38298828-38298840(+) AGCAGGATGTAC >mm10_chr16:38304930-38304941(+)::chr16:38304929-38304941(+) GACAGGAAGTCG >mm10_chr16:38346969-38346980(-)::chr16:38346968-38346980(-) GGACGGAAGTAA >mm10_chr16:38346990-38347001(-)::chr16:38346989-38347001(-) GACAGGAAGTCC >mm10_chr16:38352318-38352329(-)::chr16:38352317-38352329(-) AGAAGGAAGGTC >mm10_chr16:38395535-38395546(-)::chr16:38395534-38395546(-) ATGAGGAAGGAC >mm10_chr16:38395614-38395625(-)::chr16:38395613-38395625(-) atcaggaagtaa >mm10_chr16:38433138-38433149(-)::chr16:38433137-38433149(-) GAGAGGAAGAAC >mm10_chr16:38433163-38433174(+)::chr16:38433162-38433174(+) ATCAGGAAGTGA >mm10_chr16:38452740-38452751(+)::chr16:38452739-38452751(+) GGACGGAAGGAG >mm10_chr16:38452747-38452758(+)::chr16:38452746-38452758(+) AGGAGGAAGGGG >mm10_chr16:38549863-38549874(-)::chr16:38549862-38549874(-) GGTAGGAAGAGA >mm10_chr16:38553626-38553637(-)::chr16:38553625-38553637(-) aggaggaagtgt >mm10_chr16:38566612-38566623(-)::chr16:38566611-38566623(-) ATCAGGAAGAAG >mm10_chr16:38566640-38566651(+)::chr16:38566639-38566651(+) AGAAGGAAAAAG >mm10_chr16:38566654-38566665(+)::chr16:38566653-38566665(+) ACCAGGAAATCC >mm10_chr16:38603725-38603736(+)::chr16:38603724-38603736(+) GCAAGGAAGAGA >mm10_chr16:38636712-38636723(+)::chr16:38636711-38636723(+) TCAAGGAAGGAG >mm10_chr16:38636943-38636954(+)::chr16:38636942-38636954(+) TCCCGGAAGTAG >mm10_chr16:38652076-38652087(-)::chr16:38652075-38652087(-) GGCAGGAAATGA >mm10_chr16:38669237-38669248(-)::chr16:38669236-38669248(-) ATGAGGAAGGAT >mm10_chr16:38679711-38679722(-)::chr16:38679710-38679722(-) GGAAGAAAGTGA >mm10_chr16:38679737-38679748(+)::chr16:38679736-38679748(+) AAGAGGAAGGGG >mm10_chr16:38680048-38680059(-)::chr16:38680047-38680059(-) TTAAGGAAATTG >mm10_chr16:38688531-38688542(-)::chr16:38688530-38688542(-) AAAAGGATGTCC >mm10_chr16:38695076-38695087(-)::chr16:38695075-38695087(-) agtaggaagtgg >mm10_chr16:38710681-38710692(+)::chr16:38710680-38710692(+) GACAGGAAGCAT >mm10_chr16:38710732-38710743(-)::chr16:38710731-38710743(-) AGGAGGAAGGGA >mm10_chr16:38713070-38713081(+)::chr16:38713069-38713081(+) GGAAGGAAGTCA >mm10_chr16:38713264-38713275(+)::chr16:38713263-38713275(+) CGGAGGAAATCG >mm10_chr16:38713303-38713314(-)::chr16:38713302-38713314(-) GGCAGGAAGCGG >mm10_chr16:38724413-38724424(-)::chr16:38724412-38724424(-) GGAAGGAAGTGT >mm10_chr16:38724417-38724428(-)::chr16:38724416-38724428(-) ACTAGGAAGGAA >mm10_chr16:38742753-38742764(-)::chr16:38742752-38742764(-) GCATGGAAGTAC >mm10_chr16:38756348-38756359(-)::chr16:38756347-38756359(-) GTCAGGAAGCAT >mm10_chr16:38758656-38758667(+)::chr16:38758655-38758667(+) AACAGGAAGTTC >mm10_chr16:38763744-38763755(-)::chr16:38763743-38763755(-) AAAAGGAAGTAC >mm10_chr16:38772313-38772324(-)::chr16:38772312-38772324(-) CCAAGGAAGGAT >mm10_chr16:38811816-38811827(+)::chr16:38811815-38811827(+) ATGAGGAAATGC >mm10_chr16:38902708-38902719(-)::chr16:38902707-38902719(-) TCCGGGAAGTGG >mm10_chr16:39857749-39857760(+)::chr16:39857748-39857760(+) GTAAGGAAGAAA >mm10_chr16:39857753-39857764(+)::chr16:39857752-39857764(+) GGAAGAAAGTAC >mm10_chr16:39857768-39857779(+)::chr16:39857767-39857779(+) AGCAGGAAGATG >mm10_chr16:39989061-39989072(+)::chr16:39989060-39989072(+) TGAAGGAACTTA >mm10_chr16:40197003-40197014(-)::chr16:40197002-40197014(-) GTCAGGAAGTCA >mm10_chr16:40243051-40243062(-)::chr16:40243050-40243062(-) GCCAGGAAGAGG >mm10_chr16:40243239-40243250(+)::chr16:40243238-40243250(+) AAGAGGAAGATA >mm10_chr16:40243297-40243308(-)::chr16:40243296-40243308(-) GAAAGGAAGCAC >mm10_chr16:40243897-40243908(-)::chr16:40243896-40243908(-) ACAAGGATGTGC >mm10_chr16:40580393-40580404(-)::chr16:40580392-40580404(-) ACAAGGGAGTAT >mm10_chr16:40832490-40832501(+)::chr16:40832489-40832501(+) AGCAGGAAGGAT >mm10_chr16:41945127-41945138(-)::chr16:41945126-41945138(-) CAAAGGAAATCA >mm10_chr16:42165234-42165245(-)::chr16:42165233-42165245(-) GGAAGGAAGAAA >mm10_chr16:42190328-42190339(+)::chr16:42190327-42190339(+) GGCAGGAAGTGC >mm10_chr16:42265358-42265369(+)::chr16:42265357-42265369(+) tccaggaagtgg >mm10_chr16:42410358-42410369(-)::chr16:42410357-42410369(-) ataaggaacaca >mm10_chr16:42633163-42633174(-)::chr16:42633162-42633174(-) AGCAGGAAGTGG >mm10_chr16:42784873-42784884(-)::chr16:42784872-42784884(-) CCAAGGAAGTAA >mm10_chr16:42784922-42784933(-)::chr16:42784921-42784933(-) AACAGGAAATTA >mm10_chr16:42789929-42789940(-)::chr16:42789928-42789940(-) ACAAGGAAGACT >mm10_chr16:42789946-42789957(+)::chr16:42789945-42789957(+) atgaggaaatga >mm10_chr16:42874575-42874586(-)::chr16:42874574-42874586(-) AGCAGGAAGCCA >mm10_chr16:42874602-42874613(-)::chr16:42874601-42874613(-) AGGAGGAAGGGG >mm10_chr16:42875709-42875720(+)::chr16:42875708-42875720(+) AGGAGGAAGAGT >mm10_chr16:42894060-42894071(-)::chr16:42894059-42894071(-) ATAAGGAAATAG >mm10_chr16:42910248-42910259(+)::chr16:42910247-42910259(+) ATGAGGAAGTGC >mm10_chr16:42938249-42938260(-)::chr16:42938248-42938260(-) GAAGGGAAGTCA >mm10_chr16:42946096-42946107(+)::chr16:42946095-42946107(+) accaggaagagg >mm10_chr16:43047750-43047761(-)::chr16:43047749-43047761(-) agcaggaagaaa >mm10_chr16:43108683-43108694(+)::chr16:43108682-43108694(+) ACCAGGAAGCCA >mm10_chr16:43114123-43114134(-)::chr16:43114122-43114134(-) AGCAGGAAATGA >mm10_chr16:43114150-43114161(+)::chr16:43114149-43114161(+) TAAAGGAATTGT >mm10_chr16:43128079-43128090(+)::chr16:43128078-43128090(+) AAGAGGAAGCAA >mm10_chr16:43128138-43128149(+)::chr16:43128137-43128149(+) AGGAGGAAGATA >mm10_chr16:43143751-43143762(-)::chr16:43143750-43143762(-) ataaggaaggga >mm10_chr16:43144484-43144495(-)::chr16:43144483-43144495(-) atcaggaaatgg >mm10_chr16:43144714-43144725(-)::chr16:43144713-43144725(-) aagaggaaatgt >mm10_chr16:43215471-43215482(-)::chr16:43215470-43215482(-) aagaggaaatgg >mm10_chr16:43215477-43215488(-)::chr16:43215476-43215488(-) aggaggaagagg >mm10_chr16:43217470-43217481(-)::chr16:43217469-43217481(-) CCCAGGAAGTTT >mm10_chr16:43217506-43217517(+)::chr16:43217505-43217517(+) TAAAGGAAGAAC >mm10_chr16:43217532-43217543(+)::chr16:43217531-43217543(+) TCAAGGAAGTTG >mm10_chr16:43258745-43258756(+)::chr16:43258744-43258756(+) AATAGGAAGACA >mm10_chr16:43278778-43278789(+)::chr16:43278777-43278789(+) AGCAGGAAGCTG >mm10_chr16:43297533-43297544(-)::chr16:43297532-43297544(-) GTGAGGAAGAAC >mm10_chr16:43297550-43297561(-)::chr16:43297549-43297561(-) AGAAGGAAATAA >mm10_chr16:43335529-43335540(-)::chr16:43335528-43335540(-) ACGAGGAAGCCC >mm10_chr16:43335808-43335819(+)::chr16:43335807-43335819(+) CAAAGGAAGAAC >mm10_chr16:43351415-43351426(-)::chr16:43351414-43351426(-) ATGAGGAAGAGT >mm10_chr16:43351423-43351434(-)::chr16:43351422-43351434(-) AACAGGAAATGA >mm10_chr16:43364255-43364266(+)::chr16:43364254-43364266(+) AGGAGGAAGGTA >mm10_chr16:43364284-43364295(+)::chr16:43364283-43364295(+) GGGAGGAAGAGC >mm10_chr16:43364309-43364320(+)::chr16:43364308-43364320(+) AGGGGGAAGTGA >mm10_chr16:43381309-43381320(+)::chr16:43381308-43381320(+) AACAGGAAGTAC >mm10_chr16:43410469-43410480(+)::chr16:43410468-43410480(+) AGACGGAAGGAG >mm10_chr16:43413330-43413341(+)::chr16:43413329-43413341(+) ACAAGGAAATCG >mm10_chr16:43420116-43420127(-)::chr16:43420115-43420127(-) TAGAGGAAGTCT >mm10_chr16:43420134-43420145(+)::chr16:43420133-43420145(+) ATAAGGAAATAC >mm10_chr16:43470561-43470572(+)::chr16:43470560-43470572(+) gtaaggaagagc >mm10_chr16:43479039-43479050(-)::chr16:43479038-43479050(-) ATTAGGAAGTGT >mm10_chr16:43506551-43506562(-)::chr16:43506550-43506562(-) TCCAGGAAGAAA >mm10_chr16:43528128-43528139(+)::chr16:43528127-43528139(+) TGAAGGAAGGGA >mm10_chr16:43528133-43528144(+)::chr16:43528132-43528144(+) GAAGGGAAGTGA >mm10_chr16:43528173-43528184(+)::chr16:43528172-43528184(+) TTGAGGAAGGCG >mm10_chr16:43573669-43573680(+)::chr16:43573668-43573680(+) GGGAGGAAGTGC >mm10_chr16:43579365-43579376(-)::chr16:43579364-43579376(-) GCCAGGAAGGTG >mm10_chr16:43587238-43587249(-)::chr16:43587237-43587249(-) aagaggaagagg >mm10_chr16:43587244-43587255(-)::chr16:43587243-43587255(-) aagaggaagagg >mm10_chr16:43587250-43587261(-)::chr16:43587249-43587261(-) aagaggaagagg >mm10_chr16:43587256-43587267(-)::chr16:43587255-43587267(-) CTAaggaagagg >mm10_chr16:43647164-43647175(-)::chr16:43647163-43647175(-) AGAAGGAAGTGA >mm10_chr16:43655416-43655427(+)::chr16:43655415-43655427(+) AAGAGGAAGGAT >mm10_chr16:43754083-43754094(-)::chr16:43754082-43754094(-) AGGAGGAAATTA >mm10_chr16:43754112-43754123(-)::chr16:43754111-43754123(-) GGAAGGAAATCA >mm10_chr16:43754116-43754127(-)::chr16:43754115-43754127(-) TGCAGGAAGGAA >mm10_chr16:43863288-43863299(-)::chr16:43863287-43863299(-) ACCAGGAAGACT >mm10_chr16:43863307-43863318(+)::chr16:43863306-43863318(+) AGAAGGAAGTCA >mm10_chr16:43863325-43863336(+)::chr16:43863324-43863336(+) ACGAGGAAGTGA >mm10_chr16:43930087-43930098(-)::chr16:43930086-43930098(-) AACAGGAAGTGG >mm10_chr16:43930363-43930374(+)::chr16:43930362-43930374(+) ACAGGGAAGTTA >mm10_chr16:43969298-43969309(-)::chr16:43969297-43969309(-) AGAGGGAAGTGC >mm10_chr16:43969342-43969353(+)::chr16:43969341-43969353(+) ATGAGGAAGATG >mm10_chr16:44016361-44016372(-)::chr16:44016360-44016372(-) ACCAGGAAGCAA >mm10_chr16:44090880-44090891(+)::chr16:44090879-44090891(+) AGTAGGAAGCGG >mm10_chr16:44090895-44090906(+)::chr16:44090894-44090906(+) GGAAGGAAGCAG >mm10_chr16:44104564-44104575(+)::chr16:44104563-44104575(+) AGAAGGAAGTAC >mm10_chr16:44109343-44109354(+)::chr16:44109342-44109354(+) ATAGGGAAGGAC >mm10_chr16:44109378-44109389(+)::chr16:44109377-44109389(+) GTAAGGAAATGA >mm10_chr16:44126536-44126547(+)::chr16:44126535-44126547(+) AACAGGAAGGCA >mm10_chr16:44139283-44139294(+)::chr16:44139282-44139294(+) CTAAGGAAGTGA >mm10_chr16:44229930-44229941(-)::chr16:44229929-44229941(-) agtaggaagcaa >mm10_chr16:44229947-44229958(+)::chr16:44229946-44229958(+) taaaggaagaga >mm10_chr16:44281043-44281054(+)::chr16:44281042-44281054(+) CCAAGGAAGCAG >mm10_chr16:44328831-44328842(-)::chr16:44328830-44328842(-) AGGAGGAAGTTG >mm10_chr16:44501950-44501961(+)::chr16:44501949-44501961(+) GTAAGGAAGTGA >mm10_chr16:44503069-44503080(+)::chr16:44503068-44503080(+) AGCAGGAAGCTG >mm10_chr16:44508251-44508262(-)::chr16:44508250-44508262(-) GGCAGGAAGTGC >mm10_chr16:44541682-44541693(+)::chr16:44541681-44541693(+) aggaggaagaag >mm10_chr16:44541691-44541702(+)::chr16:44541690-44541702(+) aagaggaagagg >mm10_chr16:44541715-44541726(+)::chr16:44541714-44541726(+) aggaggaagagg >mm10_chr16:44541721-44541732(+)::chr16:44541720-44541732(+) aagaggaagggg >mm10_chr16:44541733-44541744(+)::chr16:44541732-44541744(+) aggaggaagaga >mm10_chr16:44541748-44541759(+)::chr16:44541747-44541759(+) aggaggaagaag >mm10_chr16:44549197-44549208(+)::chr16:44549196-44549208(+) aacaggaaggtt >mm10_chr16:44550957-44550968(+)::chr16:44550956-44550968(+) GCAAGGAAGCAG >mm10_chr16:44551000-44551011(-)::chr16:44550999-44551011(-) GGAAGGAAGTAG >mm10_chr16:44604394-44604405(+)::chr16:44604393-44604405(+) TGAAGGAAGTCG >mm10_chr16:44604405-44604416(-)::chr16:44604404-44604416(-) AGAAGGAAGCCC >mm10_chr16:44665921-44665932(-)::chr16:44665920-44665932(-) AGCAGGAAGCAC >mm10_chr16:44675621-44675632(-)::chr16:44675620-44675632(-) TTAAGGAAGATC >mm10_chr16:44675637-44675648(-)::chr16:44675636-44675648(-) TTAAGGAAGACA >mm10_chr16:44692038-44692049(+)::chr16:44692037-44692049(+) GACAGGAAGGAG >mm10_chr16:44697624-44697635(-)::chr16:44697623-44697635(-) TAAAGGAAGGCT >mm10_chr16:44698569-44698580(-)::chr16:44698568-44698580(-) gagaggaagaag >mm10_chr16:44702241-44702252(-)::chr16:44702240-44702252(-) AGAAGGAAGCAC >mm10_chr16:44717008-44717019(-)::chr16:44717007-44717019(-) ACGAGGAACTTA >mm10_chr16:44724482-44724493(+)::chr16:44724481-44724493(+) GCAAGGAAGTCC >mm10_chr16:44732163-44732174(+)::chr16:44732162-44732174(+) TCAAGGAAGCTC >mm10_chr16:44844492-44844503(-)::chr16:44844491-44844503(-) AATAGGAAGTAG >mm10_chr16:44890936-44890947(+)::chr16:44890935-44890947(+) GGAAGGAAGTGA >mm10_chr16:44997977-44997988(+)::chr16:44997976-44997988(+) CGGGGGAAGTGC >mm10_chr16:45038842-45038853(-)::chr16:45038841-45038853(-) cagaggaagCGT >mm10_chr16:45111930-45111941(+)::chr16:45111929-45111941(+) gggaggaagtca >mm10_chr16:45121355-45121366(+)::chr16:45121354-45121366(+) CAGAGGAAGTGA >mm10_chr16:45148631-45148642(+)::chr16:45148630-45148642(+) AAGAGGAAGAGA >mm10_chr16:45148671-45148682(+)::chr16:45148670-45148682(+) GTAGGGAAGTAG >mm10_chr16:45151493-45151504(+)::chr16:45151492-45151504(+) TCCAGGAAGTAC >mm10_chr16:45157729-45157740(+)::chr16:45157728-45157740(+) AGACGGAAGACA >mm10_chr16:45158803-45158814(+)::chr16:45158802-45158814(+) AAGAGGAAGGGG >mm10_chr16:45242501-45242512(+)::chr16:45242500-45242512(+) ACGAGGAAGAGA >mm10_chr16:45242516-45242527(+)::chr16:45242515-45242527(+) CCAAGGAAGTCA >mm10_chr16:45246606-45246617(+)::chr16:45246605-45246617(+) AAAGGGAAGTAG >mm10_chr16:45246623-45246634(+)::chr16:45246622-45246634(+) AAGAGGAAGGGT >mm10_chr16:45257854-45257865(+)::chr16:45257853-45257865(+) ATGAGGAAATCA >mm10_chr16:45331968-45331979(+)::chr16:45331967-45331979(+) agCAGGAAGTGT >mm10_chr16:45356354-45356365(-)::chr16:45356353-45356365(-) GCCAGGAAGTGG >mm10_chr16:45356403-45356414(-)::chr16:45356402-45356414(-) ATAAGGAAGCAT >mm10_chr16:45643447-45643458(+)::chr16:45643446-45643458(+) tagaggaaggag >mm10_chr16:45644573-45644584(-)::chr16:45644572-45644584(-) ATCGGGAAGTCC >mm10_chr16:45644596-45644607(+)::chr16:45644595-45644607(+) ACCAGGAAGTAT >mm10_chr16:45651685-45651696(+)::chr16:45651684-45651696(+) agaaggaaggaa >mm10_chr16:45651689-45651700(+)::chr16:45651688-45651700(+) ggaaggaagggc >mm10_chr16:45651697-45651708(-)::chr16:45651696-45651708(-) ataaggaagccc >mm10_chr16:45651732-45651743(-)::chr16:45651731-45651743(-) tcaaggaaggcc >mm10_chr16:45651741-45651752(+)::chr16:45651740-45651752(+) tgagggaagtca >mm10_chr16:45652457-45652468(+)::chr16:45652456-45652468(+) ACAAGGAAGTCT >mm10_chr16:45652493-45652504(+)::chr16:45652492-45652504(+) ACAAGGAAGTCA >mm10_chr16:45757605-45757616(+)::chr16:45757604-45757616(+) TAGAGGAAGTTT >mm10_chr16:45757617-45757628(+)::chr16:45757616-45757628(+) TGTAGGAAGTTG >mm10_chr16:45843562-45843573(-)::chr16:45843561-45843573(-) GGCAGGAAATTA >mm10_chr16:45865189-45865200(-)::chr16:45865188-45865200(-) AAGAGGAAGTCC >mm10_chr16:45865195-45865206(-)::chr16:45865194-45865206(-) AGGAGGAAGAGG >mm10_chr16:45881119-45881130(-)::chr16:45881118-45881130(-) agcaggaaggag >mm10_chr16:45881126-45881137(-)::chr16:45881125-45881137(-) agaaggaagcag >mm10_chr16:45881148-45881159(-)::chr16:45881147-45881159(-) gcaaggaaggag >mm10_chr16:45886662-45886673(-)::chr16:45886661-45886673(-) AACAGGAAGTAG >mm10_chr16:45936197-45936208(-)::chr16:45936196-45936208(-) ACAAGGAAGTGT >mm10_chr16:45974418-45974429(-)::chr16:45974417-45974429(-) AGCAGGAAGTCT >mm10_chr16:45993676-45993687(-)::chr16:45993675-45993687(-) AGAAGGAAGTAA >mm10_chr16:46008204-46008215(+)::chr16:46008203-46008215(+) ACCAGGAAGGAG >mm10_chr16:46011057-46011068(-)::chr16:46011056-46011068(-) TCGAGGAAGTGT >mm10_chr16:46019817-46019828(-)::chr16:46019816-46019828(-) AAAAGGAACTTT >mm10_chr16:46020562-46020573(+)::chr16:46020561-46020573(+) TCAAGGAAGAAG >mm10_chr16:46095032-46095043(+)::chr16:46095031-46095043(+) TGCAGGAAGGAA >mm10_chr16:46095036-46095047(+)::chr16:46095035-46095047(+) GGAAGGAAATGT >mm10_chr16:46204045-46204056(-)::chr16:46204044-46204056(-) GGAAGGAAGTAT >mm10_chr16:46204049-46204060(-)::chr16:46204048-46204060(-) ACAAGGAAGGAA >mm10_chr16:46204083-46204094(-)::chr16:46204082-46204094(-) TGGAGGAAGTCT >mm10_chr16:46204097-46204108(+)::chr16:46204096-46204108(+) GGGAGGAAGAAC >mm10_chr16:46249371-46249382(-)::chr16:46249370-46249382(-) TCCAGGAAGGAA >mm10_chr16:46305922-46305933(-)::chr16:46305921-46305933(-) ACAAGGAAATGC >mm10_chr16:46410404-46410415(-)::chr16:46410403-46410415(-) AGGAGGAAGTGA >mm10_chr16:46537478-46537489(-)::chr16:46537477-46537489(-) GACAGGAAGTTC >mm10_chr16:46559812-46559823(-)::chr16:46559811-46559823(-) aagaggaagagg >mm10_chr16:46559818-46559829(-)::chr16:46559817-46559829(-) aagaggaagagg >mm10_chr16:46559824-46559835(-)::chr16:46559823-46559835(-) aagaggaagagg >mm10_chr16:46559830-46559841(-)::chr16:46559829-46559841(-) aggaggaagagg >mm10_chr16:46583061-46583072(+)::chr16:46583060-46583072(+) agaaggaagggg >mm10_chr16:46583092-46583103(+)::chr16:46583091-46583103(+) ggaaggaagtga >mm10_chr16:46663821-46663832(-)::chr16:46663820-46663832(-) AACAGGAAATAT >mm10_chr16:46877293-46877304(-)::chr16:46877292-46877304(-) GACAGGAAGCAG >mm10_chr16:46877301-46877312(-)::chr16:46877300-46877312(-) TCGAGGAAGACA >mm10_chr16:47467322-47467333(+)::chr16:47467321-47467333(+) agaaggaaggcc >mm10_chr16:48190525-48190536(+)::chr16:48190524-48190536(+) ACGAGGAAGGAG >mm10_chr16:48190536-48190547(+)::chr16:48190535-48190547(+) GGCAGGAAATGA >mm10_chr16:48195603-48195614(-)::chr16:48195602-48195614(-) GTACGGAAATGC >mm10_chr16:48297489-48297500(-)::chr16:48297488-48297500(-) GGGAGGAAGAAG >mm10_chr16:48500944-48500955(-)::chr16:48500943-48500955(-) AGAAGGAATTCC >mm10_chr16:48614215-48614226(-)::chr16:48614214-48614226(-) CCAGGGAAGTGA >mm10_chr16:48632548-48632559(-)::chr16:48632547-48632559(-) agcaggaagcag >mm10_chr16:48799716-48799727(-)::chr16:48799715-48799727(-) GCCAGGAAGGGA >mm10_chr16:48799729-48799740(-)::chr16:48799728-48799740(-) ACAAGGAAAAGA >mm10_chr16:48801842-48801853(+)::chr16:48801841-48801853(+) ATAAGGAAGTTG >mm10_chr16:48810198-48810209(-)::chr16:48810197-48810209(-) gagaggaagaga >mm10_chr16:48810590-48810601(-)::chr16:48810589-48810601(-) GCTAGGAAGTAG >mm10_chr16:48812176-48812187(+)::chr16:48812175-48812187(+) AACAGGAAATGC >mm10_chr16:48832149-48832160(-)::chr16:48832148-48832160(-) TGGAGGAAGTTC >mm10_chr16:48891064-48891075(+)::chr16:48891063-48891075(+) GACAGGAAGGGA >mm10_chr16:48891083-48891094(+)::chr16:48891082-48891094(+) AGGAGGAAATCC >mm10_chr16:48974354-48974365(+)::chr16:48974353-48974365(+) aacaggaagtgg >mm10_chr16:48987361-48987372(-)::chr16:48987360-48987372(-) TATAGGAAGACA >mm10_chr16:49037227-49037238(+)::chr16:49037226-49037238(+) aagaggaaggtg >mm10_chr16:49103592-49103603(-)::chr16:49103591-49103603(-) CCAAGGAACTAC >mm10_chr16:49176475-49176486(-)::chr16:49176474-49176486(-) agaaggaagagg >mm10_chr16:49176496-49176507(-)::chr16:49176495-49176507(-) aggaggaagaca >mm10_chr16:49176520-49176531(+)::chr16:49176519-49176531(+) AGAAGGAAGGCA >mm10_chr16:49332524-49332535(-)::chr16:49332523-49332535(-) AGAAGGAAGCCA >mm10_chr16:49430733-49430744(-)::chr16:49430732-49430744(-) AGTAGGAAGCAG >mm10_chr16:49430740-49430751(-)::chr16:49430739-49430751(-) ACGTGGAAGTAG >mm10_chr16:49500954-49500965(-)::chr16:49500953-49500965(-) AGAAGGAAGATA >mm10_chr16:49580895-49580906(-)::chr16:49580894-49580906(-) ttcaggaaatat >mm10_chr16:49580906-49580917(+)::chr16:49580905-49580917(+) aacaGGATGTAC >mm10_chr16:49580939-49580950(+)::chr16:49580938-49580950(+) TAAAGGAAGCCG >mm10_chr16:49792644-49792655(+)::chr16:49792643-49792655(+) AGGAGGAAGTGG >mm10_chr16:49799016-49799027(-)::chr16:49799015-49799027(-) GTCAGGAAGTAG >mm10_chr16:49799034-49799045(+)::chr16:49799033-49799045(+) AACAGGAAGACA >mm10_chr16:49800443-49800454(+)::chr16:49800442-49800454(+) AGGAGGAAATAC >mm10_chr16:49800507-49800518(-)::chr16:49800506-49800518(-) AGCAGGAAATGG >mm10_chr16:49801691-49801702(+)::chr16:49801690-49801702(+) AGCAGGAAGCAG >mm10_chr16:49801704-49801715(+)::chr16:49801703-49801715(+) TAGAGGAAGAGT >mm10_chr16:49801720-49801731(-)::chr16:49801719-49801731(-) AAGAGGAAGTGA >mm10_chr16:49840447-49840458(-)::chr16:49840446-49840458(-) acaaggaagaga >mm10_chr16:49878403-49878414(-)::chr16:49878402-49878414(-) aaaaggaagttt >mm10_chr16:49943857-49943868(+)::chr16:49943856-49943868(+) AGCAGGAAGCAG >mm10_chr16:49946378-49946389(+)::chr16:49946377-49946389(+) ATGAGGAAATCA >mm10_chr16:49946391-49946402(+)::chr16:49946390-49946402(+) TGGAGGAAGGAA >mm10_chr16:49951592-49951603(+)::chr16:49951591-49951603(+) ATCAGGAAGGCC >mm10_chr16:49954005-49954016(+)::chr16:49954004-49954016(+) TCCAGGAAGAAA >mm10_chr16:49954049-49954060(+)::chr16:49954048-49954060(+) AAAAGGAAATAG >mm10_chr16:49970732-49970743(+)::chr16:49970731-49970743(+) GCCAGGAAGTTG >mm10_chr16:50069265-50069276(+)::chr16:50069264-50069276(+) AGCAGGAAGTTG >mm10_chr16:50125817-50125828(-)::chr16:50125816-50125828(-) cagaggaagtaa >mm10_chr16:50226772-50226783(+)::chr16:50226771-50226783(+) ACaaggaagaag >mm10_chr16:50226782-50226793(+)::chr16:50226781-50226793(+) agaaggaagaag >mm10_chr16:50226789-50226800(+)::chr16:50226788-50226800(+) agaaggaagaaa >mm10_chr16:50226803-50226814(+)::chr16:50226802-50226814(+) agagggaagaaa >mm10_chr16:50226824-50226835(+)::chr16:50226823-50226835(+) agaaggaagaTG >mm10_chr16:50251584-50251595(-)::chr16:50251583-50251595(-) tcaaggaaggcc >mm10_chr16:50251592-50251603(+)::chr16:50251591-50251603(+) ttgaggaagtct >mm10_chr16:50251613-50251624(-)::chr16:50251612-50251624(-) agcaggaagctc >mm10_chr16:50251947-50251958(-)::chr16:50251946-50251958(-) AACAGGAAATGT >mm10_chr16:50315754-50315765(+)::chr16:50315753-50315765(+) GAAAGGAAGTTA >mm10_chr16:50315813-50315824(+)::chr16:50315812-50315824(+) AGGAGGAAGTGG >mm10_chr16:50349095-50349106(-)::chr16:50349094-50349106(-) AGAAGGAAGTTA >mm10_chr16:50364270-50364281(+)::chr16:50364269-50364281(+) AGCAGGAAGCTG >mm10_chr16:50364319-50364330(+)::chr16:50364318-50364330(+) GAAAGGAACTGA >mm10_chr16:50462360-50462371(+)::chr16:50462359-50462371(+) tccaggaagaac >mm10_chr16:50825513-50825524(-)::chr16:50825512-50825524(-) AACAGGAAGCAG >mm10_chr16:50907465-50907476(+)::chr16:50907464-50907476(+) ACAAGGAAGAAC >mm10_chr16:51031057-51031068(+)::chr16:51031056-51031068(+) GTAAGGATGTAG >mm10_chr16:51031092-51031103(+)::chr16:51031091-51031103(+) AGAAGGAAGCTG >mm10_chr16:51031112-51031123(+)::chr16:51031111-51031123(+) ATAAGGAAGCAC >mm10_chr16:51860586-51860597(+)::chr16:51860585-51860597(+) ACAAGGAAGTCC >mm10_chr16:51928591-51928602(+)::chr16:51928590-51928602(+) aagaggaagaag >mm10_chr16:51928598-51928609(+)::chr16:51928597-51928609(+) agaaggaagagg >mm10_chr16:51985726-51985737(-)::chr16:51985725-51985737(-) AAGAGGAAGAAA >mm10_chr16:51985732-51985743(-)::chr16:51985731-51985743(-) TACAGGAAGAGG >mm10_chr16:51989411-51989422(+)::chr16:51989410-51989422(+) TACAGGAAATGA >mm10_chr16:51989469-51989480(-)::chr16:51989468-51989480(-) GGAAGCAAGTAA >mm10_chr16:52045194-52045205(-)::chr16:52045193-52045205(-) GGCAGGAAGCGC >mm10_chr16:52045207-52045218(-)::chr16:52045206-52045218(-) TCAAGGAAGCCA >mm10_chr16:52054844-52054855(+)::chr16:52054843-52054855(+) ACAAGGAAGTAG >mm10_chr16:52056317-52056328(+)::chr16:52056316-52056328(+) AAAACGAAGTAG >mm10_chr16:52056324-52056335(+)::chr16:52056323-52056335(+) AGTAGGAAGCGT >mm10_chr16:52056948-52056959(+)::chr16:52056947-52056959(+) AGGAGGAAGAGG >mm10_chr16:52060494-52060505(-)::chr16:52060493-52060505(-) TAAAGGAAGTGA >mm10_chr16:52060519-52060530(-)::chr16:52060518-52060530(-) TTAAGGAAATGT >mm10_chr16:52082005-52082016(-)::chr16:52082004-52082016(-) GTCAGGAAGCGG >mm10_chr16:52082043-52082054(-)::chr16:52082042-52082054(-) AAGAGGAAGAGG >mm10_chr16:52095497-52095508(-)::chr16:52095496-52095508(-) GACAGGAAGTGT >mm10_chr16:52095548-52095559(-)::chr16:52095547-52095559(-) GTATGGAAGTGT >mm10_chr16:52289880-52289891(+)::chr16:52289879-52289891(+) AGCAGGAAGGAA >mm10_chr16:52289884-52289895(+)::chr16:52289883-52289895(+) GGAAGGAAGAAA >mm10_chr16:52326176-52326187(-)::chr16:52326175-52326187(-) aagaggaagtgt >mm10_chr16:52468536-52468547(+)::chr16:52468535-52468547(+) AATAGGAAGAGA >mm10_chr16:52468588-52468599(-)::chr16:52468587-52468599(-) AAGAGGAAGGAG >mm10_chr16:52468594-52468605(-)::chr16:52468593-52468605(-) AAGAGGAAGAGG >mm10_chr16:52471090-52471101(+)::chr16:52471089-52471101(+) TTCAGGAAGTGT >mm10_chr16:52522110-52522121(-)::chr16:52522109-52522121(-) GTCAGGAAGGAC >mm10_chr16:52858887-52858898(+)::chr16:52858886-52858898(+) GTAAGGAAGGAG >mm10_chr16:52868027-52868038(-)::chr16:52868026-52868038(-) AGAAGAAAGTAT >mm10_chr16:52932150-52932161(+)::chr16:52932149-52932161(+) ATGAGGAAGAGA >mm10_chr16:53074222-53074233(-)::chr16:53074221-53074233(-) AGAAGGAAGTAA >mm10_chr16:53231597-53231608(+)::chr16:53231596-53231608(+) GCGAGGAAGAGT >mm10_chr16:53299439-53299450(-)::chr16:53299438-53299450(-) AAGAGGAAGACA >mm10_chr16:53299463-53299474(+)::chr16:53299462-53299474(+) aaaaggaaatgc >mm10_chr16:54405194-54405205(+)::chr16:54405193-54405205(+) ataaggaagaga >mm10_chr16:54798949-54798960(+)::chr16:54798948-54798960(+) AGGAGGAAGCAT >mm10_chr16:54798998-54799009(-)::chr16:54798997-54799009(-) AGGAGGAAATTA >mm10_chr16:54860328-54860339(+)::chr16:54860327-54860339(+) AAGAGGAAGATA >mm10_chr16:55017803-55017814(+)::chr16:55017802-55017814(+) AACAGGAAGTCC >mm10_chr16:55017815-55017826(-)::chr16:55017814-55017826(-) TAGAGGAAATGG >mm10_chr16:55162537-55162548(-)::chr16:55162536-55162548(-) agtaggaagcag >mm10_chr16:55162544-55162555(-)::chr16:55162543-55162555(-) ctcgggaagtag >mm10_chr16:55163075-55163086(+)::chr16:55163074-55163086(+) accaggaagcag >mm10_chr16:55163122-55163133(-)::chr16:55163121-55163133(-) aaaaggaacttg >mm10_chr16:55163136-55163147(-)::chr16:55163135-55163147(-) agcaggaagtca >mm10_chr16:55286704-55286715(-)::chr16:55286703-55286715(-) ACAAGGAAATGC >mm10_chr16:55582782-55582793(+)::chr16:55582781-55582793(+) AAGAGGAAATAT >mm10_chr16:55613114-55613125(+)::chr16:55613113-55613125(+) ACAAGGAAATAC >mm10_chr16:55627707-55627718(+)::chr16:55627706-55627718(+) AGCAGGAAGTAt >mm10_chr16:55627753-55627764(+)::chr16:55627752-55627764(+) gaaaggaagtga >mm10_chr16:55776527-55776538(+)::chr16:55776526-55776538(+) CTGAGGAAGTGC >mm10_chr16:55790496-55790507(+)::chr16:55790495-55790507(+) ATAAGGAACAGG >mm10_chr16:55813293-55813304(+)::chr16:55813292-55813304(+) ACGAGGAAGCAG >mm10_chr16:55814025-55814036(-)::chr16:55814024-55814036(-) ATGAGGAAGGGA >mm10_chr16:55918328-55918339(+)::chr16:55918327-55918339(+) ggaaggaaggaa >mm10_chr16:55918332-55918343(+)::chr16:55918331-55918343(+) ggaaggaaggaa >mm10_chr16:55923682-55923693(+)::chr16:55923681-55923693(+) gggaggaagaag >mm10_chr16:55923689-55923700(+)::chr16:55923688-55923700(+) agaaggaagaag >mm10_chr16:55923698-55923709(+)::chr16:55923697-55923709(+) aagaggaagagg >mm10_chr16:55923704-55923715(+)::chr16:55923703-55923715(+) aagaggaaggag >mm10_chr16:55923723-55923734(+)::chr16:55923722-55923734(+) aagaggaagagg >mm10_chr16:55923732-55923743(+)::chr16:55923731-55923743(+) aggaggaagaag >mm10_chr16:55923739-55923750(+)::chr16:55923738-55923750(+) agaaggaagagg >mm10_chr16:55934597-55934608(+)::chr16:55934596-55934608(+) AGTAGGAAGTGC >mm10_chr16:55942461-55942472(+)::chr16:55942460-55942472(+) AGAAGGAAGTTC >mm10_chr16:55942498-55942509(-)::chr16:55942497-55942509(-) TAGAGGAAGAGA >mm10_chr16:55966253-55966264(+)::chr16:55966252-55966264(+) TGTAGGAAGAAA >mm10_chr16:55966323-55966334(+)::chr16:55966322-55966334(+) TCAAGGAAGGGA >mm10_chr16:55988841-55988852(+)::chr16:55988840-55988852(+) AGGAGGAAATGG >mm10_chr16:55988847-55988858(+)::chr16:55988846-55988858(+) AAATGGAAGTAG >mm10_chr16:55988866-55988877(+)::chr16:55988865-55988877(+) GCAAGGAAGACT >mm10_chr16:55988882-55988893(+)::chr16:55988881-55988893(+) AGGAGGAAGACA >mm10_chr16:55996353-55996364(+)::chr16:55996352-55996364(+) TTAGGGAAGTAG >mm10_chr16:56015194-56015205(+)::chr16:56015193-56015205(+) AAAAGGAAGTCT >mm10_chr16:56028965-56028976(+)::chr16:56028964-56028976(+) TACAGGAAGATC >mm10_chr16:56028978-56028989(-)::chr16:56028977-56028989(-) GGTAGGAAGGTG >mm10_chr16:56075342-56075353(+)::chr16:56075341-56075353(+) CCCAGGAAGCGC >mm10_chr16:56075898-56075909(-)::chr16:56075897-56075909(-) GCGAGGAAGGCG >mm10_chr16:56176179-56176190(+)::chr16:56176178-56176190(+) AAGAGGAAGCAT >mm10_chr16:56260329-56260340(+)::chr16:56260328-56260340(+) AGGAGGAAGTAA >mm10_chr16:56494370-56494381(-)::chr16:56494369-56494381(-) tggaggaagtgt >mm10_chr16:56560513-56560524(-)::chr16:56560512-56560524(-) TCCAGGAAGAAA >mm10_chr16:56637028-56637039(-)::chr16:56637027-56637039(-) TCAAGGAAGTAT >mm10_chr16:56637044-56637055(-)::chr16:56637043-56637055(-) ACAAGGATATAA >mm10_chr16:56717522-56717533(-)::chr16:56717521-56717533(-) GTGAGGAAGCCG >mm10_chr16:56717546-56717557(+)::chr16:56717545-56717557(+) TGTAGGAAGTGC >mm10_chr16:56717594-56717605(-)::chr16:56717593-56717605(-) AACCGGAAGTGC >mm10_chr16:56753754-56753765(+)::chr16:56753753-56753765(+) TGAAGGAAGCTT >mm10_chr16:56795925-56795936(+)::chr16:56795924-56795936(+) TCAAGGAAGGAG >mm10_chr16:56795963-56795974(+)::chr16:56795962-56795974(+) GCCAGGAAGGCA >mm10_chr16:56795967-56795978(+)::chr16:56795966-56795978(+) GGAAGGCAGTGG >mm10_chr16:56799473-56799484(+)::chr16:56799472-56799484(+) GTAAGGAAGCTT >mm10_chr16:57083797-57083808(+)::chr16:57083796-57083808(+) gggaggaactat >mm10_chr16:57083814-57083825(+)::chr16:57083813-57083825(+) aagaggaagaag >mm10_chr16:57280312-57280323(+)::chr16:57280311-57280323(+) TTCAGGAAGTTT >mm10_chr16:57280381-57280392(-)::chr16:57280380-57280392(-) AAAGGGAAGTCC >mm10_chr16:57372373-57372384(+)::chr16:57372372-57372384(+) TCAAGGAAGCCA >mm10_chr16:57455770-57455781(-)::chr16:57455769-57455781(-) AGAAGGAAGACA >mm10_chr16:57529959-57529970(+)::chr16:57529958-57529970(+) GTAAGCAAGTGA >mm10_chr16:57530772-57530783(-)::chr16:57530771-57530783(-) GGAAGGAAGTGC >mm10_chr16:57530787-57530798(+)::chr16:57530786-57530798(+) ATGAGGAAATGG >mm10_chr16:57549879-57549890(+)::chr16:57549878-57549890(+) ACCAGGAAGTTT >mm10_chr16:57585559-57585570(+)::chr16:57585558-57585570(+) TGAAGGCAGTCG >mm10_chr16:57585599-57585610(-)::chr16:57585598-57585610(-) GGAAGGAAATGC >mm10_chr16:57585603-57585614(-)::chr16:57585602-57585614(-) GAAAGGAAGGAA >mm10_chr16:58145228-58145239(+)::chr16:58145227-58145239(+) accaggaagttc >mm10_chr16:58476620-58476631(-)::chr16:58476619-58476631(-) AACAGGAAGTCC >mm10_chr16:58482278-58482289(-)::chr16:58482277-58482289(-) aaaaggaagaac >mm10_chr16:58489484-58489495(-)::chr16:58489483-58489495(-) TCAAGGAAGCCA >mm10_chr16:58496967-58496978(+)::chr16:58496966-58496978(+) GCAAGGAAGGCC >mm10_chr16:58497229-58497240(+)::chr16:58497228-58497240(+) AACAGGAAGGGT >mm10_chr16:58497309-58497320(+)::chr16:58497308-58497320(+) TCGAGGAAGTCA >mm10_chr16:58502216-58502227(-)::chr16:58502215-58502227(-) ggaaggaagTGG >mm10_chr16:58502257-58502268(-)::chr16:58502256-58502268(-) agagggaagtgg >mm10_chr16:58531660-58531671(-)::chr16:58531659-58531671(-) aagaggaagagg >mm10_chr16:58531666-58531677(-)::chr16:58531665-58531677(-) aggaggaagagg >mm10_chr16:58531675-58531686(-)::chr16:58531674-58531686(-) aggaggaagagg >mm10_chr16:58531699-58531710(-)::chr16:58531698-58531710(-) ataaggaagagg >mm10_chr16:58531711-58531722(-)::chr16:58531710-58531722(-) gggaggaagaaa >mm10_chr16:58685664-58685675(+)::chr16:58685663-58685675(+) CCAAGGAAGTAA >mm10_chr16:58685668-58685679(+)::chr16:58685667-58685679(+) GGAAGTAAGTGC >mm10_chr16:58690312-58690323(+)::chr16:58690311-58690323(+) ATAGGGAAATGA >mm10_chr16:58702450-58702461(-)::chr16:58702449-58702461(-) ACACGGAAGAAG >mm10_chr16:58702477-58702488(-)::chr16:58702476-58702488(-) AGAAGGAAGTGA >mm10_chr16:58703929-58703940(-)::chr16:58703928-58703940(-) AGAAGGAAGAGG >mm10_chr16:58743221-58743232(-)::chr16:58743220-58743232(-) GTACGGAAGTAC >mm10_chr16:58821224-58821235(+)::chr16:58821223-58821235(+) ATAAGGAACAGA >mm10_chr16:58821243-58821254(+)::chr16:58821242-58821254(+) ACAAGGAAGTGC >mm10_chr16:59409374-59409385(+)::chr16:59409373-59409385(+) TGCAGGAAGAAT >mm10_chr16:59472206-59472217(+)::chr16:59472205-59472217(+) TTCAGGAAGTCT >mm10_chr16:59472235-59472246(-)::chr16:59472234-59472246(-) CGCCGGAAGTTC >mm10_chr16:59569219-59569230(+)::chr16:59569218-59569230(+) ACCAGGAAGAAT >mm10_chr16:59579421-59579432(+)::chr16:59579420-59579432(+) AAGAGGAAGCAC >mm10_chr16:59586441-59586452(-)::chr16:59586440-59586452(-) ACAAGGAACTTT >mm10_chr16:59595063-59595074(+)::chr16:59595062-59595074(+) TCAAGGAAGAAG >mm10_chr16:59600372-59600383(-)::chr16:59600371-59600383(-) TGACGGAAGCGC >mm10_chr16:59600413-59600424(+)::chr16:59600412-59600424(+) AGGAGGAAGGGC >mm10_chr16:59604290-59604301(+)::chr16:59604289-59604301(+) ATGAGGAAGTGT >mm10_chr16:59610893-59610904(+)::chr16:59610892-59610904(+) ggaaggaaataa >mm10_chr16:59695828-59695839(+)::chr16:59695827-59695839(+) atgaggaaggat >mm10_chr16:59853275-59853286(+)::chr16:59853274-59853286(+) ACGAGGAAGTGG >mm10_chr16:59995725-59995736(-)::chr16:59995724-59995736(-) AAAAGGAAGGGt >mm10_chr16:59995737-59995748(-)::chr16:59995736-59995748(-) AAGAGGAAGGAG >mm10_chr16:59995768-59995779(-)::chr16:59995767-59995779(-) AGAAGGAAATGT >mm10_chr16:60105321-60105332(+)::chr16:60105320-60105332(+) ggagggaagtat >mm10_chr16:60158522-60158533(-)::chr16:60158521-60158533(-) ggcaggaagcca >mm10_chr16:60158559-60158570(-)::chr16:60158558-60158570(-) acaaggaagtcc >mm10_chr16:61178716-61178727(+)::chr16:61178715-61178727(+) ATAAGGAAAGGA >mm10_chr16:61178731-61178742(+)::chr16:61178730-61178742(+) Agaaggaaggaa >mm10_chr16:61178735-61178746(+)::chr16:61178734-61178746(+) ggaaggaaggga >mm10_chr16:61178771-61178782(+)::chr16:61178770-61178782(+) aggaggaagaaa >mm10_chr16:61179182-61179193(-)::chr16:61179181-61179193(-) GAAAGGCAGTAC >mm10_chr16:61179193-61179204(-)::chr16:61179192-61179204(-) AGAAGGAAGTGG >mm10_chr16:61179200-61179211(-)::chr16:61179199-61179211(-) AGTAGGAAGAAG >mm10_chr16:61179249-61179260(-)::chr16:61179248-61179260(-) AGCAGGAAGATC >mm10_chr16:61403585-61403596(+)::chr16:61403584-61403596(+) ataaggaagttt >mm10_chr16:61824443-61824454(+)::chr16:61824442-61824454(+) TTAAGGAAATTG >mm10_chr16:62652944-62652955(+)::chr16:62652943-62652955(+) ATGAGGAAGTGT >mm10_chr16:62753346-62753357(+)::chr16:62753345-62753357(+) GGAAGGAAGGGA >mm10_chr16:62786752-62786763(-)::chr16:62786751-62786763(-) ACCCGGAAGTAT >mm10_chr16:62814007-62814018(+)::chr16:62814006-62814018(+) TAGGGGAAGTAG >mm10_chr16:62814625-62814636(-)::chr16:62814624-62814636(-) AACAGGAAGTCC >mm10_chr16:62814658-62814669(-)::chr16:62814657-62814669(-) TAAAGGAAGATG >mm10_chr16:62847152-62847163(+)::chr16:62847151-62847163(+) AAGAGGAAGTAG >mm10_chr16:62857699-62857710(+)::chr16:62857698-62857710(+) tcaaggaagTGA >mm10_chr16:62857728-62857739(+)::chr16:62857727-62857739(+) ATGAGGAAGTCC >mm10_chr16:62919577-62919588(+)::chr16:62919576-62919588(+) CCAAGGAAATAA >mm10_chr16:62921990-62922001(-)::chr16:62921989-62922001(-) AACAGGAAATGA >mm10_chr16:62927362-62927373(+)::chr16:62927361-62927373(+) ATGAGGAAGAAA >mm10_chr16:62927418-62927429(+)::chr16:62927417-62927429(+) ACAAGGAAGTGA >mm10_chr16:62951427-62951438(-)::chr16:62951426-62951438(-) GGAAGGATGTAA >mm10_chr16:62953598-62953609(+)::chr16:62953597-62953609(+) AAAAGGAAATGG >mm10_chr16:62985194-62985205(-)::chr16:62985193-62985205(-) tcaaggaactga >mm10_chr16:63012323-63012334(+)::chr16:63012322-63012334(+) AGGAGGAAGTCC >mm10_chr16:63012353-63012364(-)::chr16:63012352-63012364(-) AGAGGGAAGAAT >mm10_chr16:63049598-63049609(+)::chr16:63049597-63049609(+) aagaggaagttg >mm10_chr16:63116676-63116687(+)::chr16:63116675-63116687(+) AGAAGGAATTGC >mm10_chr16:63153916-63153927(-)::chr16:63153915-63153927(-) ggaaggaagcct >mm10_chr16:63153920-63153931(-)::chr16:63153919-63153931(-) agcaggaaggaa >mm10_chr16:63165801-63165812(-)::chr16:63165800-63165812(-) AGCAGGAAGCAG >mm10_chr16:63345309-63345320(+)::chr16:63345308-63345320(+) TACAGGAAATGC >mm10_chr16:63958420-63958431(+)::chr16:63958419-63958431(+) GAAAGTAAGTGG >mm10_chr16:64606470-64606481(+)::chr16:64606469-64606481(+) AGAAGGAATTAT >mm10_chr16:64771015-64771026(+)::chr16:64771014-64771026(+) GGGCGGAAGTGC >mm10_chr16:64771042-64771053(+)::chr16:64771041-64771053(+) GCGAGGAAATGT >mm10_chr16:64851804-64851815(-)::chr16:64851803-64851815(-) AGCCGGAAGTAG >mm10_chr16:64851843-64851854(+)::chr16:64851842-64851854(+) TGGAGGAAGGAC >mm10_chr16:64852143-64852154(-)::chr16:64852142-64852154(-) AGGAGGAAGAAA >mm10_chr16:64852154-64852165(-)::chr16:64852153-64852165(-) GGAAGGAAGAGA >mm10_chr16:64852158-64852169(-)::chr16:64852157-64852169(-) GCCAGGAAGGAA >mm10_chr16:64868782-64868793(+)::chr16:64868781-64868793(+) TTCGGGAAGTAC >mm10_chr16:64876925-64876936(-)::chr16:64876924-64876936(-) aaaaggaagttc >mm10_chr16:64879230-64879241(-)::chr16:64879229-64879241(-) agaaggaagttc >mm10_chr16:64884834-64884845(-)::chr16:64884833-64884845(-) acaaggaagagg >mm10_chr16:64899134-64899145(-)::chr16:64899133-64899145(-) tgaaggaagagg >mm10_chr16:64914296-64914307(-)::chr16:64914295-64914307(-) agcaggaactga >mm10_chr16:64914326-64914337(-)::chr16:64914325-64914337(-) caaaggaagtca >mm10_chr16:64941954-64941965(-)::chr16:64941953-64941965(-) aacaggaagtag >mm10_chr16:65053364-65053375(-)::chr16:65053363-65053375(-) AAGAGGAAGCAG >mm10_chr16:65192222-65192233(+)::chr16:65192221-65192233(+) AACAGGAAGAGT >mm10_chr16:65192258-65192269(+)::chr16:65192257-65192269(+) CATAGGAAGTTA >mm10_chr16:65205015-65205026(-)::chr16:65205014-65205026(-) ATAAGGAAAGGG >mm10_chr16:65205063-65205074(+)::chr16:65205062-65205074(+) AGCAGGAAGATT >mm10_chr16:65342485-65342496(-)::chr16:65342484-65342496(-) ggaaggaaggat >mm10_chr16:65396979-65396990(-)::chr16:65396978-65396990(-) ACCAGGAAATGG >mm10_chr16:65396992-65397003(-)::chr16:65396991-65397003(-) CACAGGAAGTAG >mm10_chr16:65451114-65451125(+)::chr16:65451113-65451125(+) AACAGGAAGAGG >mm10_chr16:65500166-65500177(+)::chr16:65500165-65500177(+) GAAGGGAAGTTT >mm10_chr16:65557689-65557700(+)::chr16:65557688-65557700(+) ATGGGGAAGTAT >mm10_chr16:65562119-65562130(+)::chr16:65562118-65562130(+) GTCAGGAAGTGC >mm10_chr16:65574289-65574300(+)::chr16:65574288-65574300(+) aaaaggaaggag >mm10_chr16:65574296-65574307(+)::chr16:65574295-65574307(+) aggaggaaggag >mm10_chr16:65647095-65647106(-)::chr16:65647094-65647106(-) agaaggaagttt >mm10_chr16:65647102-65647113(-)::chr16:65647101-65647113(-) ctaaggaagaag >mm10_chr16:65717250-65717261(+)::chr16:65717249-65717261(+) GCCAGGAAGAAC >mm10_chr16:65832237-65832248(+)::chr16:65832236-65832248(+) gaagggaagtcc >mm10_chr16:67296746-67296757(-)::chr16:67296745-67296757(-) AAAATGAAGTGA >mm10_chr16:67842089-67842100(-)::chr16:67842088-67842100(-) gagaggaagttg >mm10_chr16:68992849-68992860(+)::chr16:68992848-68992860(+) TTAAGGACGTTT >mm10_chr16:70216373-70216384(-)::chr16:70216372-70216384(-) AAATGGAAGTTA >mm10_chr16:70326805-70326816(+)::chr16:70326804-70326816(+) agcaggaagttg >mm10_chr16:70352836-70352847(-)::chr16:70352835-70352847(-) AAACGGAAGTCC >mm10_chr16:70352842-70352853(-)::chr16:70352841-70352853(-) AGAAGGAAACGG >mm10_chr16:70352849-70352860(-)::chr16:70352848-70352860(-) AGGAGGAAGAAG >mm10_chr16:71091686-71091697(+)::chr16:71091685-71091697(+) TGAAGGAAGAGA >mm10_chr16:71204762-71204773(-)::chr16:71204761-71204773(-) aagaggaaggta >mm10_chr16:71467139-71467150(+)::chr16:71467138-71467150(+) ggaaggaaggga >mm10_chr16:72147750-72147761(+)::chr16:72147749-72147761(+) ATAAGGAGGTTA >mm10_chr16:72688562-72688573(-)::chr16:72688561-72688573(-) TCAAGGAAATTT >mm10_chr16:72722281-72722292(+)::chr16:72722280-72722292(+) CTCAGGAAGTAG >mm10_chr16:72722312-72722323(-)::chr16:72722311-72722323(-) GAAGGGAAGTAA >mm10_chr16:72808191-72808202(-)::chr16:72808190-72808202(-) GCAGGGAAGTTT >mm10_chr16:72923366-72923377(+)::chr16:72923365-72923377(+) AGAAGGAAATCC >mm10_chr16:72923403-72923414(+)::chr16:72923402-72923414(+) ACAAGGAAGCCC >mm10_chr16:72924543-72924554(+)::chr16:72924542-72924554(+) AGACGGAAGTAG >mm10_chr16:73081126-73081137(+)::chr16:73081125-73081137(+) ACAAGGAAATTT >mm10_chr16:73432214-73432225(-)::chr16:73432213-73432225(-) cgcaggaagccc >mm10_chr16:73621000-73621011(+)::chr16:73620999-73621011(+) aggaggaaggaa >mm10_chr16:73621004-73621015(+)::chr16:73621003-73621015(+) ggaaggaagcga >mm10_chr16:73863967-73863978(-)::chr16:73863966-73863978(-) AGCAGGAAGGAG >mm10_chr16:73864007-73864018(-)::chr16:73864006-73864018(-) AAAAGGAAACGC >mm10_chr16:73879473-73879484(+)::chr16:73879472-73879484(+) agcaggaagcag >mm10_chr16:73879480-73879491(+)::chr16:73879479-73879491(+) agcaggaagtga >mm10_chr16:74041610-74041621(+)::chr16:74041609-74041621(+) AACAGGAAGCAT >mm10_chr16:74041655-74041666(+)::chr16:74041654-74041666(+) CAAAGGAAGACA >mm10_chr16:74081711-74081722(-)::chr16:74081710-74081722(-) ATGAGGAAGTCT >mm10_chr16:74188704-74188715(-)::chr16:74188703-74188715(-) TCCAGGAAGAGG >mm10_chr16:74249862-74249873(+)::chr16:74249861-74249873(+) agaaggaagaga >mm10_chr16:74249918-74249929(+)::chr16:74249917-74249929(+) accaggaaggag >mm10_chr16:74249928-74249939(+)::chr16:74249927-74249939(+) aggaggaagacg >mm10_chr16:74249940-74249951(+)::chr16:74249939-74249951(+) aagaggaagaag >mm10_chr16:74254441-74254452(+)::chr16:74254440-74254452(+) TTGAGGAAGTGT >mm10_chr16:74374746-74374757(-)::chr16:74374745-74374757(-) TCAGGGAAGTGT >mm10_chr16:74374789-74374800(-)::chr16:74374788-74374800(-) CTGAGGAAGTGG >mm10_chr16:74509589-74509600(+)::chr16:74509588-74509600(+) ctgaggaagtag >mm10_chr16:75617716-75617727(+)::chr16:75617715-75617727(+) ggaaggaagggg >mm10_chr16:75617730-75617741(+)::chr16:75617729-75617741(+) agaaggaagaaa >mm10_chr16:75617742-75617753(+)::chr16:75617741-75617753(+) aacaggaagaaT >mm10_chr16:75767796-75767807(+)::chr16:75767795-75767807(+) gcaaggaagtca >mm10_chr16:75835478-75835489(-)::chr16:75835477-75835489(-) aagaggaaggtg >mm10_chr16:75842765-75842776(+)::chr16:75842764-75842776(+) TCAGGGAAGTGG >mm10_chr16:75877338-75877349(-)::chr16:75877337-75877349(-) AAGAGGAAGTGA >mm10_chr16:76099735-76099746(-)::chr16:76099734-76099746(-) ttgaggaagtgc >mm10_chr16:76216324-76216335(-)::chr16:76216323-76216335(-) GAAAGGAACTGG >mm10_chr16:76218525-76218536(-)::chr16:76218524-76218536(-) ATGCGGAAGTGA >mm10_chr16:76226654-76226665(+)::chr16:76226653-76226665(+) GACAGGAAGGAA >mm10_chr16:76226658-76226669(+)::chr16:76226657-76226669(+) GGAAGGAAGTGT >mm10_chr16:76226680-76226691(-)::chr16:76226679-76226691(-) GGAAGGAACGCG >mm10_chr16:76226684-76226695(-)::chr16:76226683-76226695(-) AGAAGGAAGGAA >mm10_chr16:76232321-76232332(+)::chr16:76232320-76232332(+) TCTAGGAAGTGA >mm10_chr16:76260375-76260386(-)::chr16:76260374-76260386(-) GGGAGGAAGTAC >mm10_chr16:76265929-76265940(+)::chr16:76265928-76265940(+) ataaggaagtca >mm10_chr16:76265959-76265970(-)::chr16:76265958-76265970(-) ttaaggaagtca >mm10_chr16:76268597-76268608(-)::chr16:76268596-76268608(-) GGGAGGAAGACA >mm10_chr16:76326711-76326722(+)::chr16:76326710-76326722(+) ACAAGGAAGAGA >mm10_chr16:76339537-76339548(-)::chr16:76339536-76339548(-) cacgggaagtgg >mm10_chr16:76339596-76339607(-)::chr16:76339595-76339607(-) agcaggaagctg >mm10_chr16:76341877-76341888(-)::chr16:76341876-76341888(-) ggcaggaagtcc >mm10_chr16:76346082-76346093(-)::chr16:76346081-76346093(-) AGATGGAAGTTG >mm10_chr16:76354902-76354913(+)::chr16:76354901-76354913(+) tggaggaaggga >mm10_chr16:76354938-76354949(+)::chr16:76354937-76354949(+) aacaggaagtcc >mm10_chr16:76380956-76380967(-)::chr16:76380955-76380967(-) gggaggaagaac >mm10_chr16:76380979-76380990(-)::chr16:76380978-76380990(-) gaaaggaagcga >mm10_chr16:76399793-76399804(-)::chr16:76399792-76399804(-) ATAAGGAAACCA >mm10_chr16:76399806-76399817(+)::chr16:76399805-76399817(+) TACAGGAAGAGG >mm10_chr16:76405987-76405998(+)::chr16:76405986-76405998(+) ACCAGGAAATTC >mm10_chr16:76406032-76406043(+)::chr16:76406031-76406043(+) AAAAGGAAGATT >mm10_chr16:76429512-76429523(+)::chr16:76429511-76429523(+) AGCAGGAAGGGA >mm10_chr16:76432665-76432676(+)::chr16:76432664-76432676(+) gagaggaagggg >mm10_chr16:76509273-76509284(-)::chr16:76509272-76509284(-) ATAAGGCAGTGA >mm10_chr16:76530946-76530957(-)::chr16:76530945-76530957(-) TGAGGGAAGTTC >mm10_chr16:76530974-76530985(-)::chr16:76530973-76530985(-) AGAAGGAAGATG >mm10_chr16:76530981-76530992(-)::chr16:76530980-76530992(-) AGGAGGAAGAAG >mm10_chr16:76548746-76548757(-)::chr16:76548745-76548757(-) ACAAGGAAGTGC >mm10_chr16:76580653-76580664(+)::chr16:76580652-76580664(+) agcaggaagcta >mm10_chr16:76592152-76592163(-)::chr16:76592151-76592163(-) ATCAGGAAGAAG >mm10_chr16:76602677-76602688(+)::chr16:76602676-76602688(+) aggaggaagagg >mm10_chr16:76602683-76602694(+)::chr16:76602682-76602694(+) aagaggaagagg >mm10_chr16:76602689-76602700(+)::chr16:76602688-76602700(+) aagaggaagagg >mm10_chr16:76673479-76673490(-)::chr16:76673478-76673490(-) AAAAGGAAGTTA >mm10_chr16:76680418-76680429(-)::chr16:76680417-76680429(-) AGCAGGAAGTAT >mm10_chr16:76718880-76718891(-)::chr16:76718879-76718891(-) accaggaagtag >mm10_chr16:76855120-76855131(+)::chr16:76855119-76855131(+) ATgaggaagagg >mm10_chr16:76855141-76855152(+)::chr16:76855140-76855152(+) agaaggaagaga >mm10_chr16:76855181-76855192(+)::chr16:76855180-76855192(+) aggaggaagaag >mm10_chr16:76855193-76855204(+)::chr16:76855192-76855204(+) aggaggaagaag >mm10_chr16:76905680-76905691(-)::chr16:76905679-76905691(-) AGAAGGAATTGC >mm10_chr16:77004125-77004136(-)::chr16:77004124-77004136(-) GGCAGGAAGAAG >mm10_chr16:77005420-77005431(+)::chr16:77005419-77005431(+) gaaaggaagaga >mm10_chr16:77009227-77009238(+)::chr16:77009226-77009238(+) AGCAGGAAATCC >mm10_chr16:77009243-77009254(+)::chr16:77009242-77009254(+) CTAAGGAAGTGA >mm10_chr16:77016170-77016181(+)::chr16:77016169-77016181(+) AGTAGGAAGTGA >mm10_chr16:77020731-77020742(-)::chr16:77020730-77020742(-) AGAAAGAAGTGG >mm10_chr16:77128786-77128797(-)::chr16:77128785-77128797(-) TTAAGGAACGGC >mm10_chr16:77162193-77162204(+)::chr16:77162192-77162204(+) tggaggaaggga >mm10_chr16:77251614-77251625(-)::chr16:77251613-77251625(-) ACCAGGAAGCAA >mm10_chr16:77251648-77251659(+)::chr16:77251647-77251659(+) AACAGGAAGTTA >mm10_chr16:77393610-77393621(+)::chr16:77393609-77393621(+) ACACGGAAGGAG >mm10_chr16:77393617-77393628(+)::chr16:77393616-77393628(+) AGGAGGAAGGAA >mm10_chr16:77393621-77393632(+)::chr16:77393620-77393632(+) GGAAGGAAGAAG >mm10_chr16:77393633-77393644(+)::chr16:77393632-77393644(+) GCAAGGACGTAA >mm10_chr16:77569646-77569657(+)::chr16:77569645-77569657(+) AGCAGGAAGGGG >mm10_chr16:77643146-77643157(-)::chr16:77643145-77643157(-) CCAAGGAAGGTA >mm10_chr16:77664776-77664787(-)::chr16:77664775-77664787(-) cacaggaagatA >mm10_chr16:77664848-77664859(+)::chr16:77664847-77664859(+) taaaggaaggtc >mm10_chr16:77700930-77700941(+)::chr16:77700929-77700941(+) AAGAGGAAGACG >mm10_chr16:78248187-78248198(-)::chr16:78248186-78248198(-) TGAAGGAAGAGC >mm10_chr16:78250301-78250312(+)::chr16:78250300-78250312(+) aggaggaagagg >mm10_chr16:78250321-78250332(+)::chr16:78250320-78250332(+) agaaagaagtgg >mm10_chr16:78260535-78260546(-)::chr16:78260534-78260546(-) GGGAGGAAGTCT >mm10_chr16:78264927-78264938(-)::chr16:78264926-78264938(-) AGGAGGaagata >mm10_chr16:78265170-78265181(-)::chr16:78265169-78265181(-) ACAAGGAAGTAA >mm10_chr16:78265224-78265235(+)::chr16:78265223-78265235(+) CGGGGGAAGTAA >mm10_chr16:78306021-78306032(-)::chr16:78306020-78306032(-) agaaggaaatag >mm10_chr16:78306057-78306068(-)::chr16:78306056-78306068(-) aaaaggaagggg >mm10_chr16:78312247-78312258(+)::chr16:78312246-78312258(+) AGAAGGAAGGAG >mm10_chr16:78322911-78322922(-)::chr16:78322910-78322922(-) TCTAGGAAGTAC >mm10_chr16:78327703-78327714(-)::chr16:78327702-78327714(-) GGAAGGAAGGTG >mm10_chr16:78327707-78327718(-)::chr16:78327706-78327718(-) ACGAGGAAGGAA >mm10_chr16:78327737-78327748(-)::chr16:78327736-78327748(-) ATGAGGAAATGT >mm10_chr16:78337313-78337324(+)::chr16:78337312-78337324(+) AGCAGGAAGCAG >mm10_chr16:78343850-78343861(-)::chr16:78343849-78343861(-) ACACGGAAGTAT >mm10_chr16:78368337-78368348(-)::chr16:78368336-78368348(-) AACAGGAAGGAA >mm10_chr16:78440049-78440060(-)::chr16:78440048-78440060(-) TGAAGGAAGGTC >mm10_chr16:78442333-78442344(+)::chr16:78442332-78442344(+) GGCAGGAAGACA >mm10_chr16:78442342-78442353(+)::chr16:78442341-78442353(+) ACAAGGAAGTAC >mm10_chr16:78451504-78451515(-)::chr16:78451503-78451515(-) ACAAGGAAGGAG >mm10_chr16:78456846-78456857(+)::chr16:78456845-78456857(+) ACCAGGAAGTCC >mm10_chr16:78456869-78456880(+)::chr16:78456868-78456880(+) TAACGGAAGCGT >mm10_chr16:78468660-78468671(+)::chr16:78468659-78468671(+) TCAAGGAAGAGA >mm10_chr16:78468708-78468719(+)::chr16:78468707-78468719(+) ACCAGGAAGTTC >mm10_chr16:78474144-78474155(+)::chr16:78474143-78474155(+) GCACGGAAGTGC >mm10_chr16:78489744-78489755(-)::chr16:78489743-78489755(-) ACACGGAAGGAG >mm10_chr16:78489761-78489772(-)::chr16:78489760-78489772(-) GAGAGGAAGTAG >mm10_chr16:78489812-78489823(-)::chr16:78489811-78489823(-) AGGAGGAAGTCA >mm10_chr16:78490304-78490315(-)::chr16:78490303-78490315(-) CTCAGGAAGTCG >mm10_chr16:78511750-78511761(+)::chr16:78511749-78511761(+) AACAGGAAGTCC >mm10_chr16:78594322-78594333(+)::chr16:78594321-78594333(+) TTAAGGAAATGA >mm10_chr16:78594345-78594356(+)::chr16:78594344-78594356(+) AGAAGGAAGCAT >mm10_chr16:78596569-78596580(+)::chr16:78596568-78596580(+) gacaggaagcag >mm10_chr16:78596586-78596597(+)::chr16:78596585-78596597(+) aacaggaagggg >mm10_chr16:78633628-78633639(-)::chr16:78633627-78633639(-) AGGAGGAAGACT >mm10_chr16:79107905-79107916(+)::chr16:79107904-79107916(+) ctcaggaagtag >mm10_chr16:79878266-79878277(-)::chr16:79878265-79878277(-) agcaggaagctg >mm10_chr16:80212893-80212904(-)::chr16:80212892-80212904(-) ATCAGGAACTAC >mm10_chr16:81196036-81196047(+)::chr16:81196035-81196047(+) ACATGGAAGTAG >mm10_chr16:81651582-81651593(+)::chr16:81651581-81651593(+) AGGAGGAAGGAA >mm10_chr16:81651586-81651597(+)::chr16:81651585-81651597(+) GGAAGGAAGCAG >mm10_chr16:81712702-81712713(-)::chr16:81712701-81712713(-) ggaaggaaggaa >mm10_chr16:81712706-81712717(-)::chr16:81712705-81712717(-) atgaggaaggaa >mm10_chr16:81928360-81928371(-)::chr16:81928359-81928371(-) ATGAGGAAGGAG >mm10_chr16:82171793-82171804(+)::chr16:82171792-82171804(+) agcaggaagtaa >mm10_chr16:83407878-83407889(-)::chr16:83407877-83407889(-) GAAATGAAGTAT >mm10_chr16:84268669-84268680(-)::chr16:84268668-84268680(-) gaagggaagtca >mm10_chr16:84802312-84802323(+)::chr16:84802311-84802323(+) AGGAGGAAGGTG >mm10_chr16:84802327-84802338(+)::chr16:84802326-84802338(+) AAGAGGAAGTAA >mm10_chr16:84830512-84830523(-)::chr16:84830511-84830523(-) GGAAGGAAGTTT >mm10_chr16:84830516-84830527(-)::chr16:84830515-84830527(-) GGAAGGAAGGAA >mm10_chr16:84830520-84830531(-)::chr16:84830519-84830531(-) TAAAGGAAGGAA >mm10_chr16:84834944-84834955(+)::chr16:84834943-84834955(+) GACAGGAAGCGC >mm10_chr16:84850979-84850990(-)::chr16:84850978-84850990(-) AAAAGGAAGTGG >mm10_chr16:84856549-84856560(+)::chr16:84856548-84856560(+) ACCAGGAAGAAA >mm10_chr16:84968168-84968179(-)::chr16:84968167-84968179(-) TGGAGGAAGATG >mm10_chr16:84968199-84968210(-)::chr16:84968198-84968210(-) ACGAGGAAGTAG >mm10_chr16:84972249-84972260(-)::chr16:84972248-84972260(-) TGAGGGAAGTTG >mm10_chr16:84972283-84972294(+)::chr16:84972282-84972294(+) ATAAGGAAGGGA >mm10_chr16:85022411-85022422(+)::chr16:85022410-85022422(+) AGAAGGAAGTGC >mm10_chr16:85041467-85041478(-)::chr16:85041466-85041478(-) ATCAGGAAGTTT >mm10_chr16:85084488-85084499(+)::chr16:85084487-85084499(+) ATGAGGAAGGAC >mm10_chr16:85084527-85084538(+)::chr16:85084526-85084538(+) CTGAGGAAGTCA >mm10_chr16:85133630-85133641(-)::chr16:85133629-85133641(-) ACCAGGAAGTGA >mm10_chr16:85176472-85176483(+)::chr16:85176471-85176483(+) ACAAGGAAGGAA >mm10_chr16:85274147-85274158(-)::chr16:85274146-85274158(-) caaaggaagcca >mm10_chr16:85728112-85728123(+)::chr16:85728111-85728123(+) CTCAGGAAGTGG >mm10_chr16:85800414-85800425(-)::chr16:85800413-85800425(-) ATAAGGAAGAAG >mm10_chr16:85800424-85800435(-)::chr16:85800423-85800435(-) ACCAGGAAGCAT >mm10_chr16:85800447-85800458(+)::chr16:85800446-85800458(+) AAAAGGAAGTTT >mm10_chr16:85889816-85889827(+)::chr16:85889815-85889827(+) AAAAGGAAATGG >mm10_chr16:85889826-85889837(-)::chr16:85889825-85889837(-) AACAGGAAGGCC >mm10_chr16:85901261-85901272(+)::chr16:85901260-85901272(+) AGGAGGAAGGGG >mm10_chr16:85936928-85936939(-)::chr16:85936927-85936939(-) aagaggaagttt >mm10_chr16:86058010-86058021(+)::chr16:86058009-86058021(+) TGAAGGAAATGA >mm10_chr16:86142265-86142276(+)::chr16:86142264-86142276(+) AGAAGGAAATGT >mm10_chr16:86250160-86250171(-)::chr16:86250159-86250171(-) TGGAGGAAGCCG >mm10_chr16:86261857-86261868(-)::chr16:86261856-86261868(-) AAGAGGAAGGAA >mm10_chr16:86261873-86261884(+)::chr16:86261872-86261884(+) AAGAGGAAGATC >mm10_chr16:86645104-86645115(+)::chr16:86645103-86645115(+) AAAAGGAAGAGT >mm10_chr16:87172329-87172340(+)::chr16:87172328-87172340(+) TCAAGGAAATAC >mm10_chr16:87295893-87295904(+)::chr16:87295892-87295904(+) TCTAGGAAGTCA >mm10_chr16:87305791-87305802(-)::chr16:87305790-87305802(-) ACGAGGAAGCAA >mm10_chr16:87354231-87354242(+)::chr16:87354230-87354242(+) CATAGGAAGCGG >mm10_chr16:87432566-87432577(-)::chr16:87432565-87432577(-) AGGGGGAAGTGG >mm10_chr16:87432594-87432605(-)::chr16:87432593-87432605(-) AGTAGGAAGCCG >mm10_chr16:87432601-87432612(-)::chr16:87432600-87432612(-) GAGCGGAAGTAG >mm10_chr16:87440343-87440354(+)::chr16:87440342-87440354(+) GGGCGGAAGTGC >mm10_chr16:87440605-87440616(+)::chr16:87440604-87440616(+) AGCAGGAAGCTC >mm10_chr16:87443334-87443345(+)::chr16:87443333-87443345(+) ataaggtagtaa >mm10_chr16:87495897-87495908(-)::chr16:87495896-87495908(-) GACCGGAAGTAG >mm10_chr16:87533385-87533396(+)::chr16:87533384-87533396(+) AGAAGGAAGAAC >mm10_chr16:87568263-87568274(-)::chr16:87568262-87568274(-) AGAAGGAAGCTC >mm10_chr16:87568319-87568330(+)::chr16:87568318-87568330(+) TCACGGAAGTGG >mm10_chr16:87610788-87610799(+)::chr16:87610787-87610799(+) CCCAGGAAGTGC >mm10_chr16:87625503-87625514(+)::chr16:87625502-87625514(+) ATAAGGAAGTGG >mm10_chr16:87635960-87635971(+)::chr16:87635959-87635971(+) AGAGGGAAGTGA >mm10_chr16:87688978-87688989(-)::chr16:87688977-87688989(-) AAGGGGAAGTTA >mm10_chr16:87688991-87689002(-)::chr16:87688990-87689002(-) GCCAGGAAGGGG >mm10_chr16:87689026-87689037(-)::chr16:87689025-87689037(-) TTCAGGAAGTGA >mm10_chr16:87692249-87692260(+)::chr16:87692248-87692260(+) AGGAGGAACTAA >mm10_chr16:87697991-87698002(+)::chr16:87697990-87698002(+) TGAGGGAAGACG >mm10_chr16:87699085-87699096(-)::chr16:87699084-87699096(-) GGACGGAAGGAC >mm10_chr16:87716479-87716490(+)::chr16:87716478-87716490(+) AGGAGGAAGTGT >mm10_chr16:87755228-87755239(-)::chr16:87755227-87755239(-) TGAAGGAAGGTC >mm10_chr16:87783617-87783628(-)::chr16:87783616-87783628(-) AGAAGGAAGAAA >mm10_chr16:87797003-87797014(-)::chr16:87797002-87797014(-) ttaaggaagctc >mm10_chr16:87825883-87825894(-)::chr16:87825882-87825894(-) TACAGGAAGAGA >mm10_chr16:87825910-87825921(-)::chr16:87825909-87825921(-) ACAAGGAAATCT >mm10_chr16:88234691-88234702(+)::chr16:88234690-88234702(+) aagaggaagtgg >mm10_chr16:88256498-88256509(+)::chr16:88256497-88256509(+) CCAAGGAAGCCA >mm10_chr16:88294410-88294421(-)::chr16:88294409-88294421(-) aggaggaagtac >mm10_chr16:88366868-88366879(-)::chr16:88366867-88366879(-) gggaggaagtag >mm10_chr16:88372770-88372781(-)::chr16:88372769-88372781(-) AACAGGAAGCGA >mm10_chr16:88372800-88372811(-)::chr16:88372799-88372811(-) TAAAGGAAGACC >mm10_chr16:88372814-88372825(-)::chr16:88372813-88372825(-) TGAAGGAAGGAG >mm10_chr16:88380505-88380516(+)::chr16:88380504-88380516(+) AGAAGGAAGCAC >mm10_chr16:88391758-88391769(-)::chr16:88391757-88391769(-) AAAAGGAAGGTT >mm10_chr16:88508491-88508502(-)::chr16:88508490-88508502(-) ACAAGGAAGAAG >mm10_chr16:88556386-88556397(+)::chr16:88556385-88556397(+) GGCAGGAAATGT >mm10_chr16:88556855-88556866(+)::chr16:88556854-88556866(+) AACAGGAAGGAG >mm10_chr16:88573150-88573161(-)::chr16:88573149-88573161(-) TGAAGGCAGTGA >mm10_chr16:88573532-88573543(+)::chr16:88573531-88573543(+) ATAAGGAAAGAT >mm10_chr16:88579007-88579018(+)::chr16:88579006-88579018(+) TGGAGGAAGTAG >mm10_chr16:88582799-88582810(+)::chr16:88582798-88582810(+) atgaggaagatt >mm10_chr16:88599643-88599654(-)::chr16:88599642-88599654(-) AATAGGAAGTTT >mm10_chr16:88600157-88600168(+)::chr16:88600156-88600168(+) AGGAGGAACTAC >mm10_chr16:88600189-88600200(-)::chr16:88600188-88600200(-) TAAAGGAAGTAC >mm10_chr16:88640324-88640335(-)::chr16:88640323-88640335(-) AGAGGGAAGTGA >mm10_chr16:88647075-88647086(+)::chr16:88647074-88647086(+) ACAAGGAAGCTA >mm10_chr16:88651053-88651064(-)::chr16:88651052-88651064(-) AGCAGGAAGGGA >mm10_chr16:88651296-88651307(-)::chr16:88651295-88651307(-) AAAAGGAAGTTA >mm10_chr16:88701345-88701356(-)::chr16:88701344-88701356(-) AGAAGGAAATTG >mm10_chr16:88701405-88701416(+)::chr16:88701404-88701416(+) AGGAGGAAATGT >mm10_chr16:88749408-88749419(+)::chr16:88749407-88749419(+) AGGAGGAAGTAT >mm10_chr16:88749427-88749438(+)::chr16:88749426-88749438(+) GTCAGGAAGGGG >mm10_chr16:89002652-89002663(+)::chr16:89002651-89002663(+) AGAAGGAAAGAA >mm10_chr16:89555401-89555412(+)::chr16:89555400-89555412(+) ACCAGGAAGTCC >mm10_chr16:89601893-89601904(+)::chr16:89601892-89601904(+) GCAAGGAAGATT >mm10_chr16:89601924-89601935(+)::chr16:89601923-89601935(+) TTCAGGAAGTCT >mm10_chr16:89830418-89830429(-)::chr16:89830417-89830429(-) ATAAGGAAATCG >mm10_chr16:89830452-89830463(+)::chr16:89830451-89830463(+) ATCAGGAAGCGG >mm10_chr16:89842022-89842033(-)::chr16:89842021-89842033(-) CGGAGGAAGCAG >mm10_chr16:89884703-89884714(-)::chr16:89884702-89884714(-) CGGAGGAAGTGG >mm10_chr16:89902300-89902311(+)::chr16:89902299-89902311(+) agcaggaagacc >mm10_chr16:89913137-89913148(-)::chr16:89913136-89913148(-) AACAGGAAGACC >mm10_chr16:89986189-89986200(+)::chr16:89986188-89986200(+) CAGAGGAAGTGC >mm10_chr16:89999611-89999622(+)::chr16:89999610-89999622(+) aagaggaagagg >mm10_chr16:90010842-90010853(+)::chr16:90010841-90010853(+) TCCAGGAAGAGA >mm10_chr16:90011841-90011852(-)::chr16:90011840-90011852(-) TGCGGGAAGCGG >mm10_chr16:90014817-90014828(+)::chr16:90014816-90014828(+) TCAAGGAAGAAA >mm10_chr16:90052755-90052766(+)::chr16:90052754-90052766(+) GTCAGGAAGCTA >mm10_chr16:90052770-90052781(+)::chr16:90052769-90052781(+) ACACGGAAGTCT >mm10_chr16:90075540-90075551(+)::chr16:90075539-90075551(+) tggaggaagtat >mm10_chr16:90075587-90075598(-)::chr16:90075586-90075598(-) aacaggaagtgg >mm10_chr16:90096212-90096223(+)::chr16:90096211-90096223(+) GACAGGAAATAG >mm10_chr16:90098501-90098512(-)::chr16:90098500-90098512(-) ACCAGGAAGCTG >mm10_chr16:90124604-90124615(+)::chr16:90124603-90124615(+) TGAAGGAAACGA >mm10_chr16:90168647-90168658(+)::chr16:90168646-90168658(+) ACAAGGAAATGA >mm10_chr16:90168658-90168669(+)::chr16:90168657-90168669(+) ATGAGGAAGCAG >mm10_chr16:90195994-90196005(-)::chr16:90195993-90196005(-) TCCAGGAAGAGG >mm10_chr16:90196032-90196043(+)::chr16:90196031-90196043(+) AGGAGGAAATGA >mm10_chr16:90197423-90197434(-)::chr16:90197422-90197434(-) ATAAGAAAGTGA >mm10_chr16:90197487-90197498(+)::chr16:90197486-90197498(+) AACAGGAAGGAA >mm10_chr16:90217595-90217606(-)::chr16:90217594-90217606(-) TCCAGGAAGTTA >mm10_chr16:90335631-90335642(+)::chr16:90335630-90335642(+) GCAAGGACGTCC >mm10_chr16:90335644-90335655(+)::chr16:90335643-90335655(+) ACCAGGAAGTGT >mm10_chr16:90379041-90379052(+)::chr16:90379040-90379052(+) AGAAGGAAAACA >mm10_chr16:90383176-90383187(+)::chr16:90383175-90383187(+) AGAAGGAAGAGC >mm10_chr16:90383189-90383200(+)::chr16:90383188-90383200(+) AGAAGGAAGCGG >mm10_chr16:90390811-90390822(-)::chr16:90390810-90390822(-) GGCAGGAAGTTC >mm10_chr16:90410022-90410033(+)::chr16:90410021-90410033(+) accaggaagtgg >mm10_chr16:90437381-90437392(+)::chr16:90437380-90437392(+) AGCAGGAAGAGA >mm10_chr16:90473244-90473255(-)::chr16:90473243-90473255(-) GCAAGGAACTCG >mm10_chr16:90473277-90473288(+)::chr16:90473276-90473288(+) ACAAGGAAGGTC >mm10_chr16:90500143-90500154(+)::chr16:90500142-90500154(+) agcaggaaggaa >mm10_chr16:90511095-90511106(-)::chr16:90511094-90511106(-) acaaggaagcag >mm10_chr16:90647022-90647033(-)::chr16:90647021-90647033(-) tgagggaagtca >mm10_chr16:90647066-90647077(-)::chr16:90647065-90647077(-) taaaggatgtat >mm10_chr16:90712560-90712571(+)::chr16:90712559-90712571(+) AGAAGGAAAAAA >mm10_chr16:90727317-90727328(+)::chr16:90727316-90727328(+) ACCAGGAAGCAG >mm10_chr16:90810406-90810417(+)::chr16:90810405-90810417(+) GCAAGGAAGGGG >mm10_chr16:90846294-90846305(-)::chr16:90846293-90846305(-) AGAAGGATGTCC >mm10_chr16:90846301-90846312(-)::chr16:90846300-90846312(-) ATCAGGAAGAAG >mm10_chr16:90846364-90846375(+)::chr16:90846363-90846375(+) AATAGGAAATGT >mm10_chr16:90864444-90864455(-)::chr16:90864443-90864455(-) AAAAGGAAATCA >mm10_chr16:90864500-90864511(+)::chr16:90864499-90864511(+) AAAaggaagagg >mm10_chr16:90864518-90864529(+)::chr16:90864517-90864529(+) aggaggaagaca >mm10_chr16:90864976-90864987(-)::chr16:90864975-90864987(-) GAAAGGAAGAAG >mm10_chr16:90868280-90868291(+)::chr16:90868279-90868291(+) AAGAGGAAGTTC >mm10_chr16:90885960-90885971(-)::chr16:90885959-90885971(-) TTAAGGAAGTAG >mm10_chr16:90935010-90935021(+)::chr16:90935009-90935021(+) TCCCGGAAGTAG >mm10_chr16:91056642-91056653(-)::chr16:91056641-91056653(-) TCCAGGAAGTCA >mm10_chr16:91056666-91056677(-)::chr16:91056665-91056677(-) ACAAGGAACTGC >mm10_chr16:91073764-91073775(-)::chr16:91073763-91073775(-) GGAAGGAAGAAT >mm10_chr16:91073768-91073779(-)::chr16:91073767-91073779(-) ACAAGGAAGGAA >mm10_chr16:91076409-91076420(+)::chr16:91076408-91076420(+) AAGAGGAAGTTG >mm10_chr16:91304626-91304637(+)::chr16:91304625-91304637(+) AACAGGAAATGA >mm10_chr16:91305925-91305936(+)::chr16:91305924-91305936(+) CCGAGGAAGCAC >mm10_chr16:91363626-91363637(+)::chr16:91363625-91363637(+) ACCAGGAAATCC >mm10_chr16:91366002-91366013(-)::chr16:91366001-91366013(-) ACATGGAAGTAT >mm10_chr16:91366011-91366022(-)::chr16:91366010-91366022(-) ACGAGGAAGACA >mm10_chr16:91368108-91368119(-)::chr16:91368107-91368119(-) ttcaggaagtcc >mm10_chr16:91373201-91373212(-)::chr16:91373200-91373212(-) GGCAGGAAGAGG >mm10_chr16:91375955-91375966(+)::chr16:91375954-91375966(+) agcaggaagtta >mm10_chr16:91385770-91385781(-)::chr16:91385769-91385781(-) CACGGGAAGTGA >mm10_chr16:91385788-91385799(-)::chr16:91385787-91385799(-) AGCAGGAAGTCC >mm10_chr16:91452366-91452377(+)::chr16:91452365-91452377(+) GAGAGGAAGCGG >mm10_chr16:91452382-91452393(+)::chr16:91452381-91452393(+) AGAAGGAAGTCC >mm10_chr16:91478360-91478371(-)::chr16:91478359-91478371(-) aaagggaagtca >mm10_chr16:91503046-91503057(+)::chr16:91503045-91503057(+) TTGAGGAAGTGG >mm10_chr16:91503110-91503121(-)::chr16:91503109-91503121(-) ACCAGGAAGTAA >mm10_chr16:91503125-91503136(+)::chr16:91503124-91503136(+) AATAGGAAGAGG >mm10_chr16:91520915-91520926(+)::chr16:91520914-91520926(+) TCCAGGAAGGAA >mm10_chr16:91520919-91520930(+)::chr16:91520918-91520930(+) GGAAGGAAGACC >mm10_chr16:91520965-91520976(-)::chr16:91520964-91520976(-) AGGAGGAAGATG >mm10_chr16:91527231-91527242(+)::chr16:91527230-91527242(+) acaaggaaggtc >mm10_chr16:91538248-91538259(+)::chr16:91538247-91538259(+) AACAGGAAGCTA >mm10_chr16:91538266-91538277(+)::chr16:91538265-91538277(+) GCAAGGAAGTGA >mm10_chr16:91538707-91538718(+)::chr16:91538706-91538718(+) AGCAGGAAGCAA >mm10_chr16:91542423-91542434(+)::chr16:91542422-91542434(+) ACCAGGAAGTAA >mm10_chr16:91549806-91549817(-)::chr16:91549805-91549817(-) ACCAGGAAGTGA >mm10_chr16:91549841-91549852(-)::chr16:91549840-91549852(-) ACAGGGAAATAA >mm10_chr16:91596516-91596527(+)::chr16:91596515-91596527(+) TAGAGGAAGAAT >mm10_chr16:91607200-91607211(-)::chr16:91607199-91607211(-) ATGAGGAAGCAT >mm10_chr16:91607222-91607233(-)::chr16:91607221-91607233(-) ATCAGGAAGAAG >mm10_chr16:91609928-91609939(-)::chr16:91609927-91609939(-) aacAGGAAGCCA >mm10_chr16:91743211-91743222(-)::chr16:91743210-91743222(-) CAAAGGAAGTGG >mm10_chr16:91780991-91781002(+)::chr16:91780990-91781002(+) aacaggaagtgg >mm10_chr16:91786645-91786656(-)::chr16:91786644-91786656(-) GGAAGGAAGACA >mm10_chr16:91787292-91787303(-)::chr16:91787291-91787303(-) GAGAGGAAGAGA >mm10_chr16:91787316-91787327(+)::chr16:91787315-91787327(+) AAGAGGAAATAA >mm10_chr16:91839722-91839733(+)::chr16:91839721-91839733(+) GTCAGGAAGAAG >mm10_chr16:91882590-91882601(-)::chr16:91882589-91882601(-) TCTAGGAAGTCG >mm10_chr16:91891139-91891150(-)::chr16:91891138-91891150(-) TGAGGGAAGTCA >mm10_chr16:91904308-91904319(-)::chr16:91904307-91904319(-) GGCAGGAAGGCA >mm10_chr16:91905397-91905408(-)::chr16:91905396-91905408(-) AGGAGGAAGTCG >mm10_chr16:91915572-91915583(-)::chr16:91915571-91915583(-) aggaggaagagg >mm10_chr16:91916737-91916748(+)::chr16:91916736-91916748(+) TAGAGGAAGAGA >mm10_chr16:91954205-91954216(+)::chr16:91954204-91954216(+) aggaggaaggag >mm10_chr16:91954212-91954223(+)::chr16:91954211-91954223(+) aggaggaaggag >mm10_chr16:91996002-91996013(-)::chr16:91996001-91996013(-) ACAAGGAAGCAG >mm10_chr16:91996047-91996058(-)::chr16:91996046-91996058(-) ACGAGGAAGTCT >mm10_chr16:92007592-92007603(+)::chr16:92007591-92007603(+) AGCAGGAAGATG >mm10_chr16:92017911-92017922(+)::chr16:92017910-92017922(+) ACAAGGAACTAG >mm10_chr16:92017932-92017943(-)::chr16:92017931-92017943(-) AATAGGAAGAGG >mm10_chr16:92019305-92019316(+)::chr16:92019304-92019316(+) GGAAGGAAGCCA >mm10_chr16:92022072-92022083(+)::chr16:92022071-92022083(+) GGGAGGAAGACT >mm10_chr16:92022082-92022093(+)::chr16:92022081-92022093(+) CTAAGGAAGAAA >mm10_chr16:92022114-92022125(+)::chr16:92022113-92022125(+) TCAAGGAAGAGC >mm10_chr16:92022608-92022619(+)::chr16:92022607-92022619(+) ACAAGGAAGTCA >mm10_chr16:92065666-92065677(-)::chr16:92065665-92065677(-) ACAAGGAAGCAA >mm10_chr16:92089374-92089385(-)::chr16:92089373-92089385(-) TGGAGGAAGTGA >mm10_chr16:92106591-92106602(+)::chr16:92106590-92106602(+) ACCAGGAAGACG >mm10_chr16:92159435-92159446(-)::chr16:92159434-92159446(-) TCCAGGAAGAAA >mm10_chr16:92159457-92159468(-)::chr16:92159456-92159468(-) TCCAGGAAGAAA >mm10_chr16:92215515-92215526(-)::chr16:92215514-92215526(-) ACCAGGAAGGTC >mm10_chr16:92218208-92218219(-)::chr16:92218207-92218219(-) AGCAGGAAGGAG >mm10_chr16:92240004-92240015(+)::chr16:92240003-92240015(+) aggaggaagtgt >mm10_chr16:92247658-92247669(-)::chr16:92247657-92247669(-) AAGAGGAAATAG >mm10_chr16:92247678-92247689(-)::chr16:92247677-92247689(-) AGGAGGAAGAGT >mm10_chr16:92268120-92268131(-)::chr16:92268119-92268131(-) AGCAGGAAGTAG >mm10_chr16:92268187-92268198(+)::chr16:92268186-92268198(+) GTCAGGAAGCAA >mm10_chr16:92275813-92275824(-)::chr16:92275812-92275824(-) ATGAGGAAATGT >mm10_chr16:92275871-92275882(+)::chr16:92275870-92275882(+) ACCAGGAAGGCC >mm10_chr16:92301238-92301249(-)::chr16:92301237-92301249(-) GAACGGAAGCGC >mm10_chr16:92333121-92333132(+)::chr16:92333120-92333132(+) AGCAGGAAGGGC >mm10_chr16:92359476-92359487(-)::chr16:92359475-92359487(-) ACCAGGAAGTCA >mm10_chr16:92360971-92360982(-)::chr16:92360970-92360982(-) ACCAGGAAGAGA >mm10_chr16:92401353-92401364(+)::chr16:92401352-92401364(+) acacGGAAGTCT >mm10_chr16:92403191-92403202(-)::chr16:92403190-92403202(-) AGCAGGAAGGAC >mm10_chr16:92403198-92403209(-)::chr16:92403197-92403209(-) AGGAGGAAGCAG >mm10_chr16:92415828-92415839(+)::chr16:92415827-92415839(+) AGGAGGAAGCAG >mm10_chr16:92430873-92430884(+)::chr16:92430872-92430884(+) ATCAGGAAGCAA >mm10_chr16:92451708-92451719(+)::chr16:92451707-92451719(+) AAGAGGAAGGAA >mm10_chr16:92478795-92478806(+)::chr16:92478794-92478806(+) AGAAGGAAGGAG >mm10_chr16:92489731-92489742(-)::chr16:92489730-92489742(-) AGCAGGAAGCTA >mm10_chr16:92520246-92520257(-)::chr16:92520245-92520257(-) TCCAGGAAGTTG >mm10_chr16:92520267-92520278(+)::chr16:92520266-92520278(+) TGAAGGAAGTTT >mm10_chr16:92551719-92551730(+)::chr16:92551718-92551730(+) AGAAGGAAGTTG >mm10_chr16:92584704-92584715(-)::chr16:92584703-92584715(-) AGCAGGAAGCTG >mm10_chr16:92628704-92628715(+)::chr16:92628703-92628715(+) GGCAGGAAGCAG >mm10_chr16:92645021-92645032(+)::chr16:92645020-92645032(+) AGGAGGAAGTAG >mm10_chr16:92649433-92649444(-)::chr16:92649432-92649444(-) GAAGGGAAGTCA >mm10_chr16:92654037-92654048(+)::chr16:92654036-92654048(+) ATAGGGAAGTGG >mm10_chr16:92671577-92671588(+)::chr16:92671576-92671588(+) AACAGGAAGAGG >mm10_chr16:92680702-92680713(+)::chr16:92680701-92680713(+) AGAGGGAAGTTG >mm10_chr16:92692338-92692349(+)::chr16:92692337-92692349(+) ATCAGGAAGTTG >mm10_chr16:92693782-92693793(+)::chr16:92693781-92693793(+) TCAAGGAAGCCA >mm10_chr16:92706216-92706227(+)::chr16:92706215-92706227(+) gcaaggaagcag >mm10_chr16:92769248-92769259(+)::chr16:92769247-92769259(+) AGACGGAAGCAT >mm10_chr16:92853762-92853773(+)::chr16:92853761-92853773(+) AGGAGGAAGAGG >mm10_chr16:92853768-92853779(+)::chr16:92853767-92853779(+) AAGAGGAAGAGG >mm10_chr16:92867541-92867552(+)::chr16:92867540-92867552(+) aacaggaaggaa >mm10_chr16:92867545-92867556(+)::chr16:92867544-92867556(+) ggaaggaaggaG >mm10_chr16:92867610-92867621(-)::chr16:92867609-92867621(-) GAGAGGAAGAGG >mm10_chr16:92884146-92884157(-)::chr16:92884145-92884157(-) ATCAGGAAGCTG >mm10_chr16:92901300-92901311(+)::chr16:92901299-92901311(+) accaggaagaga >mm10_chr16:92904206-92904217(-)::chr16:92904205-92904217(-) CACAGGAAATAA >mm10_chr16:92916154-92916165(+)::chr16:92916153-92916165(+) AAAGGGAAGTTT >mm10_chr16:92930026-92930037(-)::chr16:92930025-92930037(-) aagaggaagaga >mm10_chr16:92930038-92930049(-)::chr16:92930037-92930049(-) aggaggaagaag >mm10_chr16:92930072-92930083(-)::chr16:92930071-92930083(-) aggaggaagaag >mm10_chr16:92930084-92930095(-)::chr16:92930083-92930095(-) aaaaggaagagg >mm10_chr16:92945521-92945532(-)::chr16:92945520-92945532(-) tagaggaagagg >mm10_chr16:92945541-92945552(-)::chr16:92945540-92945552(-) acgaggaagagg >mm10_chr16:92945565-92945576(-)::chr16:92945564-92945576(-) aggaggaagagg >mm10_chr16:92953972-92953983(-)::chr16:92953971-92953983(-) TGAAGGAAGTTA >mm10_chr16:92954395-92954406(-)::chr16:92954394-92954406(-) GGAAGGAAGTGC >mm10_chr16:92954399-92954410(-)::chr16:92954398-92954410(-) GACAGGAAGGAA >mm10_chr16:92955950-92955961(+)::chr16:92955949-92955961(+) AGAAGGAAGATG >mm10_chr16:92975427-92975438(+)::chr16:92975426-92975438(+) GGTAGGAAGTGT >mm10_chr16:92975460-92975471(+)::chr16:92975459-92975471(+) AGACGGAAGAAA >mm10_chr16:92989776-92989787(-)::chr16:92989775-92989787(-) agaaggaaggaa >mm10_chr16:92989784-92989795(-)::chr16:92989783-92989795(-) agaaggaaagaa >mm10_chr16:92989791-92989802(-)::chr16:92989790-92989802(-) ggaaggaagaag >mm10_chr16:92989795-92989806(-)::chr16:92989794-92989806(-) ggaaggaaggaa >mm10_chr16:92989799-92989810(-)::chr16:92989798-92989810(-) AGGaggaaggaa >mm10_chr16:92993082-92993093(-)::chr16:92993081-92993093(-) accaggatgtaa >mm10_chr16:92998810-92998821(+)::chr16:92998809-92998821(+) ACAAGGAACATA >mm10_chr16:93006704-93006715(+)::chr16:93006703-93006715(+) ACAGGGAAGCGA >mm10_chr16:93013514-93013525(+)::chr16:93013513-93013525(+) ATGAGGAAGAAA >mm10_chr16:93031747-93031758(+)::chr16:93031746-93031758(+) TGGAGGAAGGGT >mm10_chr16:93031790-93031801(+)::chr16:93031789-93031801(+) AAGAGGAAGAGA >mm10_chr16:93031799-93031810(+)::chr16:93031798-93031810(+) AGAAGTAAGTAG >mm10_chr16:93088224-93088235(+)::chr16:93088223-93088235(+) GAAAGGAAGGAG >mm10_chr16:93096980-93096991(+)::chr16:93096979-93096991(+) ACGAGGAAGTCA >mm10_chr16:93097015-93097026(+)::chr16:93097014-93097026(+) AGAAGGAAGAGG >mm10_chr16:93124946-93124957(-)::chr16:93124945-93124957(-) ggaaggaaggaC >mm10_chr16:93124950-93124961(-)::chr16:93124949-93124961(-) ggaaggaaggaa >mm10_chr16:93124954-93124965(-)::chr16:93124953-93124965(-) ggaaggaaggaa >mm10_chr16:93124958-93124969(-)::chr16:93124957-93124969(-) ggaaggaaggaa >mm10_chr16:93124962-93124973(-)::chr16:93124961-93124973(-) ggaaggaaggaa >mm10_chr16:93124966-93124977(-)::chr16:93124965-93124977(-) ggaaggaaggaa >mm10_chr16:93124970-93124981(-)::chr16:93124969-93124981(-) GCCaggaaggaa >mm10_chr16:93192966-93192977(-)::chr16:93192965-93192977(-) TTCAGGAAGTAA >mm10_chr16:93192998-93193009(-)::chr16:93192997-93193009(-) AGAAGGAAGGTC >mm10_chr16:93193039-93193050(-)::chr16:93193038-93193050(-) AAAGGGAAGTAT >mm10_chr16:93345368-93345379(+)::chr16:93345367-93345379(+) ccaaggaagtac >mm10_chr16:93345380-93345391(+)::chr16:93345379-93345391(+) agaaggaaccgt >mm10_chr16:93354842-93354853(+)::chr16:93354841-93354853(+) TGGAGGAAGAGA >mm10_chr16:93354854-93354865(+)::chr16:93354853-93354865(+) AATAGGAAGGAC >mm10_chr16:93358613-93358624(-)::chr16:93358612-93358624(-) GTAAGGAAGACC >mm10_chr16:93369639-93369650(+)::chr16:93369638-93369650(+) AGACGGAAGAGG >mm10_chr16:93374108-93374119(+)::chr16:93374107-93374119(+) ACAGGGAAgtgt >mm10_chr16:93375297-93375308(-)::chr16:93375296-93375308(-) GCAAGGAAGTGG >mm10_chr16:93387880-93387891(-)::chr16:93387879-93387891(-) GTAAGGAAATCC >mm10_chr16:93404642-93404653(-)::chr16:93404641-93404653(-) GGAAGGAACTAT >mm10_chr16:93565891-93565902(+)::chr16:93565890-93565902(+) ATGAGGAAGGGG >mm10_chr16:93603837-93603848(-)::chr16:93603836-93603848(-) GAACGGAAGTCA >mm10_chr16:93604148-93604159(+)::chr16:93604147-93604159(+) AACGGGAAGTCT >mm10_chr16:93604175-93604186(-)::chr16:93604174-93604186(-) CGGAGGAAGAAA >mm10_chr16:93604185-93604196(-)::chr16:93604184-93604196(-) GGCAGGAAGTCG >mm10_chr16:93721971-93721982(-)::chr16:93721970-93721982(-) AGGAGGAAGGCT >mm10_chr16:93749246-93749257(-)::chr16:93749245-93749257(-) ACAGGGAAGTGT >mm10_chr16:93764864-93764875(+)::chr16:93764863-93764875(+) ACAGGGAAGTGG >mm10_chr16:93767716-93767727(-)::chr16:93767715-93767727(-) AACAGGAAGTAA >mm10_chr16:93767727-93767738(-)::chr16:93767726-93767738(-) ACCAGGAAGGAA >mm10_chr16:93779735-93779746(+)::chr16:93779734-93779746(+) GCCAGGAAGTGG >mm10_chr16:93779748-93779759(+)::chr16:93779747-93779759(+) ACTAGGAAATAG >mm10_chr16:93779790-93779801(-)::chr16:93779789-93779801(-) CTAAGGAAGTAG >mm10_chr16:93790871-93790882(-)::chr16:93790870-93790882(-) ATAAGGATGTCA >mm10_chr16:93816666-93816677(-)::chr16:93816665-93816677(-) TCCAGGAAGTCA >mm10_chr16:93816710-93816721(+)::chr16:93816709-93816721(+) AGGAGGAAGGCA >mm10_chr16:93816723-93816734(+)::chr16:93816722-93816734(+) AGAAGGAAATGA >mm10_chr16:93816728-93816739(+)::chr16:93816727-93816739(+) GAAATGAAGTAG >mm10_chr16:93832945-93832956(-)::chr16:93832944-93832956(-) TCAAGGAAGTAA >mm10_chr16:93891410-93891421(+)::chr16:93891409-93891421(+) GACAGGACGTAG >mm10_chr16:93891476-93891487(-)::chr16:93891475-93891487(-) ACGAGAAAGTTA >mm10_chr16:93914009-93914020(+)::chr16:93914008-93914020(+) CGAAGGAAACAA >mm10_chr16:93914355-93914366(-)::chr16:93914354-93914366(-) ACCAGGAAGTAG >mm10_chr16:93932722-93932733(-)::chr16:93932721-93932733(-) GCCAGGAAGCCG >mm10_chr16:93938656-93938667(+)::chr16:93938655-93938667(+) TTTAGGAAGTGA >mm10_chr16:93938691-93938702(-)::chr16:93938690-93938702(-) AAAAGGAAGCAC >mm10_chr16:93985679-93985690(+)::chr16:93985678-93985690(+) aacaggaaatag >mm10_chr16:93985713-93985724(-)::chr16:93985712-93985724(-) ggaaggaaggGG >mm10_chr16:93985717-93985728(-)::chr16:93985716-93985728(-) aggaggaaggaa >mm10_chr16:94122556-94122567(+)::chr16:94122555-94122567(+) ATGAGGAAGTCA >mm10_chr16:94122614-94122625(+)::chr16:94122613-94122625(+) ACAAGGAACTTC >mm10_chr16:94164305-94164316(-)::chr16:94164304-94164316(-) CCAAGGAAGCCA >mm10_chr16:94164377-94164388(+)::chr16:94164376-94164388(+) GGCAGGAAGGAC >mm10_chr16:94241342-94241353(+)::chr16:94241341-94241353(+) tggaggaagtag >mm10_chr16:94258969-94258980(+)::chr16:94258968-94258980(+) TACAGGAAGCAG >mm10_chr16:94258976-94258987(+)::chr16:94258975-94258987(+) AGCAGGAAGTCT >mm10_chr16:94289661-94289672(+)::chr16:94289660-94289672(+) AGAAGGAAGCGG >mm10_chr16:94290375-94290386(+)::chr16:94290374-94290386(+) GCAAGGAAGGAT >mm10_chr16:94307896-94307907(+)::chr16:94307895-94307907(+) CAAAGGAAGAAT >mm10_chr16:94317897-94317908(+)::chr16:94317896-94317908(+) agcaggatgtcg >mm10_chr16:94323825-94323836(+)::chr16:94323824-94323836(+) AGCAGGAAGTAA >mm10_chr16:94323850-94323861(+)::chr16:94323849-94323861(+) AGAAGGAAAGGA >mm10_chr16:94323855-94323866(+)::chr16:94323854-94323866(+) GAAAGGAAATGA >mm10_chr16:94323867-94323878(-)::chr16:94323866-94323878(-) GCAGGGAAGTGA >mm10_chr16:94526803-94526814(-)::chr16:94526802-94526814(-) TGGAGGAAGCGG >mm10_chr16:94527729-94527740(+)::chr16:94527728-94527740(+) TTAAGGAAGGCT >mm10_chr16:94559506-94559517(+)::chr16:94559505-94559517(+) TTCAGGAAGTTT >mm10_chr16:94566184-94566195(+)::chr16:94566183-94566195(+) cgaaggaattgg >mm10_chr16:94566222-94566233(+)::chr16:94566221-94566233(+) tgaaggaacggg >mm10_chr16:94601779-94601790(-)::chr16:94601778-94601790(-) GGCAGGAAGGAC >mm10_chr16:94627824-94627835(-)::chr16:94627823-94627835(-) GGCAGGAAGAAC >mm10_chr16:94650729-94650740(-)::chr16:94650728-94650740(-) aggaggaagagg >mm10_chr16:94650744-94650755(-)::chr16:94650743-94650755(-) aagaggaagaag >mm10_chr16:94650750-94650761(-)::chr16:94650749-94650761(-) gagaggaagagg >mm10_chr16:94650763-94650774(-)::chr16:94650762-94650774(-) aggaggaaggag >mm10_chr16:94693798-94693809(-)::chr16:94693797-94693809(-) ACAAGGAAGTTA >mm10_chr16:94753040-94753051(-)::chr16:94753039-94753051(-) GGCAGGAAGAAA >mm10_chr16:94761106-94761117(+)::chr16:94761105-94761117(+) AGCAGGAAGCTG >mm10_chr16:94762428-94762439(-)::chr16:94762427-94762439(-) AAGAGGAAGAGA >mm10_chr16:94797443-94797454(+)::chr16:94797442-94797454(+) ATCAGGAAGAAT >mm10_chr16:94860696-94860707(-)::chr16:94860695-94860707(-) aagaggaagggg >mm10_chr16:94860705-94860716(-)::chr16:94860704-94860716(-) GGCAGgaagaag >mm10_chr16:94972638-94972649(-)::chr16:94972637-94972649(-) AGCAGGAAGAGG >mm10_chr16:95162732-95162743(-)::chr16:95162731-95162743(-) aggaggaagggg >mm10_chr16:95162749-95162760(-)::chr16:95162748-95162760(-) aggaggaagaga >mm10_chr16:95162764-95162775(-)::chr16:95162763-95162775(-) aggaggaagaga >mm10_chr16:95162783-95162794(-)::chr16:95162782-95162794(-) aggaggaagaaa >mm10_chr16:95162795-95162806(-)::chr16:95162794-95162806(-) agaaggaagggg >mm10_chr16:95180634-95180645(+)::chr16:95180633-95180645(+) agcgggaagaaa >mm10_chr16:95207868-95207879(+)::chr16:95207867-95207879(+) TGAAGGAAGTGT >mm10_chr16:95222039-95222050(-)::chr16:95222038-95222050(-) AGAAGGAAATCC >mm10_chr16:95222063-95222074(-)::chr16:95222062-95222074(-) AGAAGGAAGCAC >mm10_chr16:95248785-95248796(+)::chr16:95248784-95248796(+) TGAGGGAAGTAC >mm10_chr16:95248800-95248811(-)::chr16:95248799-95248811(-) GGCAGGAAGTGG >mm10_chr16:95260795-95260806(-)::chr16:95260794-95260806(-) CGGAGGAAATGG >mm10_chr16:95260818-95260829(+)::chr16:95260817-95260829(+) AGGAGGAAGCAG >mm10_chr16:95264421-95264432(-)::chr16:95264420-95264432(-) GGAAGGAACTTC >mm10_chr16:95275961-95275972(+)::chr16:95275960-95275972(+) ATGCGGAAGTAT >mm10_chr16:95281439-95281450(-)::chr16:95281438-95281450(-) AGGAGGAAATGG >mm10_chr16:95289550-95289561(-)::chr16:95289549-95289561(-) GGCAGGAAGCAT >mm10_chr16:95289568-95289579(-)::chr16:95289567-95289579(-) TAGAGGAAGCAT >mm10_chr16:95289588-95289599(-)::chr16:95289587-95289599(-) ACCAGGAAGCTG >mm10_chr16:95291486-95291497(-)::chr16:95291485-95291497(-) CAGAGGAAGTAA >mm10_chr16:95291503-95291514(-)::chr16:95291502-95291514(-) GGCAGGAAGGGG >mm10_chr16:95308668-95308679(+)::chr16:95308667-95308679(+) agaaggaagaat >mm10_chr16:95308686-95308697(+)::chr16:95308685-95308697(+) aggaggaagagg >mm10_chr16:95308698-95308709(+)::chr16:95308697-95308709(+) aggaggaagagg >mm10_chr16:95308707-95308718(+)::chr16:95308706-95308718(+) aggaggaagaag >mm10_chr16:95308731-95308742(+)::chr16:95308730-95308742(+) agaaggaagaaa >mm10_chr16:95308742-95308753(+)::chr16:95308741-95308753(+) agaaggaaggag >mm10_chr16:95308749-95308760(+)::chr16:95308748-95308760(+) aggaggaagagg >mm10_chr16:95353215-95353226(+)::chr16:95353214-95353226(+) AGAAGGAAAGAA >mm10_chr16:95403653-95403664(-)::chr16:95403652-95403664(-) GGAAGGAAGCCA >mm10_chr16:95403657-95403668(-)::chr16:95403656-95403668(-) AGCAGGAAGGAA >mm10_chr16:95452749-95452760(+)::chr16:95452748-95452760(+) ATAAGGAAAGAA >mm10_chr16:95488949-95488960(-)::chr16:95488948-95488960(-) ACAAGGAAACGT >mm10_chr16:95488964-95488975(+)::chr16:95488963-95488975(+) ATCAGGAAGAGC >mm10_chr16:95502590-95502601(-)::chr16:95502589-95502601(-) AGGAGGAAGAGA >mm10_chr16:95636605-95636616(+)::chr16:95636604-95636616(+) acaaggaaggaa >mm10_chr16:95636609-95636620(+)::chr16:95636608-95636620(+) ggaaggaagtga >mm10_chr16:95636660-95636671(+)::chr16:95636659-95636671(+) GCCAGGAAGCTA >mm10_chr16:95638069-95638080(+)::chr16:95638068-95638080(+) AAAAGGAAGGGA >mm10_chr16:95647943-95647954(+)::chr16:95647942-95647954(+) AGAAGGAACCGG >mm10_chr16:95661944-95661955(-)::chr16:95661943-95661955(-) ggaaggaaatca >mm10_chr16:95661948-95661959(-)::chr16:95661947-95661959(-) tgaaggaaggaa >mm10_chr16:95662912-95662923(-)::chr16:95662911-95662923(-) atgaggaagggc >mm10_chr16:95662939-95662950(-)::chr16:95662938-95662950(-) agaaggaaggag >mm10_chr16:95662993-95663004(-)::chr16:95662992-95663004(-) tcacggaagtca >mm10_chr16:95663275-95663286(-)::chr16:95663274-95663286(-) AGAAGGAAATGA >mm10_chr16:95708438-95708449(-)::chr16:95708437-95708449(-) ACCAGGAAGTTA >mm10_chr16:95824804-95824815(-)::chr16:95824803-95824815(-) gagaggaagaga >mm10_chr16:95824815-95824826(-)::chr16:95824814-95824826(-) aggaggaagtgg >mm10_chr16:95825357-95825368(-)::chr16:95825356-95825368(-) GAAGGGAAGTAA >mm10_chr16:95831743-95831754(+)::chr16:95831742-95831754(+) TGAAGGAAGGAA >mm10_chr16:95831747-95831758(+)::chr16:95831746-95831758(+) GGAAGGAAGCAC >mm10_chr16:95840100-95840111(-)::chr16:95840099-95840111(-) ATGAGGAAGTGT >mm10_chr16:95848964-95848975(-)::chr16:95848963-95848975(-) TTAAGGAAGAGG >mm10_chr16:95895648-95895659(-)::chr16:95895647-95895659(-) TCCAGGAAGTTT >mm10_chr16:95896109-95896120(+)::chr16:95896108-95896120(+) aacaggaagctg >mm10_chr16:95943427-95943438(+)::chr16:95943426-95943438(+) ATAAGGAAGAAG >mm10_chr16:95990824-95990835(-)::chr16:95990823-95990835(-) AGGAGGAAGAAG >mm10_chr16:95991055-95991066(-)::chr16:95991054-95991066(-) TGACGGAAGCGC >mm10_chr16:96103202-96103213(-)::chr16:96103201-96103213(-) ggaaggatgtca >mm10_chr16:96133341-96133352(+)::chr16:96133340-96133352(+) ACAAGGAAGTCA >mm10_chr16:96145167-96145178(+)::chr16:96145166-96145178(+) GCCGGGAAGGCG >mm10_chr16:96149001-96149012(-)::chr16:96149000-96149012(-) GCAGGGAAGTAT >mm10_chr16:96149043-96149054(+)::chr16:96149042-96149054(+) AGAAGGAAGCTG >mm10_chr16:96158693-96158704(-)::chr16:96158692-96158704(-) AGACGGAAGGCC >mm10_chr16:96158717-96158728(-)::chr16:96158716-96158728(-) GCACGGAAGAGG >mm10_chr16:96178635-96178646(-)::chr16:96178634-96178646(-) AAAAGGAAGTAC >mm10_chr16:96192227-96192238(+)::chr16:96192226-96192238(+) TTAAGGAAGCGC >mm10_chr16:96200652-96200663(-)::chr16:96200651-96200663(-) CTAGGGAAGTCG >mm10_chr16:96228505-96228516(-)::chr16:96228504-96228516(-) GGAAGGAAATAT >mm10_chr16:96228509-96228520(-)::chr16:96228508-96228520(-) AGAAGGAAGGAA >mm10_chr16:96254936-96254947(-)::chr16:96254935-96254947(-) aaaatgaagtca >mm10_chr16:96279116-96279127(-)::chr16:96279115-96279127(-) CAGAGGAAGTAT >mm10_chr16:96279157-96279168(+)::chr16:96279156-96279168(+) GAAAGAAAGTAT >mm10_chr16:96298863-96298874(+)::chr16:96298862-96298874(+) TCAAGGAAATCA >mm10_chr16:96304660-96304671(-)::chr16:96304659-96304671(-) gtcaggaaggga >mm10_chr16:96304703-96304714(-)::chr16:96304702-96304714(-) tagaggaagtca >mm10_chr16:96313699-96313710(+)::chr16:96313698-96313710(+) AAAAGGAATTCA >mm10_chr16:96313708-96313719(-)::chr16:96313707-96313719(-) AAGAGGAAATGA >mm10_chr16:96323478-96323489(-)::chr16:96323477-96323489(-) tgaaggaagtca >mm10_chr16:96329472-96329483(-)::chr16:96329471-96329483(-) GGAAGGAAGGGC >mm10_chr16:96329476-96329487(-)::chr16:96329475-96329487(-) GAGAGGAAGGAA >mm10_chr16:96361557-96361568(+)::chr16:96361556-96361568(+) CGGAGGAAGAGT >mm10_chr16:96361602-96361613(-)::chr16:96361601-96361613(-) CACAGGAAGTCC >mm10_chr16:96361727-96361738(-)::chr16:96361726-96361738(-) AACAGGAAGTTC >mm10_chr16:96535788-96535799(+)::chr16:96535787-96535799(+) AGCAGGAAGGAA >mm10_chr16:96536058-96536069(-)::chr16:96536057-96536069(-) ACCAGGAAGAAG >mm10_chr16:96538006-96538017(+)::chr16:96538005-96538017(+) ATCAGGAACTCG >mm10_chr16:96538041-96538052(-)::chr16:96538040-96538052(-) AACAGGAAATCA >mm10_chr16:96543187-96543198(+)::chr16:96543186-96543198(+) aaaaggaacttt >mm10_chr16:96624941-96624952(+)::chr16:96624940-96624952(+) ATGAGGAAGCAG >mm10_chr16:96728036-96728047(+)::chr16:96728035-96728047(+) CCGAGGAAGGAA >mm10_chr16:96728067-96728078(+)::chr16:96728066-96728078(+) AACAGGAAGTCA >mm10_chr16:96890367-96890378(+)::chr16:96890366-96890378(+) ACAAGGAAGAGG >mm10_chr16:96890382-96890393(+)::chr16:96890381-96890393(+) TAAAGGAAATGA >mm10_chr16:96910578-96910589(-)::chr16:96910577-96910589(-) TCAAGGAAGGAG >mm10_chr16:96986924-96986935(+)::chr16:96986923-96986935(+) ACAAGGAAGGAG >mm10_chr16:97263199-97263210(+)::chr16:97263198-97263210(+) AGAAGGAAGGAG >mm10_chr16:97263216-97263227(+)::chr16:97263215-97263227(+) GGGAGGAAGAAG >mm10_chr16:97263223-97263234(+)::chr16:97263222-97263234(+) AGAAGGAAATGT >mm10_chr16:97289313-97289324(-)::chr16:97289312-97289324(-) ACAAGGAAGTGA >mm10_chr16:97328201-97328212(+)::chr16:97328200-97328212(+) AGCAGGAAGGTT >mm10_chr16:97357608-97357619(-)::chr16:97357607-97357619(-) GGAAGGAAGACG >mm10_chr16:97357612-97357623(-)::chr16:97357611-97357623(-) CCGAGGAAGGAA >mm10_chr16:97357635-97357646(-)::chr16:97357634-97357646(-) ATGAGGAAGCCA >mm10_chr16:97358421-97358432(+)::chr16:97358420-97358432(+) ACCAGGAAGAAA >mm10_chr16:97362355-97362366(+)::chr16:97362354-97362366(+) ATAAGGAAGCCT >mm10_chr16:97431415-97431426(-)::chr16:97431414-97431426(-) agcaggaagcta >mm10_chr16:97504999-97505010(+)::chr16:97504998-97505010(+) AGCAGGAAGGTT >mm10_chr16:97506921-97506932(-)::chr16:97506920-97506932(-) agaaggaaCTCT >mm10_chr16:97506958-97506969(-)::chr16:97506957-97506969(-) aggaggaagggg >mm10_chr16:97574894-97574905(-)::chr16:97574893-97574905(-) GGGAGGAAATAG >mm10_chr16:97591062-97591073(+)::chr16:97591061-97591073(+) ACAAGGAAAGGA >mm10_chr16:97611024-97611035(-)::chr16:97611023-97611035(-) GGCGGGAAGCGC >mm10_chr16:97611060-97611071(-)::chr16:97611059-97611071(-) ACGAGGAAGCCA >mm10_chr16:97618392-97618403(+)::chr16:97618391-97618403(+) CTCAGGAAGTGC >mm10_chr16:97653193-97653204(-)::chr16:97653192-97653204(-) ggaaggaggtgg >mm10_chr16:97653197-97653208(-)::chr16:97653196-97653208(-) aagaggaaggag >mm10_chr16:97653203-97653214(-)::chr16:97653202-97653214(-) AACAGgaagagg >mm10_chr16:97669849-97669860(+)::chr16:97669848-97669860(+) AGGGGGAAGTGG >mm10_chr16:97679535-97679546(-)::chr16:97679534-97679546(-) AGAAGGAAGTAA >mm10_chr16:97761995-97762006(-)::chr16:97761994-97762006(-) AGCAGGAAGTAC >mm10_chr16:97795832-97795843(-)::chr16:97795831-97795843(-) AGCAGGAAGAAC >mm10_chr16:97849881-97849892(-)::chr16:97849880-97849892(-) TGAAGGAAGAAG >mm10_chr16:97860432-97860443(+)::chr16:97860431-97860443(+) AAAAGGAAGTTA >mm10_chr16:97897901-97897912(+)::chr16:97897900-97897912(+) ATAAGGAAGGAG >mm10_chr16:97897923-97897934(+)::chr16:97897922-97897934(+) AAAAGGAAGTCG >mm10_chr16:97922275-97922286(+)::chr16:97922274-97922286(+) ACCAGGAAGAGC >mm10_chr16:97997334-97997345(-)::chr16:97997333-97997345(-) ACCAGGAAGCGA >mm10_chr16:97997377-97997388(-)::chr16:97997376-97997388(-) CGCAGGAAACGG >mm10_chr16:97999781-97999792(-)::chr16:97999780-97999792(-) acaaggaagtaa >mm10_chr16:98079615-98079626(-)::chr16:98079614-98079626(-) taaaggaagttc >mm10_chr16:98079634-98079645(-)::chr16:98079633-98079645(-) tcaaggaaggaa >mm10_chr17:3114641-3114652(+)::chr17:3114640-3114652(+) ACCCGGAAGCGG >mm10_chr17:3115072-3115083(+)::chr17:3115071-3115083(+) GGGAGGAAGAGG >mm10_chr17:3115078-3115089(+)::chr17:3115077-3115089(+) AAGAGGAAGGAG >mm10_chr17:3160850-3160861(-)::chr17:3160849-3160861(-) aagaggaagaag >mm10_chr17:3160871-3160882(-)::chr17:3160870-3160882(-) agaaggaagaga >mm10_chr17:3160883-3160894(-)::chr17:3160882-3160894(-) aacaggaagaag >mm10_chr17:3160895-3160906(-)::chr17:3160894-3160906(-) aagaggaagagg >mm10_chr17:3160901-3160912(-)::chr17:3160900-3160912(-) GGgaggaagagg >mm10_chr17:3166629-3166640(-)::chr17:3166628-3166640(-) atgaggaagagc >mm10_chr17:3189193-3189204(-)::chr17:3189192-3189204(-) aggaggaagaag >mm10_chr17:3189200-3189211(-)::chr17:3189199-3189211(-) agaaggaaggag >mm10_chr17:3189210-3189221(-)::chr17:3189209-3189221(-) aggaggaagaag >mm10_chr17:3189217-3189228(-)::chr17:3189216-3189228(-) agaaggaaggag >mm10_chr17:3189227-3189238(-)::chr17:3189226-3189238(-) agaaggaagaag >mm10_chr17:3206658-3206669(+)::chr17:3206657-3206669(+) AGCAGGAAGTAG >mm10_chr17:3230630-3230641(-)::chr17:3230629-3230641(-) TAGAGGAAGGGA >mm10_chr17:3273999-3274010(+)::chr17:3273998-3274010(+) GGAAGGCAGTAT >mm10_chr17:3274044-3274055(+)::chr17:3274043-3274055(+) CGGAGGAAATCC >mm10_chr17:3286591-3286602(+)::chr17:3286590-3286602(+) ACCAGGAAATGT >mm10_chr17:3295211-3295222(-)::chr17:3295210-3295222(-) GGAAGGAAGTCT >mm10_chr17:3295215-3295226(-)::chr17:3295214-3295226(-) TCCAGGAAGGAA >mm10_chr17:3295242-3295253(-)::chr17:3295241-3295253(-) ATGAGGAAGTAA >mm10_chr17:3314248-3314259(+)::chr17:3314247-3314259(+) ACGGGGAAGCGC >mm10_chr17:3314257-3314268(-)::chr17:3314256-3314268(-) TGGAGGAAGGCG >mm10_chr17:3351134-3351145(+)::chr17:3351133-3351145(+) AGTAGGAAGTTG >mm10_chr17:3363956-3363967(-)::chr17:3363955-3363967(-) CTGAGGAAGTGT >mm10_chr17:3363967-3363978(-)::chr17:3363966-3363978(-) AAGGGGAAGTAC >mm10_chr17:3368436-3368447(+)::chr17:3368435-3368447(+) TGGAGGAAGTTC >mm10_chr17:3401735-3401746(-)::chr17:3401734-3401746(-) AGAAGGAAACAA >mm10_chr17:3401742-3401753(-)::chr17:3401741-3401753(-) TGAAGGAAGAAG >mm10_chr17:3405859-3405870(-)::chr17:3405858-3405870(-) AGGAGGAAGCAA >mm10_chr17:3405866-3405877(-)::chr17:3405865-3405877(-) ATGAGGAAGGAG >mm10_chr17:3416505-3416516(-)::chr17:3416504-3416516(-) AACAGGAAATAA >mm10_chr17:3416535-3416546(-)::chr17:3416534-3416546(-) AGAAGGAAGTAA >mm10_chr17:3425063-3425074(+)::chr17:3425062-3425074(+) TTAAGGAAGCAG >mm10_chr17:3429328-3429339(+)::chr17:3429327-3429339(+) TGGAGGAAATGG >mm10_chr17:3443204-3443215(+)::chr17:3443203-3443215(+) AGAAGGAAATAA >mm10_chr17:3443219-3443230(-)::chr17:3443218-3443230(-) TCGAGGATGTAG >mm10_chr17:3446730-3446741(+)::chr17:3446729-3446741(+) AGTAGGAAGTTG >mm10_chr17:3446755-3446766(-)::chr17:3446754-3446766(-) GAAAGGAACTTA >mm10_chr17:3495629-3495640(+)::chr17:3495628-3495640(+) AGAAGGAAGAGG >mm10_chr17:3495635-3495646(+)::chr17:3495634-3495646(+) AAGAGGAAGCAG >mm10_chr17:3516541-3516552(-)::chr17:3516540-3516552(-) AAAAGGAAGAGG >mm10_chr17:3516617-3516628(-)::chr17:3516616-3516628(-) CGCCGGAAGTTC >mm10_chr17:3557848-3557859(+)::chr17:3557847-3557859(+) GACAGGAAGTCT >mm10_chr17:3970349-3970360(-)::chr17:3970348-3970360(-) ttaaggaagtag >mm10_chr17:3970392-3970403(-)::chr17:3970391-3970403(-) AACAGGAAGTGT >mm10_chr17:4155678-4155689(+)::chr17:4155677-4155689(+) ACCAGGAAGCTG >mm10_chr17:4233695-4233706(+)::chr17:4233694-4233706(+) TAAAGGAAATGA >mm10_chr17:4645117-4645128(-)::chr17:4645116-4645128(-) AGGAGGAAGTGG >mm10_chr17:4776314-4776325(-)::chr17:4776313-4776325(-) ggaaggaagggt >mm10_chr17:4776318-4776329(-)::chr17:4776317-4776329(-) ttaaggaaggaa >mm10_chr17:4794067-4794078(+)::chr17:4794066-4794078(+) gggaggaagaaa >mm10_chr17:4794075-4794086(+)::chr17:4794074-4794086(+) gaaaggaaggaa >mm10_chr17:4794079-4794090(+)::chr17:4794078-4794090(+) ggaaggaaggaa >mm10_chr17:4794083-4794094(+)::chr17:4794082-4794094(+) ggaaggaaggaa >mm10_chr17:4794087-4794098(+)::chr17:4794086-4794098(+) ggaaggaaggaa >mm10_chr17:4840341-4840352(-)::chr17:4840340-4840352(-) TCAGGGAAGTGG >mm10_chr17:4867887-4867898(-)::chr17:4867886-4867898(-) GAAAGGAAACGA >mm10_chr17:4868572-4868583(-)::chr17:4868571-4868583(-) AGAAGGAAGGCT >mm10_chr17:4869059-4869070(-)::chr17:4869058-4869070(-) AGCAGGAAGTTG >mm10_chr17:4878847-4878858(+)::chr17:4878846-4878858(+) tccaggaagtcc >mm10_chr17:4878909-4878920(+)::chr17:4878908-4878920(+) aaaaggaagaaa >mm10_chr17:4878913-4878924(+)::chr17:4878912-4878924(+) ggaagaaagtga >mm10_chr17:4922885-4922896(-)::chr17:4922884-4922896(-) AGAGGGAAGTCC >mm10_chr17:4945909-4945920(+)::chr17:4945908-4945920(+) ACCAGGAAGCAA >mm10_chr17:4991989-4992000(-)::chr17:4991988-4992000(-) ACCAGGAAATCA >mm10_chr17:5005863-5005874(-)::chr17:5005862-5005874(-) ACCAGGAAGCAC >mm10_chr17:5049461-5049472(-)::chr17:5049460-5049472(-) ACCAGGAAGCAA >mm10_chr17:5063970-5063981(-)::chr17:5063969-5063981(-) AGAAGGAAACCG >mm10_chr17:5063977-5063988(-)::chr17:5063976-5063988(-) AAGAGGAAGAAG >mm10_chr17:5081052-5081063(+)::chr17:5081051-5081063(+) ATGAGGAAGTTC >mm10_chr17:5082664-5082675(+)::chr17:5082663-5082675(+) ACAAGGAAGAAG >mm10_chr17:5088997-5089008(+)::chr17:5088996-5089008(+) AGGAGGAAGCAG >mm10_chr17:5089043-5089054(+)::chr17:5089042-5089054(+) GCCAGGAAGAAC >mm10_chr17:5124808-5124819(-)::chr17:5124807-5124819(-) GTAAGGAAGTGC >mm10_chr17:5130530-5130541(-)::chr17:5130529-5130541(-) GGAAGGAAAATA >mm10_chr17:5130534-5130545(-)::chr17:5130533-5130545(-) ACAAGGAAGGAA >mm10_chr17:5135881-5135892(-)::chr17:5135880-5135892(-) AAGAGGAAGTCC >mm10_chr17:5135887-5135898(-)::chr17:5135886-5135898(-) GGAAGGAAGAGG >mm10_chr17:5135891-5135902(-)::chr17:5135890-5135902(-) ACAAGGAAGGAA >mm10_chr17:5138851-5138862(+)::chr17:5138850-5138862(+) GCAAGGAAGAAG >mm10_chr17:5138890-5138901(-)::chr17:5138889-5138901(-) AACAGGAAGGAA >mm10_chr17:5154384-5154395(-)::chr17:5154383-5154395(-) AACAGGAAGAAC >mm10_chr17:5164081-5164092(-)::chr17:5164080-5164092(-) acaaggaaatcc >mm10_chr17:5189789-5189800(+)::chr17:5189788-5189800(+) GGGAGGAAGAGG >mm10_chr17:5198061-5198072(-)::chr17:5198060-5198072(-) AACAGGAAGCCC >mm10_chr17:5198085-5198096(-)::chr17:5198084-5198096(-) AGAAGGAAGACA >mm10_chr17:5202058-5202069(-)::chr17:5202057-5202069(-) GGAAGGAAGCCC >mm10_chr17:5202094-5202105(+)::chr17:5202093-5202105(+) AGCAGGAAATCC >mm10_chr17:5213721-5213732(-)::chr17:5213720-5213732(-) aagaggaagaga >mm10_chr17:5213727-5213738(-)::chr17:5213726-5213738(-) gggaggaagagg >mm10_chr17:5213743-5213754(-)::chr17:5213742-5213754(-) gagaggaaggga >mm10_chr17:5214142-5214153(-)::chr17:5214141-5214153(-) AACAGGAAGCAG >mm10_chr17:5214192-5214203(-)::chr17:5214191-5214203(-) GAGAGGAAGGAG >mm10_chr17:5227250-5227261(-)::chr17:5227249-5227261(-) GCAAGGAAGCTG >mm10_chr17:5250029-5250040(-)::chr17:5250028-5250040(-) AAGAGGAAGAAA >mm10_chr17:5250035-5250046(-)::chr17:5250034-5250046(-) TGGAGGAAGAGG >mm10_chr17:5250056-5250067(+)::chr17:5250055-5250067(+) ACCAGGAAGACA >mm10_chr17:5293890-5293901(-)::chr17:5293889-5293901(-) GAAGGGAAGTAA >mm10_chr17:5293907-5293918(+)::chr17:5293906-5293918(+) AGTAGGAAGTAT >mm10_chr17:5316755-5316766(+)::chr17:5316754-5316766(+) AAGAGGAAGAGA >mm10_chr17:5316780-5316791(+)::chr17:5316779-5316791(+) AGCAGGAAGCAC >mm10_chr17:5344591-5344602(+)::chr17:5344590-5344602(+) TACAGGAAATAG >mm10_chr17:5344599-5344610(+)::chr17:5344598-5344610(+) ATAGGGAAGATG >mm10_chr17:5344617-5344628(-)::chr17:5344616-5344628(-) ACCAGGAAGCAG >mm10_chr17:5395998-5396009(+)::chr17:5395997-5396009(+) AACAGGAAGCCA >mm10_chr17:5397670-5397681(+)::chr17:5397669-5397681(+) ATCAGGAAGTCC >mm10_chr17:5429580-5429591(+)::chr17:5429579-5429591(+) AACAGGAAATGT >mm10_chr17:5479947-5479958(+)::chr17:5479946-5479958(+) ACAAGGAAAGGG >mm10_chr17:5491548-5491559(+)::chr17:5491547-5491559(+) AGAAGGAACTAC >mm10_chr17:5506779-5506790(-)::chr17:5506778-5506790(-) ACCAGGAAATGG >mm10_chr17:5520145-5520156(-)::chr17:5520144-5520156(-) ACCAGGAAGTGG >mm10_chr17:5522181-5522192(+)::chr17:5522180-5522192(+) aggaggaagagg >mm10_chr17:5522187-5522198(+)::chr17:5522186-5522198(+) aagaggaagatg >mm10_chr17:5522205-5522216(+)::chr17:5522204-5522216(+) aagaggaagaag >mm10_chr17:5522215-5522226(+)::chr17:5522214-5522226(+) agaaggaaagga >mm10_chr17:5522256-5522267(+)::chr17:5522255-5522267(+) aagaggaagatg >mm10_chr17:5553749-5553760(-)::chr17:5553748-5553760(-) CCAAGGAAATGA >mm10_chr17:5605370-5605381(-)::chr17:5605369-5605381(-) CAAAGGAAGCGA >mm10_chr17:5605735-5605746(-)::chr17:5605734-5605746(-) TTGAGGAAGTGG >mm10_chr17:5622404-5622415(-)::chr17:5622403-5622415(-) AACAGGAAGAGA >mm10_chr17:5625687-5625698(-)::chr17:5625686-5625698(-) ACAAGGAAGCCC >mm10_chr17:5798602-5798613(+)::chr17:5798601-5798613(+) ACAAGGAAGTGA >mm10_chr17:5798644-5798655(+)::chr17:5798643-5798655(+) AGCAGGAAGCTG >mm10_chr17:5814107-5814118(-)::chr17:5814106-5814118(-) TTAAGGAAGTCC >mm10_chr17:5814423-5814434(+)::chr17:5814422-5814434(+) agcaggaagttc >mm10_chr17:5838490-5838501(+)::chr17:5838489-5838501(+) aacaggaagtca >mm10_chr17:5838529-5838540(-)::chr17:5838528-5838540(-) aataggaagtgt >mm10_chr17:5839661-5839672(-)::chr17:5839660-5839672(-) ACAGGGAAGTCA >mm10_chr17:5849846-5849857(+)::chr17:5849845-5849857(+) CGAAGGAAGCAG >mm10_chr17:5867703-5867714(+)::chr17:5867702-5867714(+) AGAACGAAGTCT >mm10_chr17:5869158-5869169(+)::chr17:5869157-5869169(+) ATAAGGAAGGCC >mm10_chr17:5869807-5869818(+)::chr17:5869806-5869818(+) TAAAGGAAGAGG >mm10_chr17:5894815-5894826(-)::chr17:5894814-5894826(-) ataaggaaacta >mm10_chr17:5942382-5942393(-)::chr17:5942381-5942393(-) ACCAGGAAGGCA >mm10_chr17:5957394-5957405(+)::chr17:5957393-5957405(+) TCGAGGAAGCAG >mm10_chr17:5959324-5959335(+)::chr17:5959323-5959335(+) TCCAGGAAGAAA >mm10_chr17:5978360-5978371(-)::chr17:5978359-5978371(-) AACAGGAAGGTC >mm10_chr17:6008818-6008829(-)::chr17:6008817-6008829(-) AGGAGGAAGTGA >mm10_chr17:6107379-6107390(-)::chr17:6107378-6107390(-) GGCAGGAAGGAA >mm10_chr17:6107387-6107398(-)::chr17:6107386-6107398(-) GGAAGGAAGGCA >mm10_chr17:6108930-6108941(-)::chr17:6108929-6108941(-) GCAAGGAAGGAA >mm10_chr17:6108938-6108949(-)::chr17:6108937-6108949(-) ACAAGGAAGCAA >mm10_chr17:6173129-6173140(+)::chr17:6173128-6173140(+) AGCAGGAAATAA >mm10_chr17:6186784-6186795(-)::chr17:6186783-6186795(-) gagaggAAGAAG >mm10_chr17:6736944-6736955(+)::chr17:6736943-6736955(+) GTAAGGAAAATA >mm10_chr17:6742490-6742501(+)::chr17:6742489-6742501(+) ATAGGGAAGAGG >mm10_chr17:6742496-6742507(+)::chr17:6742495-6742507(+) AAGAGGAAGAGG >mm10_chr17:6751441-6751452(+)::chr17:6751440-6751452(+) ATAAGGAAGAAT >mm10_chr17:6755641-6755652(+)::chr17:6755640-6755652(+) GCCAGGAAGAGG >mm10_chr17:6755690-6755701(-)::chr17:6755689-6755701(-) TCCAGGAAGAGA >mm10_chr17:6771844-6771855(-)::chr17:6771843-6771855(-) GGCAGGAAGTAG >mm10_chr17:6771863-6771874(-)::chr17:6771862-6771874(-) GACAGGAAGTGG >mm10_chr17:6779892-6779903(-)::chr17:6779891-6779903(-) TGCAGGAAGCAA >mm10_chr17:6789331-6789342(+)::chr17:6789330-6789342(+) ACAAGGATGTGG >mm10_chr17:6789945-6789956(+)::chr17:6789944-6789956(+) AAAAGGAAGAGT >mm10_chr17:6795431-6795442(+)::chr17:6795430-6795442(+) aggaggaagaag >mm10_chr17:6795449-6795460(+)::chr17:6795448-6795460(+) gggaggaagaga >mm10_chr17:6795467-6795478(+)::chr17:6795466-6795478(+) aaaaggaagagg >mm10_chr17:6795488-6795499(+)::chr17:6795487-6795499(+) aggaggaagagg >mm10_chr17:6795494-6795505(+)::chr17:6795493-6795505(+) aagaggaAGACA >mm10_chr17:6808774-6808785(-)::chr17:6808773-6808785(-) ACCAGGAAGGAG >mm10_chr17:6811653-6811664(+)::chr17:6811652-6811664(+) AGCAGGAAGGAA >mm10_chr17:6811657-6811668(+)::chr17:6811656-6811668(+) GGAAGGAAGATG >mm10_chr17:7010219-7010230(-)::chr17:7010218-7010230(-) GGAAGGAAGCAT >mm10_chr17:7010223-7010234(-)::chr17:7010222-7010234(-) TCCAGGAAGGAA >mm10_chr17:7139105-7139116(-)::chr17:7139104-7139116(-) ACAAGGAAGCCA >mm10_chr17:7176636-7176647(-)::chr17:7176635-7176647(-) AACAGGAAGTGT >mm10_chr17:7176666-7176677(-)::chr17:7176665-7176677(-) TTAAGGAAGTGA >mm10_chr17:7227153-7227164(-)::chr17:7227152-7227164(-) AGCAGGAAGTAG >mm10_chr17:7460985-7460996(-)::chr17:7460984-7460996(-) ATGAGGAAGAGT >mm10_chr17:7461024-7461035(-)::chr17:7461023-7461035(-) TAAAGGATGTAT >mm10_chr17:7462118-7462129(-)::chr17:7462117-7462129(-) aggaggaagaag >mm10_chr17:7548197-7548208(-)::chr17:7548196-7548208(-) AACAGGAAGATT >mm10_chr17:7583003-7583014(-)::chr17:7583002-7583014(-) AGCAGGAAGCTG >mm10_chr17:7707215-7707226(+)::chr17:7707214-7707226(+) ATCAGGAAGATC >mm10_chr17:7707266-7707277(+)::chr17:7707265-7707277(+) TGAGGGAAGTGG >mm10_chr17:7707283-7707294(+)::chr17:7707282-7707294(+) AGCAGGAAGCCA >mm10_chr17:7714857-7714868(-)::chr17:7714856-7714868(-) AGCAGGAAGTGT >mm10_chr17:7721861-7721872(-)::chr17:7721860-7721872(-) AGGAGGAAGTTA >mm10_chr17:7840801-7840812(-)::chr17:7840800-7840812(-) gtaaggaagatt >mm10_chr17:7886852-7886863(-)::chr17:7886851-7886863(-) ACAAGGAAATAC >mm10_chr17:7902581-7902592(-)::chr17:7902580-7902592(-) GAGAGGAAGTGG >mm10_chr17:7939870-7939881(+)::chr17:7939869-7939881(+) AAAAGGAAATGA >mm10_chr17:8116510-8116521(+)::chr17:8116509-8116521(+) TCCAGGAAGGAA >mm10_chr17:8116514-8116525(+)::chr17:8116513-8116525(+) GGAAGGAAGCAT >mm10_chr17:8116587-8116598(+)::chr17:8116586-8116598(+) TGTAGGAAGCGT >mm10_chr17:8268138-8268149(+)::chr17:8268137-8268149(+) AGCAGGAAATCG >mm10_chr17:8271537-8271548(-)::chr17:8271536-8271548(-) AACAGGAAATCA >mm10_chr17:8276863-8276874(-)::chr17:8276862-8276874(-) TCATGGAAGTAG >mm10_chr17:8276874-8276885(+)::chr17:8276873-8276885(+) ACCAGGAAGTTC >mm10_chr17:8305438-8305449(+)::chr17:8305437-8305449(+) AAAAGGAAGCAT >mm10_chr17:8305469-8305480(-)::chr17:8305468-8305480(-) AGCAGGAAGCAC >mm10_chr17:8311105-8311116(+)::chr17:8311104-8311116(+) TGAAGGAAGCGG >mm10_chr17:8311858-8311869(-)::chr17:8311857-8311869(-) TGGAGGAAGTAA >mm10_chr17:8342932-8342943(+)::chr17:8342931-8342943(+) AGCAGGAAGTAG >mm10_chr17:8343155-8343166(+)::chr17:8343154-8343166(+) AGAAGGAAGTGT >mm10_chr17:8348039-8348050(-)::chr17:8348038-8348050(-) tcaaggaagtgt >mm10_chr17:8349175-8349186(-)::chr17:8349174-8349186(-) gccaggaagagg >mm10_chr17:8409148-8409159(-)::chr17:8409147-8409159(-) GGAAGGAAGTGG >mm10_chr17:8505862-8505873(-)::chr17:8505861-8505873(-) TTCAGGAAGTCT >mm10_chr17:8681416-8681427(-)::chr17:8681415-8681427(-) AGAAGAAAGTGA >mm10_chr17:8813958-8813969(+)::chr17:8813957-8813969(+) GGCAGGAAGCAA >mm10_chr17:8905742-8905753(-)::chr17:8905741-8905753(-) ACCAGGAAGAAC >mm10_chr17:8988524-8988535(+)::chr17:8988523-8988535(+) GGCAGGAAGTCA >mm10_chr17:9070052-9070063(+)::chr17:9070051-9070063(+) TATAGGAAGAAA >mm10_chr17:9272286-9272297(+)::chr17:9272285-9272297(+) AGAAGGGAGTGA >mm10_chr17:9272294-9272305(+)::chr17:9272293-9272305(+) GTGAGGAAGACG >mm10_chr17:9635224-9635235(+)::chr17:9635223-9635235(+) agaaggaaggag >mm10_chr17:9635248-9635259(+)::chr17:9635247-9635259(+) ggaaggaagggg >mm10_chr17:9635283-9635294(+)::chr17:9635282-9635294(+) aataggaagttg >mm10_chr17:9768393-9768404(+)::chr17:9768392-9768404(+) TCAGGGAAGTGG >mm10_chr17:9768439-9768450(-)::chr17:9768438-9768450(-) AACAGGAAGCCC >mm10_chr17:9768456-9768467(+)::chr17:9768455-9768467(+) GGCAGGAAGTGT >mm10_chr17:9769244-9769255(+)::chr17:9769243-9769255(+) AGAAGGAAGTTG >mm10_chr17:9769276-9769287(-)::chr17:9769275-9769287(-) AGGAGGAAGCAA >mm10_chr17:9769299-9769310(+)::chr17:9769298-9769310(+) TCAAGGAAATCA >mm10_chr17:9904794-9904805(-)::chr17:9904793-9904805(-) GCCAGGAAGATC >mm10_chr17:9904826-9904837(+)::chr17:9904825-9904837(+) ACACGGAAGAAA >mm10_chr17:9911004-9911015(-)::chr17:9911003-9911015(-) GGAAGGAAGAGC >mm10_chr17:10001193-10001204(-)::chr17:10001192-10001204(-) TGGAGGAAGCAA >mm10_chr17:10094277-10094288(-)::chr17:10094276-10094288(-) ATGAGGAAGACA >mm10_chr17:10218263-10218274(-)::chr17:10218262-10218274(-) TTAGGGAAGTTT >mm10_chr17:10289906-10289917(+)::chr17:10289905-10289917(+) acaaggacgttg >mm10_chr17:10301168-10301179(-)::chr17:10301167-10301179(-) TTAAGGAAGTTA >mm10_chr17:10321306-10321317(+)::chr17:10321305-10321317(+) aagaggaagagg >mm10_chr17:10326591-10326602(+)::chr17:10326590-10326602(+) AGTAGGAAGACC >mm10_chr17:10335179-10335190(+)::chr17:10335178-10335190(+) CGCAGGAAGAAG >mm10_chr17:10353246-10353257(-)::chr17:10353245-10353257(-) AGCAGGAAGGAC >mm10_chr17:10353260-10353271(+)::chr17:10353259-10353271(+) ACCAGGAAGAAG >mm10_chr17:10480620-10480631(-)::chr17:10480619-10480631(-) CCAAGGAAGTGG >mm10_chr17:10490144-10490155(-)::chr17:10490143-10490155(-) ATCAGGAAGTCC >mm10_chr17:10499074-10499085(+)::chr17:10499073-10499085(+) AACAGGAAGTTG >mm10_chr17:10745369-10745380(-)::chr17:10745368-10745380(-) AACAGGAAGAGA >mm10_chr17:10771521-10771532(-)::chr17:10771520-10771532(-) AGCAGGAAGTCT >mm10_chr17:10771536-10771547(-)::chr17:10771535-10771547(-) AGCAGGAAGAGC >mm10_chr17:10772382-10772393(+)::chr17:10772381-10772393(+) AGCAGGAAGCCA >mm10_chr17:10772418-10772429(-)::chr17:10772417-10772429(-) TTCAGGAAGTCC >mm10_chr17:10943104-10943115(+)::chr17:10943103-10943115(+) ATCAGGAAGGGT >mm10_chr17:10964491-10964502(+)::chr17:10964490-10964502(+) TCCAGGAAGTCC >mm10_chr17:11011455-11011466(+)::chr17:11011454-11011466(+) TCCAGGAAGAAA >mm10_chr17:11011764-11011775(+)::chr17:11011763-11011775(+) GTAGGGAAGTAC >mm10_chr17:11074101-11074112(+)::chr17:11074100-11074112(+) aggaggaactag >mm10_chr17:11074108-11074119(+)::chr17:11074107-11074119(+) actaggaagaga >mm10_chr17:11074117-11074128(+)::chr17:11074116-11074128(+) agaaggaactag >mm10_chr17:11074155-11074166(+)::chr17:11074154-11074166(+) aagaggaaggag >mm10_chr17:11485488-11485499(-)::chr17:11485487-11485499(-) aggaggaagggg >mm10_chr17:11485534-11485545(-)::chr17:11485533-11485545(-) aggaggaagaga >mm10_chr17:11485555-11485566(-)::chr17:11485554-11485566(-) aggaggaagagg >mm10_chr17:11493439-11493450(+)::chr17:11493438-11493450(+) AGAAGGAAGGCA >mm10_chr17:12030938-12030949(+)::chr17:12030937-12030949(+) AGCAGGAAGTGA >mm10_chr17:12067603-12067614(-)::chr17:12067602-12067614(-) gataggaaggaa >mm10_chr17:12067623-12067634(-)::chr17:12067622-12067634(-) agaaggtagtca >mm10_chr17:12094258-12094269(+)::chr17:12094257-12094269(+) AGCAGGAAGTTA >mm10_chr17:12094279-12094290(+)::chr17:12094278-12094290(+) AGGAGGAAATGA >mm10_chr17:12195108-12195119(-)::chr17:12195107-12195119(-) ACAAGGAAAAAA >mm10_chr17:12195138-12195149(-)::chr17:12195137-12195149(-) TAAAGGAATTAT >mm10_chr17:12195269-12195280(-)::chr17:12195268-12195280(-) TACAGGAAATGA >mm10_chr17:12195287-12195298(-)::chr17:12195286-12195298(-) ACCAGGAAGGAG >mm10_chr17:12195938-12195949(+)::chr17:12195937-12195949(+) AGGAGGAAGAAG >mm10_chr17:12195968-12195979(-)::chr17:12195967-12195979(-) TCCAGGAAGGAA >mm10_chr17:12206068-12206079(-)::chr17:12206067-12206079(-) ACCAGGAAGTCC >mm10_chr17:12256096-12256107(+)::chr17:12256095-12256107(+) taaaggaagcaa >mm10_chr17:12256433-12256444(+)::chr17:12256432-12256444(+) GTAAGAAAGTTA >mm10_chr17:12256448-12256459(+)::chr17:12256447-12256459(+) ATGAGGAAGTGT >mm10_chr17:12273201-12273212(-)::chr17:12273200-12273212(-) TGAAGGAAGTGT >mm10_chr17:12307891-12307902(+)::chr17:12307890-12307902(+) ACAAGGAAGCAA >mm10_chr17:12307903-12307914(-)::chr17:12307902-12307914(-) GACGGGAAGTTG >mm10_chr17:12370472-12370483(+)::chr17:12370471-12370483(+) GAAAGGAAGGAG >mm10_chr17:12370479-12370490(+)::chr17:12370478-12370490(+) AGGAGGAAGGAC >mm10_chr17:12405758-12405769(-)::chr17:12405757-12405769(-) agccggaagtgc >mm10_chr17:12405777-12405788(+)::chr17:12405776-12405788(+) ccaaggaactag >mm10_chr17:12474703-12474714(-)::chr17:12474702-12474714(-) AATAGGAAGTTA >mm10_chr17:12474725-12474736(-)::chr17:12474724-12474736(-) AACAGGAAGTTA >mm10_chr17:12474748-12474759(-)::chr17:12474747-12474759(-) AACAGGAAATGA >mm10_chr17:12474771-12474782(-)::chr17:12474770-12474782(-) AACAGGAAATTA >mm10_chr17:12498554-12498565(+)::chr17:12498553-12498565(+) AGCAGGAAGGAC >mm10_chr17:12606074-12606085(-)::chr17:12606073-12606085(-) AGCAGGAAGCAG >mm10_chr17:12606148-12606159(-)::chr17:12606147-12606159(-) GGAAGGAAGACT >mm10_chr17:12655975-12655986(+)::chr17:12655974-12655986(+) ACAaggaaccga >mm10_chr17:12656023-12656034(-)::chr17:12656022-12656034(-) agcaggaagtgc >mm10_chr17:12656041-12656052(-)::chr17:12656040-12656052(-) gccaggaagtag >mm10_chr17:12673992-12674003(+)::chr17:12673991-12674003(+) AGAAGGAAGGGA >mm10_chr17:12713571-12713582(+)::chr17:12713570-12713582(+) acGAGGAAGAGG >mm10_chr17:12713594-12713605(+)::chr17:12713593-12713605(+) AGCAGGAACTAG >mm10_chr17:12725073-12725084(+)::chr17:12725072-12725084(+) AACAGGATGTAT >mm10_chr17:12726099-12726110(-)::chr17:12726098-12726110(-) ACAAGAAAGTCA >mm10_chr17:12737989-12738000(+)::chr17:12737988-12738000(+) AGGAGGAAGGAA >mm10_chr17:12737993-12738004(+)::chr17:12737992-12738004(+) GGAAGGAAGCCA >mm10_chr17:12749812-12749823(+)::chr17:12749811-12749823(+) GAAAGGAAGAGA >mm10_chr17:12750224-12750235(+)::chr17:12750223-12750235(+) AGCAGGAACTAC >mm10_chr17:12750548-12750559(-)::chr17:12750547-12750559(-) AGCAGGAAGCTG >mm10_chr17:12750576-12750587(+)::chr17:12750575-12750587(+) GGAAGGAAAGTA >mm10_chr17:12750581-12750592(+)::chr17:12750580-12750592(+) GAAAGTAAGTGA >mm10_chr17:12755188-12755199(-)::chr17:12755187-12755199(-) ACAAGGAAGTAT >mm10_chr17:12759916-12759927(+)::chr17:12759915-12759927(+) ATAAGGAAAGAC >mm10_chr17:12769816-12769827(+)::chr17:12769815-12769827(+) GACAGGAAGTGT >mm10_chr17:12779615-12779626(-)::chr17:12779614-12779626(-) TGGAGGAAGGAT >mm10_chr17:12779644-12779655(+)::chr17:12779643-12779655(+) AGAAGGAAATAG >mm10_chr17:12791777-12791788(-)::chr17:12791776-12791788(-) GGAAGGAAGGAA >mm10_chr17:12794470-12794481(-)::chr17:12794469-12794481(-) TCCAGGAAGAGG >mm10_chr17:12855023-12855034(+)::chr17:12855022-12855034(+) CACAGGAAGATA >mm10_chr17:12880332-12880343(-)::chr17:12880331-12880343(-) GTAAGGAAATGC >mm10_chr17:12882975-12882986(-)::chr17:12882974-12882986(-) TTGAGGAAGTGT >mm10_chr17:12883002-12883013(+)::chr17:12883001-12883013(+) ACAAGGAAGAGA >mm10_chr17:12915529-12915540(-)::chr17:12915528-12915540(-) GTCAGGAAGATG >mm10_chr17:12960719-12960730(-)::chr17:12960718-12960730(-) TGGAGGAAGTTT >mm10_chr17:12963947-12963958(-)::chr17:12963946-12963958(-) AGAAGAAAGTAT >mm10_chr17:12970957-12970968(+)::chr17:12970956-12970968(+) ACAAGGAAACAA >mm10_chr17:12971003-12971014(-)::chr17:12971002-12971014(-) AGAAGGAAGAAG >mm10_chr17:12971036-12971047(-)::chr17:12971035-12971047(-) GAAACGAAGTTA >mm10_chr17:12972368-12972379(-)::chr17:12972367-12972379(-) AAAAggaactag >mm10_chr17:12972409-12972420(-)::chr17:12972408-12972420(-) atcaggaagttg >mm10_chr17:12991296-12991307(-)::chr17:12991295-12991307(-) ATGAGGAAGTCA >mm10_chr17:12991878-12991889(+)::chr17:12991877-12991889(+) GGACGGAAGTAG >mm10_chr17:13010178-13010189(+)::chr17:13010177-13010189(+) AGAGGGAAATAT >mm10_chr17:13518538-13518549(+)::chr17:13518537-13518549(+) GAGAGGAAGTGG >mm10_chr17:13544193-13544204(+)::chr17:13544192-13544204(+) aagaggaagaag >mm10_chr17:13544206-13544217(+)::chr17:13544205-13544217(+) aggaggaagtag >mm10_chr17:13573190-13573201(+)::chr17:13573189-13573201(+) AGGAGGAAGTCA >mm10_chr17:13574292-13574303(+)::chr17:13574291-13574303(+) ACCAGGAAGTAA >mm10_chr17:13659333-13659344(-)::chr17:13659332-13659344(-) aggaggaagggg >mm10_chr17:13669360-13669371(+)::chr17:13669359-13669371(+) GGGAGGAAGTGG >mm10_chr17:13683954-13683965(-)::chr17:13683953-13683965(-) AAAAGGAAGCCC >mm10_chr17:13683985-13683996(-)::chr17:13683984-13683996(-) AAGAGGAAGTGG >mm10_chr17:13699891-13699902(+)::chr17:13699890-13699902(+) GCGAGGAAGGAA >mm10_chr17:13699895-13699906(+)::chr17:13699894-13699906(+) GGAAGGAAGTCG >mm10_chr17:13700226-13700237(-)::chr17:13700225-13700237(-) GTCAGGAAGTTG >mm10_chr17:13709698-13709709(+)::chr17:13709697-13709709(+) GACAGGAAGTTC >mm10_chr17:13714839-13714850(-)::chr17:13714838-13714850(-) GTCGGGAAGTCA >mm10_chr17:13730204-13730215(-)::chr17:13730203-13730215(-) ACCAGGAAGTAT >mm10_chr17:13730221-13730232(+)::chr17:13730220-13730232(+) ATGAGGAAGTGG >mm10_chr17:13743220-13743231(-)::chr17:13743219-13743231(-) AGGAGGAAGACT >mm10_chr17:13743227-13743238(-)::chr17:13743226-13743238(-) CAAAGGAAGGAG >mm10_chr17:13757779-13757790(+)::chr17:13757778-13757790(+) agcaggaagtga >mm10_chr17:13785441-13785452(-)::chr17:13785440-13785452(-) AGCAGGAAGCAC >mm10_chr17:13817935-13817946(+)::chr17:13817934-13817946(+) TTGAGGAAGTTG >mm10_chr17:13891171-13891182(-)::chr17:13891170-13891182(-) ACAAGGAAGTAG >mm10_chr17:13912699-13912710(-)::chr17:13912698-13912710(-) GACAGGAAGAAC >mm10_chr17:14134677-14134688(+)::chr17:14134676-14134688(+) AGCAGGAAGAAG >mm10_chr17:14134702-14134713(-)::chr17:14134701-14134713(-) CACAGGAAGTCA >mm10_chr17:14184458-14184469(+)::chr17:14184457-14184469(+) AGAAGGAAGGAG >mm10_chr17:14191903-14191914(-)::chr17:14191902-14191914(-) aggaggaagaag >mm10_chr17:14191938-14191949(-)::chr17:14191937-14191949(-) aggaggaagagg >mm10_chr17:14202683-14202694(-)::chr17:14202682-14202694(-) ATCAGGAAGTCC >mm10_chr17:14204513-14204524(-)::chr17:14204512-14204524(-) TCAAGGAAGAGA >mm10_chr17:14235066-14235077(-)::chr17:14235065-14235077(-) AGGAGGAAATGT >mm10_chr17:14244918-14244929(-)::chr17:14244917-14244929(-) TGGAGGAAGATC >mm10_chr17:14253162-14253173(-)::chr17:14253161-14253173(-) TGAAGGAAGTGC >mm10_chr17:14253226-14253237(-)::chr17:14253225-14253237(-) TGGAGGAAGAAA >mm10_chr17:14264792-14264803(-)::chr17:14264791-14264803(-) ccaaggaagagg >mm10_chr17:14273294-14273305(-)::chr17:14273293-14273305(-) TACAGGAACTAA >mm10_chr17:14273536-14273547(-)::chr17:14273535-14273547(-) ACAAGGAACTTA >mm10_chr17:14381524-14381535(-)::chr17:14381523-14381535(-) AGAAGgaagctg >mm10_chr17:14381582-14381593(-)::chr17:14381581-14381593(-) CGGAGGAAGCTA >mm10_chr17:14397605-14397616(-)::chr17:14397604-14397616(-) AAGAGGAAGAGG >mm10_chr17:14434868-14434879(+)::chr17:14434867-14434879(+) ACCAGGAAATGA >mm10_chr17:14594282-14594293(+)::chr17:14594281-14594293(+) TTAAGGAAGACA >mm10_chr17:14595047-14595058(+)::chr17:14595046-14595058(+) AGAAGAAAGTGG >mm10_chr17:14595104-14595115(+)::chr17:14595103-14595115(+) AAACGGAAGAGA >mm10_chr17:14595857-14595868(+)::chr17:14595856-14595868(+) AGCAGGAAGTGT >mm10_chr17:14607612-14607623(+)::chr17:14607611-14607623(+) GACAGGAAATGA >mm10_chr17:14626909-14626920(+)::chr17:14626908-14626920(+) GTAAGGATGTGG >mm10_chr17:14626925-14626936(+)::chr17:14626924-14626936(+) ATGAGGAAGGAC >mm10_chr17:14626947-14626958(+)::chr17:14626946-14626958(+) GAAAGGAAGCCA >mm10_chr17:14626958-14626969(+)::chr17:14626957-14626969(+) AGAAGGAAATTG >mm10_chr17:14640524-14640535(+)::chr17:14640523-14640535(+) ACAAGGAAGAAT >mm10_chr17:14640552-14640563(-)::chr17:14640551-14640563(-) AGCAGGAAGGAC >mm10_chr17:14649271-14649282(-)::chr17:14649270-14649282(-) AAAGGGAAGTAT >mm10_chr17:14649297-14649308(-)::chr17:14649296-14649308(-) GAAAGGAAGTCC >mm10_chr17:14686192-14686203(-)::chr17:14686191-14686203(-) CATAGGAAGTAG >mm10_chr17:14686202-14686213(-)::chr17:14686201-14686213(-) ACCAGGAAATCA >mm10_chr17:14874818-14874829(+)::chr17:14874817-14874829(+) AAAAGGAGGTGG >mm10_chr17:14874880-14874891(+)::chr17:14874879-14874891(+) GGAAGGAACTGG >mm10_chr17:14943226-14943237(-)::chr17:14943225-14943237(-) GCCCGGAAGTGT >mm10_chr17:15069421-15069432(-)::chr17:15069420-15069432(-) ACCAGGAAGTCA >mm10_chr17:15069449-15069460(+)::chr17:15069448-15069460(+) GTAAGGAAGCCA >mm10_chr17:15146708-15146719(+)::chr17:15146707-15146719(+) AGGAGGAAGAGA >mm10_chr17:15181170-15181181(-)::chr17:15181169-15181181(-) AAAAGGAAGTAT >mm10_chr17:15192818-15192829(-)::chr17:15192817-15192829(-) TGAAGGAAGTAT >mm10_chr17:15193018-15193029(-)::chr17:15193017-15193029(-) GGGAGGAAGGGC >mm10_chr17:15193034-15193045(-)::chr17:15193033-15193045(-) gaaAGGAAGAAG >mm10_chr17:15261118-15261129(-)::chr17:15261117-15261129(-) agaaggaaaaag >mm10_chr17:15261125-15261136(-)::chr17:15261124-15261136(-) aagaggaagaag >mm10_chr17:15261157-15261168(-)::chr17:15261156-15261168(-) aacaggaaggaa >mm10_chr17:15261195-15261206(-)::chr17:15261194-15261206(-) aagaggaaggga >mm10_chr17:15365200-15365211(+)::chr17:15365199-15365211(+) AGAAGGAAGTAG >mm10_chr17:15365810-15365821(-)::chr17:15365809-15365821(-) GGAAGGAAGGAA >mm10_chr17:15365814-15365825(-)::chr17:15365813-15365825(-) ACGAGGAAGGAA >mm10_chr17:15365821-15365832(-)::chr17:15365820-15365832(-) ATAAGGAACGAG >mm10_chr17:15396095-15396106(-)::chr17:15396094-15396106(-) AGAAGGAAGCTT >mm10_chr17:15400573-15400584(-)::chr17:15400572-15400584(-) TACAGGAAGACA >mm10_chr17:15499835-15499846(-)::chr17:15499834-15499846(-) GGAAGGAAACGA >mm10_chr17:15557330-15557341(-)::chr17:15557329-15557341(-) AGAAGGAAATAC >mm10_chr17:15557579-15557590(+)::chr17:15557578-15557590(+) ATAAGGAAGAGA >mm10_chr17:15557590-15557601(+)::chr17:15557589-15557601(+) ACAAGGAAGAAC >mm10_chr17:15564559-15564570(-)::chr17:15564558-15564570(-) TGAAGGAACGGA >mm10_chr17:15690630-15690641(-)::chr17:15690629-15690641(-) AGCAGGAAGAGG >mm10_chr17:15690651-15690662(-)::chr17:15690650-15690662(-) TACAGGAAGAAG >mm10_chr17:15706191-15706202(-)::chr17:15706190-15706202(-) GAGAGGAAGAAG >mm10_chr17:15718452-15718463(+)::chr17:15718451-15718463(+) AACAGGAAGGAC >mm10_chr17:15750140-15750151(-)::chr17:15750139-15750151(-) AGGAGGAAGAGG >mm10_chr17:15750147-15750158(-)::chr17:15750146-15750158(-) AGAAGGAAGGAG >mm10_chr17:15812529-15812540(+)::chr17:15812528-15812540(+) ACAAGGAAGGCG >mm10_chr17:15812537-15812548(+)::chr17:15812536-15812548(+) GGCGGGAAGCGT >mm10_chr17:15812563-15812574(+)::chr17:15812562-15812574(+) ACGGGGAAGTTA >mm10_chr17:15812577-15812588(-)::chr17:15812576-15812588(-) GGAAGGAAGTTG >mm10_chr17:15820115-15820126(-)::chr17:15820114-15820126(-) CCAAGGAAGTTA >mm10_chr17:15826757-15826768(-)::chr17:15826756-15826768(-) ACAAGGAAGCCG >mm10_chr17:15887156-15887167(-)::chr17:15887155-15887167(-) agaaggaaacta >mm10_chr17:16343074-16343085(+)::chr17:16343073-16343085(+) AGAAAGAAGTTA >mm10_chr17:16378757-16378768(-)::chr17:16378756-16378768(-) GAAAGTAAGTGT >mm10_chr17:16701861-16701872(-)::chr17:16701860-16701872(-) aggaggaagtag >mm10_chr17:16701895-16701906(-)::chr17:16701894-16701906(-) atggggaagtgg >mm10_chr17:16773775-16773786(-)::chr17:16773774-16773786(-) ggcaggaagtag >mm10_chr17:16862376-16862387(-)::chr17:16862375-16862387(-) ggaaggaaggct >mm10_chr17:16862380-16862391(-)::chr17:16862379-16862391(-) tagaggaaggaa >mm10_chr17:16863117-16863128(-)::chr17:16863116-16863128(-) ctaaggaagctg >mm10_chr17:16863167-16863178(+)::chr17:16863166-16863178(+) gaaaggaaattc >mm10_chr17:16863525-16863536(-)::chr17:16863524-16863536(-) gtaaggaagctg >mm10_chr17:16863561-16863572(+)::chr17:16863560-16863572(+) acaaggaaggga >mm10_chr17:16909033-16909044(+)::chr17:16909032-16909044(+) GAGAGGAAGTAA >mm10_chr17:16909046-16909057(-)::chr17:16909045-16909057(-) AGGAGGAAGCAC >mm10_chr17:17234406-17234417(+)::chr17:17234405-17234417(+) AAGAGGAAGTGC >mm10_chr17:17373535-17373546(+)::chr17:17373534-17373546(+) TCCAGGAAGATA >mm10_chr17:17373566-17373577(+)::chr17:17373565-17373577(+) AGGAGGAAATGA >mm10_chr17:17405134-17405145(-)::chr17:17405133-17405145(-) GCAAGGAAGCAA >mm10_chr17:17448894-17448905(+)::chr17:17448893-17448905(+) AAAGGGAAGTGA >mm10_chr17:17499058-17499069(-)::chr17:17499057-17499069(-) TCCAGGAAGTTA >mm10_chr17:17581423-17581434(-)::chr17:17581422-17581434(-) TGAAGGAAGAGG >mm10_chr17:17585767-17585778(+)::chr17:17585766-17585778(+) TGGAGGAAGTGC >mm10_chr17:17596318-17596329(+)::chr17:17596317-17596329(+) GCAAGGAAGTGT >mm10_chr17:17625420-17625431(+)::chr17:17625419-17625431(+) TGCCGGAAGTCA >mm10_chr17:17795639-17795650(+)::chr17:17795638-17795650(+) cgtaggaaggtt >mm10_chr17:17828069-17828080(-)::chr17:17828068-17828080(-) AGAAGGAAATGG >mm10_chr17:17838361-17838372(+)::chr17:17838360-17838372(+) TCCGGGAAGTGA >mm10_chr17:17862462-17862473(+)::chr17:17862461-17862473(+) AGGAGGAAGAGG >mm10_chr17:17862742-17862753(+)::chr17:17862741-17862753(+) TCCAGGAAATAC >mm10_chr17:18316357-18316368(-)::chr17:18316356-18316368(-) acaaggaagtaa >mm10_chr17:18343689-18343700(-)::chr17:18343688-18343700(-) ttaaggaagttc >mm10_chr17:18343708-18343719(+)::chr17:18343707-18343719(+) accaggaagcag >mm10_chr17:20924065-20924076(+)::chr17:20924064-20924076(+) ttaaggaagagt >mm10_chr17:21008819-21008830(-)::chr17:21008818-21008830(-) AGAAGGAAATTT >mm10_chr17:21008836-21008847(-)::chr17:21008835-21008847(-) GAAAGGAAGCTG >mm10_chr17:21423225-21423236(+)::chr17:21423224-21423236(+) TGGAGGAAGTGT >mm10_chr17:21423243-21423254(+)::chr17:21423242-21423254(+) TGGAGGAAGTGT >mm10_chr17:21450337-21450348(+)::chr17:21450336-21450348(+) ACCAGGAAGTGT >mm10_chr17:21489565-21489576(-)::chr17:21489564-21489576(-) ACACGGAAGTTA >mm10_chr17:21489581-21489592(-)::chr17:21489580-21489592(-) ATAAGGAAGTGG >mm10_chr17:21517030-21517041(+)::chr17:21517029-21517041(+) AACAGGAAGGAG >mm10_chr17:21567780-21567791(-)::chr17:21567779-21567791(-) gagaggaagtgg >mm10_chr17:21567824-21567835(-)::chr17:21567823-21567835(-) aggaggaaattg >mm10_chr17:21707768-21707779(-)::chr17:21707767-21707779(-) TGCAGGAAGTTT >mm10_chr17:21754671-21754682(+)::chr17:21754670-21754682(+) aggaggaagtgg >mm10_chr17:22360379-22360390(+)::chr17:22360378-22360390(+) aggaggaagagg >mm10_chr17:22360385-22360396(+)::chr17:22360384-22360396(+) aagaggaagaag >mm10_chr17:22424845-22424856(+)::chr17:22424844-22424856(+) ACCAGGAAGtgt >mm10_chr17:22817502-22817513(-)::chr17:22817501-22817513(-) TTAAGGAAGCAG >mm10_chr17:23187403-23187414(+)::chr17:23187402-23187414(+) TAAAGGAAGTAG >mm10_chr17:23187788-23187799(+)::chr17:23187787-23187799(+) agaaggaaatag >mm10_chr17:23543518-23543529(-)::chr17:23543517-23543529(-) gaaaggaaattg >mm10_chr17:23547305-23547316(+)::chr17:23547304-23547316(+) ACCCGGAAGTGT >mm10_chr17:23555633-23555644(-)::chr17:23555632-23555644(-) GAAAGGTAGTGA >mm10_chr17:23555691-23555702(+)::chr17:23555690-23555702(+) ACCAGGAAGGCT >mm10_chr17:23586259-23586270(+)::chr17:23586258-23586270(+) AACAGGAAGTTC >mm10_chr17:23586264-23586275(-)::chr17:23586263-23586275(-) GGAAGGAACTTC >mm10_chr17:23592829-23592840(-)::chr17:23592828-23592840(-) AACCGGAAGTTG >mm10_chr17:23599213-23599224(+)::chr17:23599212-23599224(+) AGCAGGAAGTTG >mm10_chr17:23610647-23610658(+)::chr17:23610646-23610658(+) AAAAGGAAGTTC >mm10_chr17:23617139-23617150(-)::chr17:23617138-23617150(-) aggaggaagctg >mm10_chr17:23630525-23630536(-)::chr17:23630524-23630536(-) AAAAGGAAGACG >mm10_chr17:23630538-23630549(+)::chr17:23630537-23630549(+) TATAGGAAGTCC >mm10_chr17:23634606-23634617(+)::chr17:23634605-23634617(+) CGGAGGAAGTTC >mm10_chr17:23634645-23634656(-)::chr17:23634644-23634656(-) ATAAGGAAGGGG >mm10_chr17:23654621-23654632(-)::chr17:23654620-23654632(-) AGTAGGAAGGAC >mm10_chr17:23669492-23669503(+)::chr17:23669491-23669503(+) ATGAGGAAGAGG >mm10_chr17:23682213-23682224(-)::chr17:23682212-23682224(-) ACCAGGAAGGGG >mm10_chr17:23707020-23707031(-)::chr17:23707019-23707031(-) AGAAGGAAGCTG >mm10_chr17:23737444-23737455(+)::chr17:23737443-23737455(+) GCTAGGAAGTCC >mm10_chr17:23738337-23738348(+)::chr17:23738336-23738348(+) ACCAGGAAGCCC >mm10_chr17:23740974-23740985(+)::chr17:23740973-23740985(+) GCAAGGAACGGG >mm10_chr17:23804890-23804901(-)::chr17:23804889-23804901(-) ACCAGGAAGTAT >mm10_chr17:23807735-23807746(-)::chr17:23807734-23807746(-) tcaaggaagaca >mm10_chr17:23808122-23808133(+)::chr17:23808121-23808133(+) AAAAGGATGTGA >mm10_chr17:23823347-23823358(-)::chr17:23823346-23823358(-) acaaggaaatcc >mm10_chr17:23829246-23829257(-)::chr17:23829245-23829257(-) CACAGGAAGTGG >mm10_chr17:23853755-23853766(-)::chr17:23853754-23853766(-) AAGAGGAAGAGG >mm10_chr17:23853761-23853772(-)::chr17:23853760-23853772(-) TCCAGGAAGAGG >mm10_chr17:23924601-23924612(+)::chr17:23924600-23924612(+) GGCGGGAAGCGT >mm10_chr17:23960602-23960613(-)::chr17:23960601-23960613(-) AGAAGGAAGTCA >mm10_chr17:23967218-23967229(-)::chr17:23967217-23967229(-) ATAAGGAAGTAG >mm10_chr17:23983072-23983083(+)::chr17:23983071-23983083(+) CAGAGGAAGTGT >mm10_chr17:23983099-23983110(+)::chr17:23983098-23983110(+) GGGAGGAAGGAG >mm10_chr17:23993734-23993745(-)::chr17:23993733-23993745(-) ACAAGGAAGTTC >mm10_chr17:24002121-24002132(-)::chr17:24002120-24002132(-) agcaggaagaga >mm10_chr17:24073552-24073563(+)::chr17:24073551-24073563(+) AGGCGGAAGTTC >mm10_chr17:24120892-24120903(+)::chr17:24120891-24120903(+) gggaggaagagg >mm10_chr17:24120898-24120909(+)::chr17:24120897-24120909(+) aagaggaagagg >mm10_chr17:24120904-24120915(+)::chr17:24120903-24120915(+) aagaggaagaga >mm10_chr17:24129824-24129835(-)::chr17:24129823-24129835(-) TCAAGGAAGAGA >mm10_chr17:24159042-24159053(+)::chr17:24159041-24159053(+) ATAAGGAAGCCA >mm10_chr17:24159077-24159088(+)::chr17:24159076-24159088(+) ATGAGGAAGACA >mm10_chr17:24162690-24162701(+)::chr17:24162689-24162701(+) GGGAGGAAGAGC >mm10_chr17:24168358-24168369(+)::chr17:24168357-24168369(+) GCACGGAAGCGT >mm10_chr17:24168399-24168410(+)::chr17:24168398-24168410(+) AAGAGGAAGGtc >mm10_chr17:24171927-24171938(-)::chr17:24171926-24171938(-) AGGGGGAAGTAA >mm10_chr17:24173515-24173526(-)::chr17:24173514-24173526(-) AGAAGGAAGGGT >mm10_chr17:24220787-24220798(-)::chr17:24220786-24220798(-) ACCCGGAAGTGG >mm10_chr17:24228213-24228224(+)::chr17:24228212-24228224(+) AGGAGGAAGGAC >mm10_chr17:24228230-24228241(+)::chr17:24228229-24228241(+) GGAAGGAAGCAA >mm10_chr17:24286033-24286044(+)::chr17:24286032-24286044(+) AGCAGGAAGTGC >mm10_chr17:24360112-24360123(-)::chr17:24360111-24360123(-) GGAAGGAAGTTA >mm10_chr17:24375280-24375291(-)::chr17:24375279-24375291(-) GTCAGGAAGTGC >mm10_chr17:24383937-24383948(+)::chr17:24383936-24383948(+) GGAAGGAAGAGG >mm10_chr17:24383943-24383954(+)::chr17:24383942-24383954(+) AAGAGGAAGGCA >mm10_chr17:24414647-24414658(-)::chr17:24414646-24414658(-) AGCGGGAAGGCG >mm10_chr17:24472645-24472656(-)::chr17:24472644-24472656(-) AAAAGGAACTTC >mm10_chr17:24515077-24515088(-)::chr17:24515076-24515088(-) AGCAGGAAGAAG >mm10_chr17:24526567-24526578(-)::chr17:24526566-24526578(-) ACTAGGAAGGAG >mm10_chr17:24527857-24527868(+)::chr17:24527856-24527868(+) AGCCGGAAGTCC >mm10_chr17:24528179-24528190(-)::chr17:24528178-24528190(-) CTGAGGAAGTGC >mm10_chr17:24603876-24603887(+)::chr17:24603875-24603887(+) aaaaggaaggag >mm10_chr17:24603883-24603894(+)::chr17:24603882-24603894(+) aggaggaagaag >mm10_chr17:24603890-24603901(+)::chr17:24603889-24603901(+) agaaggaagaga >mm10_chr17:24603909-24603920(+)::chr17:24603908-24603920(+) aggaggaagagg >mm10_chr17:24603918-24603929(+)::chr17:24603917-24603929(+) aggaggaagaag >mm10_chr17:24647417-24647428(+)::chr17:24647416-24647428(+) AAGAGGAAATGG >mm10_chr17:24647447-24647458(+)::chr17:24647446-24647458(+) AAAAGGAAGATT >mm10_chr17:24669624-24669635(+)::chr17:24669623-24669635(+) GGGCGGAAGTCG >mm10_chr17:24669642-24669653(-)::chr17:24669641-24669653(-) TGGCGGAAGTGC >mm10_chr17:24672317-24672328(+)::chr17:24672316-24672328(+) AGCAGGAAGTGG >mm10_chr17:24679538-24679549(+)::chr17:24679537-24679549(+) AGGAGGAAGACT >mm10_chr17:24736450-24736461(+)::chr17:24736449-24736461(+) AGGCGGAAGTAA >mm10_chr17:24736458-24736469(+)::chr17:24736457-24736469(+) GTAAGGACGTGA >mm10_chr17:24758273-24758284(-)::chr17:24758272-24758284(-) AACAGGAAGTGG >mm10_chr17:24779728-24779739(-)::chr17:24779727-24779739(-) GGGAGGAAGTGG >mm10_chr17:24779736-24779747(-)::chr17:24779735-24779747(-) ACAAGGAAGGGA >mm10_chr17:24804011-24804022(-)::chr17:24804010-24804022(-) CTAAGGAAGCAG >mm10_chr17:24804023-24804034(-)::chr17:24804022-24804034(-) AGGGGGAAGTCG >mm10_chr17:24851453-24851464(+)::chr17:24851452-24851464(+) ACCAGGAAGTAC >mm10_chr17:24873946-24873957(+)::chr17:24873945-24873957(+) gggaggaagaag >mm10_chr17:24873953-24873964(+)::chr17:24873952-24873964(+) agaaggaagagt >mm10_chr17:24877044-24877055(-)::chr17:24877043-24877055(-) ACAGGGAAGTGT >mm10_chr17:24880236-24880247(-)::chr17:24880235-24880247(-) TTGAGGAAGTCT >mm10_chr17:24881893-24881904(+)::chr17:24881892-24881904(+) TACCGGAAGCGA >mm10_chr17:24940824-24940835(-)::chr17:24940823-24940835(-) ATGAGGAAGTGA >mm10_chr17:24958031-24958042(+)::chr17:24958030-24958042(+) GGAGGGAAGTGT >mm10_chr17:24958082-24958093(+)::chr17:24958081-24958093(+) AGGAGGAAGGGG >mm10_chr17:24960942-24960953(+)::chr17:24960941-24960953(+) ACTAGGAAGTTT >mm10_chr17:24977744-24977755(+)::chr17:24977743-24977755(+) ACCAGGAAGTAA >mm10_chr17:25036177-25036188(+)::chr17:25036176-25036188(+) cgcaggaagaag >mm10_chr17:25036229-25036240(-)::chr17:25036228-25036240(-) gtaaggaagaat >mm10_chr17:25045447-25045458(+)::chr17:25045446-25045458(+) AGCAGGAAGGTG >mm10_chr17:25045478-25045489(-)::chr17:25045477-25045489(-) AGGAGGAAGAAT >mm10_chr17:25078243-25078254(+)::chr17:25078242-25078254(+) GAGAGGAAGAGA >mm10_chr17:25099179-25099190(-)::chr17:25099178-25099190(-) AAAAGGAAGTAA >mm10_chr17:25099190-25099201(+)::chr17:25099189-25099201(+) TAAAGGAGGTAA >mm10_chr17:25112378-25112389(+)::chr17:25112377-25112389(+) AGAAGGAAGGCG >mm10_chr17:25115405-25115416(-)::chr17:25115404-25115416(-) AGAAGTAAGTGC >mm10_chr17:25115981-25115992(+)::chr17:25115980-25115992(+) AGGAGGAAGAGG >mm10_chr17:25116203-25116214(+)::chr17:25116202-25116214(+) ATAAGGAAGCCA >mm10_chr17:25184453-25184464(+)::chr17:25184452-25184464(+) AGACGGAAGAGC >mm10_chr17:25210705-25210716(+)::chr17:25210704-25210716(+) CAAGGGAAGTGG >mm10_chr17:25230639-25230650(+)::chr17:25230638-25230650(+) TGCAGGAAGAAT >mm10_chr17:25301783-25301794(+)::chr17:25301782-25301794(+) GGACGGAAATAG >mm10_chr17:25301790-25301801(+)::chr17:25301789-25301801(+) AATAGGAAGTCT >mm10_chr17:25332439-25332450(-)::chr17:25332438-25332450(-) AGGAGGAAATCA >mm10_chr17:25332446-25332457(-)::chr17:25332445-25332457(-) AACAGGAAGGAG >mm10_chr17:25351469-25351480(+)::chr17:25351468-25351480(+) ATAAGGAAGGGA >mm10_chr17:25445323-25445334(-)::chr17:25445322-25445334(-) ATAAGGAAGTGG >mm10_chr17:25476028-25476039(-)::chr17:25476027-25476039(-) AGAAGGAAGAAG >mm10_chr17:25476035-25476046(-)::chr17:25476034-25476046(-) TAGAGGAAGAAG >mm10_chr17:25571792-25571803(-)::chr17:25571791-25571803(-) AGCAGGAAGCAG >mm10_chr17:25577631-25577642(+)::chr17:25577630-25577642(+) TTGAGGAAGTTG >mm10_chr17:25581350-25581361(-)::chr17:25581349-25581361(-) ATAAGGAAGCAA >mm10_chr17:25581361-25581372(-)::chr17:25581360-25581372(-) ATAAGGAAGCAA >mm10_chr17:25581375-25581386(-)::chr17:25581374-25581386(-) TACAGGAAGATG >mm10_chr17:25607704-25607715(-)::chr17:25607703-25607715(-) GGAAGGATGTGG >mm10_chr17:25607708-25607719(-)::chr17:25607707-25607719(-) AGAAGGAAGGAT >mm10_chr17:25618830-25618841(-)::chr17:25618829-25618841(-) TCCAGGAAGAGA >mm10_chr17:25727784-25727795(+)::chr17:25727783-25727795(+) ATAGGGAAGCGA >mm10_chr17:25760016-25760027(-)::chr17:25760015-25760027(-) AACAGGAAGTAG >mm10_chr17:25823599-25823610(-)::chr17:25823598-25823610(-) CGCCGGAAGTGA >mm10_chr17:25831669-25831680(+)::chr17:25831668-25831680(+) ACCAGGAAATCA >mm10_chr17:25844543-25844554(-)::chr17:25844542-25844554(-) GACAGGAAGTGC >mm10_chr17:25861553-25861564(-)::chr17:25861552-25861564(-) CGACGGAAGTGA >mm10_chr17:25943248-25943259(+)::chr17:25943247-25943259(+) ATCAGGAAGACG >mm10_chr17:25977554-25977565(+)::chr17:25977553-25977565(+) TACAGGAAGATT >mm10_chr17:25977575-25977586(+)::chr17:25977574-25977586(+) TCCAGGAAGGTA >mm10_chr17:25979951-25979962(+)::chr17:25979950-25979962(+) GACAGGAAGTAA >mm10_chr17:25979997-25980008(+)::chr17:25979996-25980008(+) TCAAGGAAGCAC >mm10_chr17:25981155-25981166(-)::chr17:25981154-25981166(-) GAAGGGAAGTAC >mm10_chr17:26002024-26002035(+)::chr17:26002023-26002035(+) agagggaagtag >mm10_chr17:26022024-26022035(-)::chr17:26022023-26022035(-) ATCAGGAAGGTT >mm10_chr17:26065183-26065194(+)::chr17:26065182-26065194(+) ACCAGGAAGCAC >mm10_chr17:26113294-26113305(+)::chr17:26113293-26113305(+) AGGCGGAAGTGC >mm10_chr17:26138686-26138697(-)::chr17:26138685-26138697(-) gcccggAAGTGC >mm10_chr17:26187702-26187713(+)::chr17:26187701-26187713(+) AGGAGGAAGAAG >mm10_chr17:26188521-26188532(+)::chr17:26188520-26188532(+) TTCAGGAAGTAG >mm10_chr17:26252894-26252905(-)::chr17:26252893-26252905(-) GGGAGGAAGTTC >mm10_chr17:26254288-26254299(-)::chr17:26254287-26254299(-) GTAAGGAAGTCG >mm10_chr17:26314625-26314636(-)::chr17:26314624-26314636(-) attaggaagaca >mm10_chr17:26388343-26388354(+)::chr17:26388342-26388354(+) TTAAGGAAGTAT >mm10_chr17:26475209-26475220(+)::chr17:26475208-26475220(+) AGGAGGAAGAAC >mm10_chr17:26475265-26475276(+)::chr17:26475264-26475276(+) TGCAGGAAATGA >mm10_chr17:26503151-26503162(+)::chr17:26503150-26503162(+) AGCAGGAAGTGA >mm10_chr17:26523220-26523231(+)::chr17:26523219-26523231(+) aggaggaaggag >mm10_chr17:26523227-26523238(+)::chr17:26523226-26523238(+) aggaggaaggag >mm10_chr17:26523265-26523276(+)::chr17:26523264-26523276(+) gggaggaaggag >mm10_chr17:26523272-26523283(+)::chr17:26523271-26523283(+) aggaggaaggag >mm10_chr17:26525050-26525061(-)::chr17:26525049-26525061(-) ATACGGAAGCTG >mm10_chr17:26538205-26538216(-)::chr17:26538204-26538216(-) GGAAGTAAGTGT >mm10_chr17:26538209-26538220(-)::chr17:26538208-26538220(-) ACCAGGAAGTAA >mm10_chr17:26538226-26538237(-)::chr17:26538225-26538237(-) TTGAGGAAGTGA >mm10_chr17:26567667-26567678(+)::chr17:26567666-26567678(+) GACAGGAAGCAA >mm10_chr17:26576905-26576916(+)::chr17:26576904-26576916(+) ATGAGGAAGGTT >mm10_chr17:26576966-26576977(-)::chr17:26576965-26576977(-) acaaggaagTCC >mm10_chr17:26584788-26584799(-)::chr17:26584787-26584799(-) ATAAGGAAGTGG >mm10_chr17:26605736-26605747(-)::chr17:26605735-26605747(-) ATGAGGAAGTGA >mm10_chr17:26616951-26616962(-)::chr17:26616950-26616962(-) AAGAGGAAGTCC >mm10_chr17:26616957-26616968(-)::chr17:26616956-26616968(-) GGTAGGAAGAGG >mm10_chr17:26616988-26616999(+)::chr17:26616987-26616999(+) AGGAGGAAGGAA >mm10_chr17:26616992-26617003(+)::chr17:26616991-26617003(+) GGAAGGAAGAGG >mm10_chr17:26676416-26676427(-)::chr17:26676415-26676427(-) ACCCGGAAGTGT >mm10_chr17:26690511-26690522(+)::chr17:26690510-26690522(+) ACAAGGAAGCTG >mm10_chr17:26715485-26715496(-)::chr17:26715484-26715496(-) ACCAGGAAGAGA >mm10_chr17:26715674-26715685(+)::chr17:26715673-26715685(+) GTCAGGAAGGAC >mm10_chr17:26716628-26716639(+)::chr17:26716627-26716639(+) CCAGGGAAGTAG >mm10_chr17:26776250-26776261(+)::chr17:26776249-26776261(+) ACACGGAAGGGC >mm10_chr17:26789577-26789588(+)::chr17:26789576-26789588(+) AAGAGGAAGTGG >mm10_chr17:26848516-26848527(+)::chr17:26848515-26848527(+) TGGAGGAAATAT >mm10_chr17:26916933-26916944(+)::chr17:26916932-26916944(+) ATAAGGAAGAGC >mm10_chr17:26916947-26916958(+)::chr17:26916946-26916958(+) ATCCGGAAGTAG >mm10_chr17:26933184-26933195(-)::chr17:26933183-26933195(-) TCGAGGAAATCC >mm10_chr17:26953644-26953655(+)::chr17:26953643-26953655(+) ATAAGGAAGGAG >mm10_chr17:26994877-26994888(-)::chr17:26994876-26994888(-) ACCCGgaagtgg >mm10_chr17:27021403-27021414(-)::chr17:27021402-27021414(-) AGAAGGAAAGGA >mm10_chr17:27021410-27021421(-)::chr17:27021409-27021421(-) TAGAGGAAGAAG >mm10_chr17:27027817-27027828(-)::chr17:27027816-27027828(-) ACCAGGAAGAGC >mm10_chr17:27028640-27028651(+)::chr17:27028639-27028651(+) TAGAGGAAGTTC >mm10_chr17:27042752-27042763(-)::chr17:27042751-27042763(-) TGGAGGAAgagg >mm10_chr17:27043152-27043163(+)::chr17:27043151-27043163(+) CTCAGGAAGTGC >mm10_chr17:27048668-27048679(+)::chr17:27048667-27048679(+) GGGAGGAAGAGG >mm10_chr17:27048991-27049002(-)::chr17:27048990-27049002(-) GGAAGGAAGTGT >mm10_chr17:27048995-27049006(-)::chr17:27048994-27049006(-) GGCAGGAAGGAA >mm10_chr17:27057300-27057311(-)::chr17:27057299-27057311(-) AGCAGGAAGTCT >mm10_chr17:27075066-27075077(+)::chr17:27075065-27075077(+) GACAGGAAGGTG >mm10_chr17:27080363-27080374(-)::chr17:27080362-27080374(-) GCAAGGAAGCCA >mm10_chr17:27080375-27080386(-)::chr17:27080374-27080386(-) GACAGGAAGTGG >mm10_chr17:27092944-27092955(-)::chr17:27092943-27092955(-) ttaaggaagtgc >mm10_chr17:27142012-27142023(+)::chr17:27142011-27142023(+) aggaggaagaAC >mm10_chr17:27178241-27178252(-)::chr17:27178240-27178252(-) accaggaagtcc >mm10_chr17:27547415-27547426(+)::chr17:27547414-27547426(+) GGAGGGAAGTCC >mm10_chr17:27551535-27551546(+)::chr17:27551534-27551546(+) ggtaggaagaga >mm10_chr17:27553175-27553186(+)::chr17:27553174-27553186(+) CCGAGGAAGGAA >mm10_chr17:27553179-27553190(+)::chr17:27553178-27553190(+) GGAAGGAAGTGA >mm10_chr17:27580944-27580955(-)::chr17:27580943-27580955(-) GGAAGGAAGAGA >mm10_chr17:27580958-27580969(+)::chr17:27580957-27580969(+) TGGAGGAAGAGG >mm10_chr17:27588517-27588528(-)::chr17:27588516-27588528(-) AGAAGGAAGTAG >mm10_chr17:27622159-27622170(-)::chr17:27622158-27622170(-) aggaggaagagg >mm10_chr17:27635236-27635247(+)::chr17:27635235-27635247(+) GAAAGGAAGGGG >mm10_chr17:27654985-27654996(-)::chr17:27654984-27654996(-) AGAAGGAAGACC >mm10_chr17:27655589-27655600(-)::chr17:27655588-27655600(-) AGGAGGAAGGTC >mm10_chr17:27675986-27675997(-)::chr17:27675985-27675997(-) agaaggaaggag >mm10_chr17:27675993-27676004(-)::chr17:27675992-27676004(-) aagaggaagaag >mm10_chr17:27676008-27676019(-)::chr17:27676007-27676019(-) aaaaggaaggga >mm10_chr17:27691477-27691488(+)::chr17:27691476-27691488(+) TCCAGGAAGTGC >mm10_chr17:27691505-27691516(-)::chr17:27691504-27691516(-) GTCAGGAAGTGG >mm10_chr17:27728983-27728994(-)::chr17:27728982-27728994(-) ATAAGGAAGGAT >mm10_chr17:27734960-27734971(-)::chr17:27734959-27734971(-) GGAAGGAAGTTG >mm10_chr17:27734964-27734975(-)::chr17:27734963-27734975(-) AGGAGGAAGGAA >mm10_chr17:27734978-27734989(-)::chr17:27734977-27734989(-) CTGAGGAAGTTC >mm10_chr17:27751988-27751999(+)::chr17:27751987-27751999(+) GGAAGGAAGCCA >mm10_chr17:27779959-27779970(+)::chr17:27779958-27779970(+) ATAAGGAAGCGG >mm10_chr17:27800247-27800258(-)::chr17:27800246-27800258(-) TGACGGAAGTGA >mm10_chr17:27802799-27802810(+)::chr17:27802798-27802810(+) ACaaggaaggga >mm10_chr17:27802815-27802826(+)::chr17:27802814-27802826(+) gggaggaagact >mm10_chr17:27802845-27802856(+)::chr17:27802844-27802856(+) gagaggaaggaa >mm10_chr17:27807617-27807628(+)::chr17:27807616-27807628(+) TCAAGGAAATGC >mm10_chr17:27820788-27820799(+)::chr17:27820787-27820799(+) AACGGGAAGCCG >mm10_chr17:27825702-27825713(-)::chr17:27825701-27825713(-) tcagggaagtcg >mm10_chr17:27825716-27825727(+)::chr17:27825715-27825727(+) agcaggaagtag >mm10_chr17:27839535-27839546(-)::chr17:27839534-27839546(-) TCAAGGAAGACA >mm10_chr17:27934961-27934972(-)::chr17:27934960-27934972(-) ATAAGGAAGAGA >mm10_chr17:27934990-27935001(-)::chr17:27934989-27935001(-) ATAAGGAAATGC >mm10_chr17:27938577-27938588(-)::chr17:27938576-27938588(-) AACAGGAAGTAA >mm10_chr17:27941739-27941750(-)::chr17:27941738-27941750(-) GGAAGGAACTGG >mm10_chr17:27941743-27941754(-)::chr17:27941742-27941754(-) ACAAGGAAGGAA >mm10_chr17:27969642-27969653(+)::chr17:27969641-27969653(+) GGGAGGAAGACC >mm10_chr17:27969688-27969699(+)::chr17:27969687-27969699(+) AAAAGGAAGAGC >mm10_chr17:28007294-28007305(+)::chr17:28007293-28007305(+) GAAAGGAAGCCG >mm10_chr17:28018915-28018926(-)::chr17:28018914-28018926(-) AGGAGGAAGAGT >mm10_chr17:28029492-28029503(+)::chr17:28029491-28029503(+) ACCAGGAAGCCC >mm10_chr17:28029555-28029566(+)::chr17:28029554-28029566(+) TCCAGGAAGTGA >mm10_chr17:28042667-28042678(-)::chr17:28042666-28042678(-) ACCAGGAAGCGG >mm10_chr17:28080571-28080582(-)::chr17:28080570-28080582(-) AGAAGGAAGTGA >mm10_chr17:28114952-28114963(-)::chr17:28114951-28114963(-) AGCAGGAAGCAT >mm10_chr17:28180950-28180961(-)::chr17:28180949-28180961(-) AGGAGGAAGTGG >mm10_chr17:28183309-28183320(+)::chr17:28183308-28183320(+) ATGAGGAAGGCA >mm10_chr17:28183381-28183392(-)::chr17:28183380-28183392(-) TGGAGGAAGAAA >mm10_chr17:28192696-28192707(+)::chr17:28192695-28192707(+) gacaggaaggag >mm10_chr17:28192703-28192714(+)::chr17:28192702-28192714(+) aggaggaaatga >mm10_chr17:28226253-28226264(+)::chr17:28226252-28226264(+) TGGAGGAAGTGC >mm10_chr17:28226286-28226297(+)::chr17:28226285-28226297(+) ACGAGGACGTGG >mm10_chr17:28237606-28237617(-)::chr17:28237605-28237617(-) AGAAGGAAGAGC >mm10_chr17:28251872-28251883(+)::chr17:28251871-28251883(+) TGCAGGAAGTGT >mm10_chr17:28251886-28251897(-)::chr17:28251885-28251897(-) TACAGGAACTAT >mm10_chr17:28271937-28271948(+)::chr17:28271936-28271948(+) ATAAGGAAGGCC >mm10_chr17:28272989-28273000(-)::chr17:28272988-28273000(-) AGCAGGAAGTCC >mm10_chr17:28280132-28280143(+)::chr17:28280131-28280143(+) ATGAGGAAATGG >mm10_chr17:28281834-28281845(-)::chr17:28281833-28281845(-) GACAGGAAGAAG >mm10_chr17:28281886-28281897(-)::chr17:28281885-28281897(-) ACAAGGAAGGTG >mm10_chr17:28308045-28308056(+)::chr17:28308044-28308056(+) CGAAGGAAGAGG >mm10_chr17:28348345-28348356(-)::chr17:28348344-28348356(-) AGACGGAAATGG >mm10_chr17:28348358-28348369(-)::chr17:28348357-28348369(-) GACAGGAAGTGA >mm10_chr17:28351351-28351362(-)::chr17:28351350-28351362(-) TAGAGGAAGAGG >mm10_chr17:28359081-28359092(+)::chr17:28359080-28359092(+) TGCAGGAAGTAG >mm10_chr17:28407560-28407571(-)::chr17:28407559-28407571(-) GGAAGGAAGTGT >mm10_chr17:28407621-28407632(-)::chr17:28407620-28407632(-) CAAAGGAAGGCT >mm10_chr17:28408070-28408081(+)::chr17:28408069-28408081(+) ATGAGGAAGGAG >mm10_chr17:28408084-28408095(+)::chr17:28408083-28408095(+) TCCAGGAAGTGC >mm10_chr17:28415440-28415451(+)::chr17:28415439-28415451(+) TCCAGGAAGAAC >mm10_chr17:28428077-28428088(-)::chr17:28428076-28428088(-) AACAGGAAATTG >mm10_chr17:28428654-28428665(+)::chr17:28428653-28428665(+) GACAGGAAATAG >mm10_chr17:28428671-28428682(-)::chr17:28428670-28428682(-) ACAAGGCAGTTG >mm10_chr17:28437078-28437089(+)::chr17:28437077-28437089(+) gcaaggaagaat >mm10_chr17:28446647-28446658(-)::chr17:28446646-28446658(-) GGGAGGAAGACC >mm10_chr17:28446674-28446685(-)::chr17:28446673-28446685(-) TCGAGGAAGGAC >mm10_chr17:28467853-28467864(-)::chr17:28467852-28467864(-) TGCAGGAAGTGG >mm10_chr17:28537211-28537222(+)::chr17:28537210-28537222(+) TGGAGGAAGTGC >mm10_chr17:28537252-28537263(+)::chr17:28537251-28537263(+) AGTAGGAAGAAA >mm10_chr17:28537256-28537267(+)::chr17:28537255-28537267(+) GGAAGAAAGTGG >mm10_chr17:28571220-28571231(+)::chr17:28571219-28571231(+) atagggaagtta >mm10_chr17:28621669-28621680(+)::chr17:28621668-28621680(+) GCACGGAAGGAA >mm10_chr17:28621673-28621684(+)::chr17:28621672-28621684(+) GGAAGGAAGAGC >mm10_chr17:28693288-28693299(-)::chr17:28693287-28693299(-) AACAGGAAATTC >mm10_chr17:28720950-28720961(+)::chr17:28720949-28720961(+) ATAAGGAAGTAG >mm10_chr17:28770354-28770365(+)::chr17:28770353-28770365(+) AGGAGGAAGTAG >mm10_chr17:28773860-28773871(-)::chr17:28773859-28773871(-) GGAAGGAAGCAG >mm10_chr17:28773864-28773875(-)::chr17:28773863-28773875(-) GCCAGGAAGGAA >mm10_chr17:28855705-28855716(-)::chr17:28855704-28855716(-) TCCAGGAAGATG >mm10_chr17:28865551-28865562(-)::chr17:28865550-28865562(-) ggaaggaagaag >mm10_chr17:28865555-28865566(-)::chr17:28865554-28865566(-) aggaggaaggaa >mm10_chr17:28867539-28867550(+)::chr17:28867538-28867550(+) AGGAGGAACTAG >mm10_chr17:28867564-28867575(-)::chr17:28867563-28867575(-) ACTAGGAAGAAC >mm10_chr17:28867571-28867582(-)::chr17:28867570-28867582(-) AGGAGGAACTAG >mm10_chr17:28934390-28934401(+)::chr17:28934389-28934401(+) AGCAGGAAATAG >mm10_chr17:29007108-29007119(+)::chr17:29007107-29007119(+) TGAAGGAAGAGG >mm10_chr17:29007114-29007125(+)::chr17:29007113-29007125(+) AAGAGGAAGCAG >mm10_chr17:29007146-29007157(-)::chr17:29007145-29007157(-) AATAGGAAGGTC >mm10_chr17:29022359-29022370(+)::chr17:29022358-29022370(+) AAGAGGAAGGAG >mm10_chr17:29026205-29026216(+)::chr17:29026204-29026216(+) ggaaggaaggaa >mm10_chr17:29026209-29026220(+)::chr17:29026208-29026220(+) ggaaggaagCTG >mm10_chr17:29031617-29031628(+)::chr17:29031616-29031628(+) AGAAGGAAATGA >mm10_chr17:29034010-29034021(-)::chr17:29034009-29034021(-) ATCAGGAAGGTG >mm10_chr17:29039844-29039855(-)::chr17:29039843-29039855(-) GCCAGGAAGAAT >mm10_chr17:29054131-29054142(+)::chr17:29054130-29054142(+) AAGAGGAAGCAG >mm10_chr17:29058155-29058166(-)::chr17:29058154-29058166(-) CAAAGGAACTAA >mm10_chr17:29076416-29076427(+)::chr17:29076415-29076427(+) aagaggaaggaa >mm10_chr17:29076420-29076431(+)::chr17:29076419-29076431(+) ggaaggaagtcg >mm10_chr17:29090701-29090712(-)::chr17:29090700-29090712(-) AAGAGGAAGTGT >mm10_chr17:29090707-29090718(-)::chr17:29090706-29090718(-) GGAAGGAAGAGG >mm10_chr17:29213220-29213231(+)::chr17:29213219-29213231(+) acacggaaataa >mm10_chr17:29245772-29245783(-)::chr17:29245771-29245783(-) AGAAGGAAGCCA >mm10_chr17:29245829-29245840(+)::chr17:29245828-29245840(+) ATGAGGAAGTAG >mm10_chr17:29258594-29258605(+)::chr17:29258593-29258605(+) TGACGGAAGAAA >mm10_chr17:29264002-29264013(-)::chr17:29264001-29264013(-) AACCGGAAGTGT >mm10_chr17:29268895-29268906(-)::chr17:29268894-29268906(-) ACCCGGAAGTGG >mm10_chr17:29268919-29268930(+)::chr17:29268918-29268930(+) AGCAGGAAGTTG >mm10_chr17:29280293-29280304(+)::chr17:29280292-29280304(+) AGAAGGAAAATG >mm10_chr17:29327049-29327060(-)::chr17:29327048-29327060(-) CTAAGGAAGATG >mm10_chr17:29347763-29347774(-)::chr17:29347762-29347774(-) ACCCGGAAGTGG >mm10_chr17:29384705-29384716(+)::chr17:29384704-29384716(+) aggaggaaggga >mm10_chr17:29384735-29384746(+)::chr17:29384734-29384746(+) aggaggaaggga >mm10_chr17:29384760-29384771(+)::chr17:29384759-29384771(+) aggaggaaggAA >mm10_chr17:29385168-29385179(+)::chr17:29385167-29385179(+) CCCAGGAAGTCC >mm10_chr17:29396889-29396900(+)::chr17:29396888-29396900(+) ATGAGGAAATAC >mm10_chr17:29414677-29414688(+)::chr17:29414676-29414688(+) AGCAGTAAGTCG >mm10_chr17:29465696-29465707(-)::chr17:29465695-29465707(-) aacaggaaatcc >mm10_chr17:29503068-29503079(+)::chr17:29503067-29503079(+) CCACGGAAGTCT >mm10_chr17:29503411-29503422(+)::chr17:29503410-29503422(+) ggcaggaaggtc >mm10_chr17:29570545-29570556(-)::chr17:29570544-29570556(-) TGCAGGAAGTTA >mm10_chr17:29570559-29570570(-)::chr17:29570558-29570570(-) AAGAGGAAGAAC >mm10_chr17:29571670-29571681(+)::chr17:29571669-29571681(+) TTGAGGAAGTGG >mm10_chr17:29588961-29588972(+)::chr17:29588960-29588972(+) ATCAGGAAATAC >mm10_chr17:29588983-29588994(-)::chr17:29588982-29588994(-) ggaaggaaggaa >mm10_chr17:29588987-29588998(-)::chr17:29588986-29588998(-) ggaaggaaggaa >mm10_chr17:29588991-29589002(-)::chr17:29588990-29589002(-) ggaaggaaggaa >mm10_chr17:29588995-29589006(-)::chr17:29588994-29589006(-) ggaaggaaggaa >mm10_chr17:29619204-29619215(-)::chr17:29619203-29619215(-) GGAAGGAAATAA >mm10_chr17:29619243-29619254(+)::chr17:29619242-29619254(+) ACCAGGAAGTGT >mm10_chr17:29669773-29669784(+)::chr17:29669772-29669784(+) ACCAGGAAATAA >mm10_chr17:29773186-29773197(+)::chr17:29773185-29773197(+) CGAAGGAAGAGC >mm10_chr17:29806985-29806996(+)::chr17:29806984-29806996(+) aggaggaagaca >mm10_chr17:29806994-29807005(+)::chr17:29806993-29807005(+) acaaggaagagg >mm10_chr17:29807009-29807020(+)::chr17:29807008-29807020(+) agcaggaagagg >mm10_chr17:29807018-29807029(+)::chr17:29807017-29807029(+) aggaggaagagc >mm10_chr17:29807033-29807044(+)::chr17:29807032-29807044(+) aggaggaagagc >mm10_chr17:29807045-29807056(+)::chr17:29807044-29807056(+) aggaggaagagt >mm10_chr17:29815296-29815307(-)::chr17:29815295-29815307(-) atgaggaagagg >mm10_chr17:29815308-29815319(-)::chr17:29815307-29815319(-) aagaggaagtgg >mm10_chr17:29815314-29815325(-)::chr17:29815313-29815325(-) atgaggaagagg >mm10_chr17:29815326-29815337(-)::chr17:29815325-29815337(-) aaaaggaagtat >mm10_chr17:29815350-29815361(-)::chr17:29815349-29815361(-) aggaggaagaag >mm10_chr17:29815359-29815370(-)::chr17:29815358-29815370(-) atgaggaagagg >mm10_chr17:29815371-29815382(-)::chr17:29815370-29815382(-) aggaggaagtga >mm10_chr17:29815380-29815391(-)::chr17:29815379-29815391(-) atgaggaagagg >mm10_chr17:29815586-29815597(-)::chr17:29815585-29815597(-) gtaaggaagagg >mm10_chr17:29815598-29815609(-)::chr17:29815597-29815609(-) aagaggaagtgg >mm10_chr17:29815616-29815627(-)::chr17:29815615-29815627(-) aggaggaagtgg >mm10_chr17:29815625-29815636(-)::chr17:29815624-29815636(-) gtgaggaagagg >mm10_chr17:29815637-29815648(-)::chr17:29815636-29815648(-) aagaggaagtgg >mm10_chr17:29815643-29815654(-)::chr17:29815642-29815654(-) acgaggaagagg >mm10_chr17:29815655-29815666(-)::chr17:29815654-29815666(-) aagaggaagtgg >mm10_chr17:29815661-29815672(-)::chr17:29815660-29815672(-) aggaggaagagg >mm10_chr17:29837680-29837691(-)::chr17:29837679-29837691(-) AGAGGGAAGTGA >mm10_chr17:29837707-29837718(-)::chr17:29837706-29837718(-) AAGAGGAAGAGC >mm10_chr17:29856899-29856910(-)::chr17:29856898-29856910(-) ttaaggaagcgg >mm10_chr17:29968343-29968354(-)::chr17:29968342-29968354(-) aggaggaaatga >mm10_chr17:29987919-29987930(+)::chr17:29987918-29987930(+) aagaggaagagg >mm10_chr17:29987925-29987936(+)::chr17:29987924-29987936(+) aagaggaagaaa >mm10_chr17:29987955-29987966(+)::chr17:29987954-29987966(+) aggaggaagagg >mm10_chr17:29987961-29987972(+)::chr17:29987960-29987972(+) aagaggaagaag >mm10_chr17:29995257-29995268(+)::chr17:29995256-29995268(+) GTCAGGAAATTA >mm10_chr17:30004313-30004324(-)::chr17:30004312-30004324(-) ACCCGGAAGTTG >mm10_chr17:30027586-30027597(-)::chr17:30027585-30027597(-) ACCAGGAAGGTC >mm10_chr17:30036425-30036436(-)::chr17:30036424-30036436(-) aagaggaagagA >mm10_chr17:30036431-30036442(-)::chr17:30036430-30036442(-) aagaggaagagg >mm10_chr17:30036437-30036448(-)::chr17:30036436-30036448(-) aagaggaagagg >mm10_chr17:30072999-30073010(+)::chr17:30072998-30073010(+) AGCAGGAAGACT >mm10_chr17:30137224-30137235(+)::chr17:30137223-30137235(+) AGAAGGATGTTA >mm10_chr17:30137244-30137255(+)::chr17:30137243-30137255(+) TCAAGGAAGTAg >mm10_chr17:30137262-30137273(-)::chr17:30137261-30137273(-) aggaggaagcaa >mm10_chr17:30158993-30159004(-)::chr17:30158992-30159004(-) CCAAGGAAGCAG >mm10_chr17:30159884-30159895(-)::chr17:30159883-30159895(-) ACAAGGAAGAAA >mm10_chr17:30180817-30180828(+)::chr17:30180816-30180828(+) ATGAGGAAGTGA >mm10_chr17:30180863-30180874(-)::chr17:30180862-30180874(-) Tgaaggaagaga >mm10_chr17:30210441-30210452(+)::chr17:30210440-30210452(+) GCCAGGAAGTTC >mm10_chr17:30215941-30215952(+)::chr17:30215940-30215952(+) ACAAGGAACTTC >mm10_chr17:30215946-30215957(-)::chr17:30215945-30215957(-) GCCAGGAAGTTC >mm10_chr17:30224323-30224334(+)::chr17:30224322-30224334(+) agaaggaaatgc >mm10_chr17:30229010-30229021(+)::chr17:30229009-30229021(+) TGAAGGAAGCAG >mm10_chr17:30261791-30261802(-)::chr17:30261790-30261802(-) AGAAGGAAGTTC >mm10_chr17:30349248-30349259(+)::chr17:30349247-30349259(+) ACAAGGAAGAGG >mm10_chr17:30433841-30433852(-)::chr17:30433840-30433852(-) TTAAGGAAGAAA >mm10_chr17:30495407-30495418(+)::chr17:30495406-30495418(+) ataaggaagcaa >mm10_chr17:30524434-30524445(+)::chr17:30524433-30524445(+) TCAAGGAAGGAG >mm10_chr17:30524441-30524452(+)::chr17:30524440-30524452(+) AGGAGGAAATGA >mm10_chr17:30524518-30524529(+)::chr17:30524517-30524529(+) GACAGGAAATAG >mm10_chr17:30540806-30540817(-)::chr17:30540805-30540817(-) AGGAGGAAGTCA >mm10_chr17:30540852-30540863(-)::chr17:30540851-30540863(-) GGGAGGAAGGAG >mm10_chr17:30565140-30565151(+)::chr17:30565139-30565151(+) GGACGGAAGTCA >mm10_chr17:30636504-30636515(-)::chr17:30636503-30636515(-) aggaggaACTAA >mm10_chr17:30636528-30636539(-)::chr17:30636527-30636539(-) aagaggaagcag >mm10_chr17:30636534-30636545(-)::chr17:30636533-30636545(-) aagaggaagagg >mm10_chr17:30636540-30636551(-)::chr17:30636539-30636551(-) agaaggaagagg >mm10_chr17:30636553-30636564(-)::chr17:30636552-30636564(-) aggaggatgtat >mm10_chr17:30729367-30729378(-)::chr17:30729366-30729378(-) AGAAGGAAAAGG >mm10_chr17:30847791-30847802(-)::chr17:30847790-30847802(-) ACAAGGAAGTGA >mm10_chr17:30874584-30874595(-)::chr17:30874583-30874595(-) AACAGGAAGGCC >mm10_chr17:30910984-30910995(+)::chr17:30910983-30910995(+) gcgaggaagctg >mm10_chr17:30961856-30961867(-)::chr17:30961855-30961867(-) GGAAGGAAGCCC >mm10_chr17:30961860-30961871(-)::chr17:30961859-30961871(-) GGAAGGAAGGAA >mm10_chr17:31015042-31015053(-)::chr17:31015041-31015053(-) AGCAGGAAGTCA >mm10_chr17:31015049-31015060(-)::chr17:31015048-31015060(-) CAAAGGAAGCAG >mm10_chr17:31015079-31015090(+)::chr17:31015078-31015090(+) ACAAGGAAGAGA >mm10_chr17:31024294-31024305(-)::chr17:31024293-31024305(-) GGCAGGAAATGA >mm10_chr17:31024944-31024955(+)::chr17:31024943-31024955(+) ACGAGGATGTGG >mm10_chr17:31031653-31031664(+)::chr17:31031652-31031664(+) AGGAGGAAGtat >mm10_chr17:31039762-31039773(-)::chr17:31039761-31039773(-) AGCAGGAAGGGC >mm10_chr17:31042968-31042979(+)::chr17:31042967-31042979(+) TCAAGGAAGAAT >mm10_chr17:31082442-31082453(-)::chr17:31082441-31082453(-) aacaggaaggag >mm10_chr17:31090018-31090029(-)::chr17:31090017-31090029(-) AGCAGGAAGCTG >mm10_chr17:31124391-31124402(+)::chr17:31124390-31124402(+) AGGAGGAAGAAA >mm10_chr17:31153926-31153937(-)::chr17:31153925-31153937(-) GGAAGGAAGGGG >mm10_chr17:31153930-31153941(-)::chr17:31153929-31153941(-) GCCAGGAAGGAA >mm10_chr17:31162851-31162862(-)::chr17:31162850-31162862(-) AGAAGGAAGCAG >mm10_chr17:31184441-31184452(-)::chr17:31184440-31184452(-) ACAAGGAAGAGA >mm10_chr17:31224015-31224026(-)::chr17:31224014-31224026(-) GAGAGGAAGTTG >mm10_chr17:31256532-31256543(-)::chr17:31256531-31256543(-) AACAGGAAGTAT >mm10_chr17:31290917-31290928(+)::chr17:31290916-31290928(+) AAAAGGAAGGCT >mm10_chr17:31295664-31295675(+)::chr17:31295663-31295675(+) ACCAGGAAGCTG >mm10_chr17:31305038-31305049(+)::chr17:31305037-31305049(+) TGGAGGAAGTAG >mm10_chr17:31305061-31305072(-)::chr17:31305060-31305072(-) AAAAGGAAGCCT >mm10_chr17:31322476-31322487(-)::chr17:31322475-31322487(-) GACAGGAAGATG >mm10_chr17:31322535-31322546(-)::chr17:31322534-31322546(-) AAGAGGAAGATC >mm10_chr17:31323962-31323973(-)::chr17:31323961-31323973(-) ATGAGGAAGAAC >mm10_chr17:31324373-31324384(-)::chr17:31324372-31324384(-) ACACGGAAGTGA >mm10_chr17:31324385-31324396(-)::chr17:31324384-31324396(-) AGGAGGAAGAGG >mm10_chr17:31326041-31326052(-)::chr17:31326040-31326052(-) AACAGGAAGAGG >mm10_chr17:31339316-31339327(-)::chr17:31339315-31339327(-) gccaggaaggtg >mm10_chr17:31339358-31339369(-)::chr17:31339357-31339369(-) aggaggaagtcc >mm10_chr17:31339365-31339376(-)::chr17:31339364-31339376(-) ccaaggaaggag >mm10_chr17:31348279-31348290(-)::chr17:31348278-31348290(-) AGAAGGAAGACG >mm10_chr17:31348286-31348297(-)::chr17:31348285-31348297(-) AAGAGGAAGAAG >mm10_chr17:31387191-31387202(+)::chr17:31387190-31387202(+) GGAAGGAAGGGC >mm10_chr17:31387213-31387224(-)::chr17:31387212-31387224(-) AGCAGGAAGACA >mm10_chr17:31409754-31409765(-)::chr17:31409753-31409765(-) ACAAGGAAATTG >mm10_chr17:31419304-31419315(+)::chr17:31419303-31419315(+) GTAAGGAAGCTG >mm10_chr17:31445592-31445603(-)::chr17:31445591-31445603(-) GGAAGGAAGTGA >mm10_chr17:31445596-31445607(-)::chr17:31445595-31445607(-) ACCAGGAAGGAA >mm10_chr17:31512295-31512306(-)::chr17:31512294-31512306(-) AACAGGAAGGGC >mm10_chr17:31529606-31529617(-)::chr17:31529605-31529617(-) TAAAGGAAGAAA >mm10_chr17:31530071-31530082(+)::chr17:31530070-31530082(+) CCGAGGAAGAGA >mm10_chr17:31530098-31530109(-)::chr17:31530097-31530109(-) agcaggaaggaC >mm10_chr17:31530118-31530129(-)::chr17:31530117-31530129(-) ggaaggaaggca >mm10_chr17:31530122-31530133(-)::chr17:31530121-31530133(-) ggaaggaaggaa >mm10_chr17:31530126-31530137(-)::chr17:31530125-31530137(-) ggaaggaaggaa >mm10_chr17:31530130-31530141(-)::chr17:31530129-31530141(-) ggaaggaaggaa >mm10_chr17:31544364-31544375(-)::chr17:31544363-31544375(-) agcaggaagtgc >mm10_chr17:31558101-31558112(+)::chr17:31558100-31558112(+) aagaggaaatag >mm10_chr17:31558125-31558136(-)::chr17:31558124-31558136(-) ggaaggaagtta >mm10_chr17:31559582-31559593(-)::chr17:31559581-31559593(-) aagaggaagcag >mm10_chr17:31586184-31586195(-)::chr17:31586183-31586195(-) AGAGGGAAGTGT >mm10_chr17:31586244-31586255(-)::chr17:31586243-31586255(-) accaggaaggtt >mm10_chr17:31594831-31594842(-)::chr17:31594830-31594842(-) aagaggaagtct >mm10_chr17:31674306-31674317(+)::chr17:31674305-31674317(+) AGAAGGAAGGTG >mm10_chr17:31686235-31686246(+)::chr17:31686234-31686246(+) TGAAGGAAGAGT >mm10_chr17:31688550-31688561(+)::chr17:31688549-31688561(+) TCCAGGAAGGGA >mm10_chr17:31727564-31727575(-)::chr17:31727563-31727575(-) GAAAGGAAGCAG >mm10_chr17:31734671-31734682(+)::chr17:31734670-31734682(+) ACCAGGAAGAAA >mm10_chr17:31734737-31734748(-)::chr17:31734736-31734748(-) AACAGGAAGTCC >mm10_chr17:31748353-31748364(+)::chr17:31748352-31748364(+) GCAAGGAAGGTG >mm10_chr17:31748391-31748402(+)::chr17:31748390-31748402(+) ATCAGGAAGAAT >mm10_chr17:31777548-31777559(+)::chr17:31777547-31777559(+) AGGAGGAAGGAA >mm10_chr17:31788189-31788200(-)::chr17:31788188-31788200(-) AGTAGGAAGAAT >mm10_chr17:31788199-31788210(-)::chr17:31788198-31788210(-) ACAAGGAAGAAG >mm10_chr17:31788215-31788226(-)::chr17:31788214-31788226(-) AGCAGGAAGATG >mm10_chr17:31806505-31806516(-)::chr17:31806504-31806516(-) ggaaggaagAAA >mm10_chr17:31806509-31806520(-)::chr17:31806508-31806520(-) ggaaggaaggaa >mm10_chr17:31806513-31806524(-)::chr17:31806512-31806524(-) aggaggaaggaa >mm10_chr17:31806520-31806531(-)::chr17:31806519-31806531(-) agaaggaaggag >mm10_chr17:31806532-31806543(-)::chr17:31806531-31806543(-) gggaggaagggg >mm10_chr17:31808626-31808637(-)::chr17:31808625-31808637(-) ATGAGGAAGAAG >mm10_chr17:31808652-31808663(-)::chr17:31808651-31808663(-) GGCAGGAAGTAG >mm10_chr17:31819667-31819678(-)::chr17:31819666-31819678(-) TCCAGGAAGTCA >mm10_chr17:31828318-31828329(-)::chr17:31828317-31828329(-) GGGAGGAAGTCC >mm10_chr17:31844057-31844068(+)::chr17:31844056-31844068(+) CAAAGGAAGTGA >mm10_chr17:31861051-31861062(-)::chr17:31861050-31861062(-) tgcaggaagaag >mm10_chr17:31897300-31897311(-)::chr17:31897299-31897311(-) GCAAGGAAATTT >mm10_chr17:31897338-31897349(+)::chr17:31897337-31897349(+) AGGAGGAAGCTA >mm10_chr17:31905908-31905919(+)::chr17:31905907-31905919(+) ACCAGGAAGTGA >mm10_chr17:31905948-31905959(-)::chr17:31905947-31905959(-) AAAAGGAACGTG >mm10_chr17:31924473-31924484(+)::chr17:31924472-31924484(+) ACCAGGAAGTGT >mm10_chr17:31924519-31924530(+)::chr17:31924518-31924530(+) CCCAGGAAGTGC >mm10_chr17:31931911-31931922(-)::chr17:31931910-31931922(-) ACCAGGAAGAAA >mm10_chr17:31933000-31933011(-)::chr17:31932999-31933011(-) ACAAGGAAATTG >mm10_chr17:31940774-31940785(+)::chr17:31940773-31940785(+) AACAGGAAGGCT >mm10_chr17:31945681-31945692(-)::chr17:31945680-31945692(-) GGGAGGAAGTGT >mm10_chr17:31994271-31994282(+)::chr17:31994270-31994282(+) agaaggaaacac >mm10_chr17:31994289-31994300(+)::chr17:31994288-31994300(+) gaaaggcagtaa >mm10_chr17:32024884-32024895(-)::chr17:32024883-32024895(-) TCAAGGAAATGT >mm10_chr17:32024907-32024918(+)::chr17:32024906-32024918(+) AGAAGGAAGATT >mm10_chr17:32046800-32046811(+)::chr17:32046799-32046811(+) ATAAGGAACTCT >mm10_chr17:32046850-32046861(-)::chr17:32046849-32046861(-) AGGAGGAAGGCC >mm10_chr17:32052478-32052489(-)::chr17:32052477-32052489(-) GGAAGGAAGCTG >mm10_chr17:32052482-32052493(-)::chr17:32052481-32052493(-) TGCAGGAAGGAA >mm10_chr17:32091384-32091395(-)::chr17:32091383-32091395(-) AGCAGGAAGTAG >mm10_chr17:32109364-32109375(-)::chr17:32109363-32109375(-) gagaggaaggag >mm10_chr17:32134445-32134456(+)::chr17:32134444-32134456(+) aagaggaagagg >mm10_chr17:32134466-32134477(+)::chr17:32134465-32134477(+) aggaggaagaag >mm10_chr17:32170094-32170105(+)::chr17:32170093-32170105(+) AGGAGGAAATCC >mm10_chr17:32189951-32189962(+)::chr17:32189950-32189962(+) CGAAGGAAGGGA >mm10_chr17:32204577-32204588(-)::chr17:32204576-32204588(-) GGCAGGAAGTAT >mm10_chr17:32268866-32268877(+)::chr17:32268865-32268877(+) TGGAGGAAGTGA >mm10_chr17:32284096-32284107(-)::chr17:32284095-32284107(-) aggaggaAGCGA >mm10_chr17:32393862-32393873(+)::chr17:32393861-32393873(+) TCCAGGAAGTGT >mm10_chr17:32482842-32482853(-)::chr17:32482841-32482853(-) agaaggaagagg >mm10_chr17:32482851-32482862(-)::chr17:32482850-32482862(-) aagaggaagaga >mm10_chr17:32482860-32482871(-)::chr17:32482859-32482871(-) TACAGgaagaag >mm10_chr17:32518864-32518875(-)::chr17:32518863-32518875(-) ggaaggaaataa >mm10_chr17:32518868-32518879(-)::chr17:32518867-32518879(-) aggaggaaggaa >mm10_chr17:32536502-32536513(+)::chr17:32536501-32536513(+) GGGAGGAAGTGG >mm10_chr17:32659016-32659027(-)::chr17:32659015-32659027(-) TAAAGGAAGCAA >mm10_chr17:32754261-32754272(-)::chr17:32754260-32754272(-) AGCAGGAAGCAA >mm10_chr17:32754748-32754759(+)::chr17:32754747-32754759(+) GAAAGGAAGGAT >mm10_chr17:32777552-32777563(+)::chr17:32777551-32777563(+) acaaggaaatgt >mm10_chr17:32777957-32777968(+)::chr17:32777956-32777968(+) AGAAGGAAGTAG >mm10_chr17:32788265-32788276(+)::chr17:32788264-32788276(+) GACGGGAAGTGG >mm10_chr17:32830364-32830375(-)::chr17:32830363-32830375(-) GGGAGGAAGGAG >mm10_chr17:32853054-32853065(+)::chr17:32853053-32853065(+) TTAAGGAAGTAG >mm10_chr17:32940104-32940115(-)::chr17:32940103-32940115(-) tggaggaagagg >mm10_chr17:32947363-32947374(-)::chr17:32947362-32947374(-) GACAGGAAGTTG >mm10_chr17:32965891-32965902(+)::chr17:32965890-32965902(+) AGTAGGAAGAAC >mm10_chr17:32966265-32966276(-)::chr17:32966264-32966276(-) ACAAGGAAATGA >mm10_chr17:32972451-32972462(-)::chr17:32972450-32972462(-) ATGAGGAAGGTC >mm10_chr17:32994445-32994456(+)::chr17:32994444-32994456(+) TCAAGAAAGTCG >mm10_chr17:33033281-33033292(-)::chr17:33033280-33033292(-) AAGAGGAAATGG >mm10_chr17:33033309-33033320(-)::chr17:33033308-33033320(-) ATTAGGAAGAAC >mm10_chr17:33406043-33406054(-)::chr17:33406042-33406054(-) accaggaaggtg >mm10_chr17:33685555-33685566(-)::chr17:33685554-33685566(-) ATCGGGAAGTGA >mm10_chr17:33757502-33757513(+)::chr17:33757501-33757513(+) TCAAGGAACTAG >mm10_chr17:33783637-33783648(+)::chr17:33783636-33783648(+) GACAGGAAGAGT >mm10_chr17:33817294-33817305(-)::chr17:33817293-33817305(-) TTGAGGAAGTCC >mm10_chr17:33830655-33830666(+)::chr17:33830654-33830666(+) GTAAGGAAGGTA >mm10_chr17:33843703-33843714(-)::chr17:33843702-33843714(-) ACAAGGAAAAAC >mm10_chr17:33843733-33843744(+)::chr17:33843732-33843744(+) GGAAGGAAGAGC >mm10_chr17:33890794-33890805(-)::chr17:33890793-33890805(-) ATCCGGAAGTAG >mm10_chr17:33890808-33890819(-)::chr17:33890807-33890819(-) AAGAGGAAGAGC >mm10_chr17:33908446-33908457(+)::chr17:33908445-33908457(+) GGAAGGAAGGCT >mm10_chr17:33919630-33919641(+)::chr17:33919629-33919641(+) AGAAGGAAAATG >mm10_chr17:33929844-33929855(-)::chr17:33929843-33929855(-) GGAAGGAAGTGA >mm10_chr17:33940328-33940339(+)::chr17:33940327-33940339(+) ACCCGGAAGTAA >mm10_chr17:33940369-33940380(+)::chr17:33940368-33940380(+) CGCCGGAAGTTA >mm10_chr17:33955813-33955824(-)::chr17:33955812-33955824(-) AACCGGAAGTTA >mm10_chr17:33979131-33979142(-)::chr17:33979130-33979142(-) AAGAGGAAGGGT >mm10_chr17:33979137-33979148(-)::chr17:33979136-33979148(-) AGAAGGAAGAGG >mm10_chr17:34029936-34029947(-)::chr17:34029935-34029947(-) AGAAGGAAGTGG >mm10_chr17:34076563-34076574(-)::chr17:34076562-34076574(-) aggaggaagagg >mm10_chr17:34101927-34101938(+)::chr17:34101926-34101938(+) agcaggaagaaa >mm10_chr17:34113731-34113742(-)::chr17:34113730-34113742(-) AAACGGAAGGCA >mm10_chr17:34114009-34114020(-)::chr17:34114008-34114020(-) aggaggaagaag >mm10_chr17:34165215-34165226(+)::chr17:34165214-34165226(+) agcaggaagctg >mm10_chr17:34165248-34165259(+)::chr17:34165247-34165259(+) aacaggaagtag >mm10_chr17:34187795-34187806(-)::chr17:34187794-34187806(-) GGAAGGAAGAAG >mm10_chr17:34197727-34197738(+)::chr17:34197726-34197738(+) TCAAGGAAGGAG >mm10_chr17:34198353-34198364(-)::chr17:34198352-34198364(-) ACAAGGAAGTGA >mm10_chr17:34205759-34205770(-)::chr17:34205758-34205770(-) ACAAGGAAGAAG >mm10_chr17:34205787-34205798(+)::chr17:34205786-34205798(+) GAGAGGAAGAGA >mm10_chr17:34212316-34212327(-)::chr17:34212315-34212327(-) AGCAGGAAGGAG >mm10_chr17:34212333-34212344(+)::chr17:34212332-34212344(+) AGGAGGAAGTGG >mm10_chr17:34235116-34235127(-)::chr17:34235115-34235127(-) AAAAGGAAGGGC >mm10_chr17:34296268-34296279(-)::chr17:34296267-34296279(-) GCAAGGAAGCGT >mm10_chr17:34341112-34341123(-)::chr17:34341111-34341123(-) ttaaggaagtgg >mm10_chr17:34366206-34366217(+)::chr17:34366205-34366217(+) AGAAGGAAATGG >mm10_chr17:34403129-34403140(-)::chr17:34403128-34403140(-) acaaggaagtaa >mm10_chr17:34403172-34403183(-)::chr17:34403171-34403183(-) acaaggaaatgg >mm10_chr17:34437802-34437813(-)::chr17:34437801-34437813(-) AGGAGGAAATAG >mm10_chr17:34578198-34578209(-)::chr17:34578197-34578209(-) TAAAGGAAGAGA >mm10_chr17:34578257-34578268(-)::chr17:34578256-34578268(-) ATAGGGAAGTTC >mm10_chr17:34590022-34590033(+)::chr17:34590021-34590033(+) TGGAGGAAGTTG >mm10_chr17:34592214-34592225(-)::chr17:34592213-34592225(-) gggaggaagagg >mm10_chr17:34603920-34603931(-)::chr17:34603919-34603931(-) AGAAGGAAAAAA >mm10_chr17:34603929-34603940(-)::chr17:34603928-34603940(-) AAGAGGAAGAGA >mm10_chr17:34604677-34604688(-)::chr17:34604676-34604688(-) ACAAGGAAGGGG >mm10_chr17:34608911-34608922(-)::chr17:34608910-34608922(-) AGCAGGAAGAGG >mm10_chr17:34608927-34608938(-)::chr17:34608926-34608938(-) GGCAGGAAGAGG >mm10_chr17:34608952-34608963(-)::chr17:34608951-34608963(-) ACAAGGAACTTT >mm10_chr17:34627585-34627596(+)::chr17:34627584-34627596(+) GGGAGGAAATAG >mm10_chr17:34738287-34738298(+)::chr17:34738286-34738298(+) ggaaggaagagg >mm10_chr17:34738299-34738310(+)::chr17:34738298-34738310(+) aggaggaagaaa >mm10_chr17:34738338-34738349(+)::chr17:34738337-34738349(+) aggaggaagaga >mm10_chr17:34738359-34738370(+)::chr17:34738358-34738370(+) aggaggaagaaa >mm10_chr17:34850227-34850238(+)::chr17:34850226-34850238(+) GGGCGGAAGTTG >mm10_chr17:34850514-34850525(-)::chr17:34850513-34850525(-) AGGAGGAAGAAG >mm10_chr17:34883500-34883511(-)::chr17:34883499-34883511(-) atcaggaagtca >mm10_chr17:34900146-34900157(-)::chr17:34900145-34900157(-) TCCAGGAAGCCG >mm10_chr17:34911206-34911217(-)::chr17:34911205-34911217(-) ACCAGGAAGTGT >mm10_chr17:34915522-34915533(-)::chr17:34915521-34915533(-) TCCAGGAAGTTT >mm10_chr17:34917823-34917834(-)::chr17:34917822-34917834(-) ttgaggaagttt >mm10_chr17:34917862-34917873(+)::chr17:34917861-34917873(+) TGCAGGAAATGC >mm10_chr17:34924795-34924806(-)::chr17:34924794-34924806(-) AAGAGGAAGAGG >mm10_chr17:34934953-34934964(+)::chr17:34934952-34934964(+) ACAGGGAAGTCT >mm10_chr17:34941398-34941409(-)::chr17:34941397-34941409(-) ACAAGGaaataa >mm10_chr17:34941424-34941435(+)::chr17:34941423-34941435(+) GAAAGGAAGCAG >mm10_chr17:34945938-34945949(-)::chr17:34945937-34945949(-) AGCAGGAAGCCA >mm10_chr17:34964892-34964903(+)::chr17:34964891-34964903(+) GAAAGGAAGGCA >mm10_chr17:34965060-34965071(-)::chr17:34965059-34965071(-) GGAAGGAATTGC >mm10_chr17:34981841-34981852(+)::chr17:34981840-34981852(+) GGGAGGAAGTGG >mm10_chr17:34981857-34981868(+)::chr17:34981856-34981868(+) GACAGGAAGTTC >mm10_chr17:34985510-34985521(+)::chr17:34985509-34985521(+) GCAAGGAAGGAA >mm10_chr17:34985514-34985525(+)::chr17:34985513-34985525(+) GGAAGGAAGCCC >mm10_chr17:34999930-34999941(-)::chr17:34999929-34999941(-) TGAAGGAAGGGC >mm10_chr17:35002007-35002018(-)::chr17:35002006-35002018(-) GGAAGGAAGAGA >mm10_chr17:35002011-35002022(-)::chr17:35002010-35002022(-) CGGAGGAAGGAA >mm10_chr17:35035175-35035186(-)::chr17:35035174-35035186(-) ACAAGGAAATCT >mm10_chr17:35045384-35045395(+)::chr17:35045383-35045395(+) AGAAGGAAGGTG >mm10_chr17:35047044-35047055(-)::chr17:35047043-35047055(-) AGGAGGAAGACT >mm10_chr17:35050423-35050434(-)::chr17:35050422-35050434(-) ACCAGGAAGCAG >mm10_chr17:35051882-35051893(-)::chr17:35051881-35051893(-) GCAAGGAAGTGG >mm10_chr17:35051892-35051903(+)::chr17:35051891-35051903(+) GCCAGGAAGGAA >mm10_chr17:35051896-35051907(+)::chr17:35051895-35051907(+) GGAAGGAAGCTG >mm10_chr17:35079663-35079674(+)::chr17:35079662-35079674(+) TGAAGGAAGAGT >mm10_chr17:35093065-35093076(+)::chr17:35093064-35093076(+) GACAGGAAGGAA >mm10_chr17:35093069-35093080(+)::chr17:35093068-35093080(+) GGAAGGAAGGCC >mm10_chr17:35115555-35115566(+)::chr17:35115554-35115566(+) AGGAGGAAGTCA >mm10_chr17:35115573-35115584(+)::chr17:35115572-35115584(+) GGCAGGAAGAAG >mm10_chr17:35125278-35125289(+)::chr17:35125277-35125289(+) GTAGGGAAGTTA >mm10_chr17:35125300-35125311(+)::chr17:35125299-35125311(+) GAGAGGAAGTAG >mm10_chr17:35135164-35135175(+)::chr17:35135163-35135175(+) GCGGGGAAGTAC >mm10_chr17:35162933-35162944(-)::chr17:35162932-35162944(-) GGAAAGAAGTAT >mm10_chr17:35174679-35174690(-)::chr17:35174678-35174690(-) atgaggaagaga >mm10_chr17:35186369-35186380(-)::chr17:35186368-35186380(-) aagaggaagtag >mm10_chr17:35197950-35197961(-)::chr17:35197949-35197961(-) aggaggaagagg >mm10_chr17:35197962-35197973(-)::chr17:35197961-35197973(-) agaaggaagaag >mm10_chr17:35197971-35197982(-)::chr17:35197970-35197982(-) gagaggaagaga >mm10_chr17:35197986-35197997(-)::chr17:35197985-35197997(-) agaaggaagagg >mm10_chr17:35209575-35209586(+)::chr17:35209574-35209586(+) TGGAGGAAGGAA >mm10_chr17:35209579-35209590(+)::chr17:35209578-35209590(+) GGAAGGAAACGC >mm10_chr17:35210001-35210012(-)::chr17:35210000-35210012(-) AACAGGAAGCAT >mm10_chr17:35210813-35210824(+)::chr17:35210812-35210824(+) ACCAGGAAGTTT >mm10_chr17:35210831-35210842(+)::chr17:35210830-35210842(+) GGCAGGAAGTAT >mm10_chr17:35222392-35222403(+)::chr17:35222391-35222403(+) AGAAGGAAATGG >mm10_chr17:35222422-35222433(+)::chr17:35222421-35222433(+) TCCAGGAAGTTA >mm10_chr17:35235812-35235823(+)::chr17:35235811-35235823(+) TGCAGGAAATGG >mm10_chr17:35240575-35240586(+)::chr17:35240574-35240586(+) AGCAGGAAGATG >mm10_chr17:35240778-35240789(+)::chr17:35240777-35240789(+) AACAGGAAGACA >mm10_chr17:35241752-35241763(+)::chr17:35241751-35241763(+) GCCGGGAAGGCG >mm10_chr17:35262736-35262747(+)::chr17:35262735-35262747(+) AGAAGGAAGAGG >mm10_chr17:35262742-35262753(+)::chr17:35262741-35262753(+) AAGAGGAAGGGT >mm10_chr17:35349845-35349856(-)::chr17:35349844-35349856(-) aggaggaagcca >mm10_chr17:35349863-35349874(-)::chr17:35349862-35349874(-) aggaggaagagg >mm10_chr17:35349878-35349889(-)::chr17:35349877-35349889(-) agaaggaagagg >mm10_chr17:35353090-35353101(+)::chr17:35353089-35353101(+) tcaaggaagttg >mm10_chr17:35353114-35353125(-)::chr17:35353113-35353125(-) ataaggaatttc >mm10_chr17:35353992-35354003(+)::chr17:35353991-35354003(+) aaaaggaagagg >mm10_chr17:35354004-35354015(+)::chr17:35354003-35354015(+) aggaggaagaga >mm10_chr17:35379268-35379279(+)::chr17:35379267-35379279(+) AGAAGGAAGAGG >mm10_chr17:35379274-35379285(+)::chr17:35379273-35379285(+) AAGAGGAAGGGT >mm10_chr17:35379286-35379297(+)::chr17:35379285-35379297(+) GGAGGGAAGATA >mm10_chr17:35517096-35517107(-)::chr17:35517095-35517107(-) AATAGGAAGAAG >mm10_chr17:35524745-35524756(+)::chr17:35524744-35524756(+) CTGAGGAAGTAT >mm10_chr17:35526945-35526956(-)::chr17:35526944-35526956(-) gggaggaaggaC >mm10_chr17:35526957-35526968(-)::chr17:35526956-35526968(-) aggaggaagaga >mm10_chr17:35526964-35526975(-)::chr17:35526963-35526975(-) ggaaggaaggag >mm10_chr17:35526968-35526979(-)::chr17:35526967-35526979(-) CAaaggaaggaa >mm10_chr17:35543090-35543101(+)::chr17:35543089-35543101(+) tcaaggaaGAGG >mm10_chr17:35543096-35543107(+)::chr17:35543095-35543107(+) aaGAGGAAGGCA >mm10_chr17:35543108-35543119(+)::chr17:35543107-35543119(+) AGAAGGAAAAGA >mm10_chr17:35557149-35557160(+)::chr17:35557148-35557160(+) AGAAGGAAAACA >mm10_chr17:35557204-35557215(-)::chr17:35557203-35557215(-) GAGAGGAAGGAT >mm10_chr17:35626826-35626837(+)::chr17:35626825-35626837(+) AACAGGAAGAGA >mm10_chr17:35634238-35634249(+)::chr17:35634237-35634249(+) AGAAGGAAAAGC >mm10_chr17:35635809-35635820(-)::chr17:35635808-35635820(-) GGGAGGAAGTGG >mm10_chr17:35652713-35652724(+)::chr17:35652712-35652724(+) ACAAGGAAGACC >mm10_chr17:35652750-35652761(-)::chr17:35652749-35652761(-) AACAGGAAGCTG >mm10_chr17:35666262-35666273(+)::chr17:35666261-35666273(+) ATGAGGAAGTCA >mm10_chr17:35708110-35708121(+)::chr17:35708109-35708121(+) gccaggaagaac >mm10_chr17:35712358-35712369(+)::chr17:35712357-35712369(+) ACAAGGAAGAGC >mm10_chr17:35721892-35721903(+)::chr17:35721891-35721903(+) AAGAGGAAGAGT >mm10_chr17:35722721-35722732(+)::chr17:35722720-35722732(+) AACAGGAAATCA >mm10_chr17:35751128-35751139(+)::chr17:35751127-35751139(+) ACTAGGAAGAAG >mm10_chr17:35751135-35751146(+)::chr17:35751134-35751146(+) AGAAGGAAGGGA >mm10_chr17:35752416-35752427(-)::chr17:35752415-35752427(-) ggaaggaagaag >mm10_chr17:35752420-35752431(-)::chr17:35752419-35752431(-) ggaaggaaggaa >mm10_chr17:35752612-35752623(+)::chr17:35752611-35752623(+) AGGAGGAAGGGG >mm10_chr17:35776996-35777007(+)::chr17:35776995-35777007(+) AAAAGGAAATTG >mm10_chr17:35779764-35779775(-)::chr17:35779763-35779775(-) AAGAGGAAGCGA >mm10_chr17:35784814-35784825(+)::chr17:35784813-35784825(+) agcaggaagagg >mm10_chr17:35784820-35784831(+)::chr17:35784819-35784831(+) aagaggaagagg >mm10_chr17:35808195-35808206(+)::chr17:35808194-35808206(+) TCAAGGAAGTGG >mm10_chr17:35815015-35815026(+)::chr17:35815014-35815026(+) AAGAGGAAGTAG >mm10_chr17:35874837-35874848(-)::chr17:35874836-35874848(-) GGAAGGAGGTAT >mm10_chr17:35874841-35874852(-)::chr17:35874840-35874852(-) GGAAGGAAGGAG >mm10_chr17:35874845-35874856(-)::chr17:35874844-35874856(-) GGAAGGAAGGAA >mm10_chr17:35874849-35874860(-)::chr17:35874848-35874860(-) GGAAGGAAGGAA >mm10_chr17:35881483-35881494(+)::chr17:35881482-35881494(+) aggaggaagagg >mm10_chr17:35881509-35881520(+)::chr17:35881508-35881520(+) AAGAGGAAGGCA >mm10_chr17:35916784-35916795(+)::chr17:35916783-35916795(+) AGACGGAAGAGG >mm10_chr17:35916801-35916812(+)::chr17:35916800-35916812(+) CGAGGGAAGGGG >mm10_chr17:35927804-35927815(-)::chr17:35927803-35927815(-) ACCAGGAAGCAA >mm10_chr17:35969763-35969774(-)::chr17:35969762-35969774(-) CGCCGGAAGCGG >mm10_chr17:35979278-35979289(+)::chr17:35979277-35979289(+) GTGAGGAAGGGG >mm10_chr17:35979306-35979317(-)::chr17:35979305-35979317(-) ACCCGGAAGCGG >mm10_chr17:36024189-36024200(+)::chr17:36024188-36024200(+) AGGAGGAAGGCA >mm10_chr17:36253379-36253390(+)::chr17:36253378-36253390(+) atacggaagtaa >mm10_chr17:36266012-36266023(+)::chr17:36266011-36266023(+) aggaggaagtat >mm10_chr17:36266055-36266066(-)::chr17:36266054-36266066(-) aacaggaagtag >mm10_chr17:36266071-36266082(-)::chr17:36266070-36266082(-) cataggaagtag >mm10_chr17:36271393-36271404(-)::chr17:36271392-36271404(-) AGGAGGAAGGAG >mm10_chr17:36271442-36271453(+)::chr17:36271441-36271453(+) CGCCGGAAGCGG >mm10_chr17:36538900-36538911(+)::chr17:36538899-36538911(+) AGAAGGAAAGGA >mm10_chr17:36538905-36538916(+)::chr17:36538904-36538916(+) GAAAGGAAGTCA >mm10_chr17:36844653-36844664(-)::chr17:36844652-36844664(-) ATCAGGAACTAT >mm10_chr17:36845535-36845546(+)::chr17:36845534-36845546(+) TTAAGGAAGCAG >mm10_chr17:36845542-36845553(+)::chr17:36845541-36845553(+) AGCAGGAAGTAA >mm10_chr17:36857622-36857633(+)::chr17:36857621-36857633(+) aggaggaagaag >mm10_chr17:36869673-36869684(-)::chr17:36869672-36869684(-) AGGAGGAAGGCC >mm10_chr17:36887143-36887154(+)::chr17:36887142-36887154(+) aggaggaagggg >mm10_chr17:36887192-36887203(+)::chr17:36887191-36887203(+) aggaggaagagg >mm10_chr17:36887198-36887209(+)::chr17:36887197-36887209(+) aagaggaagggg >mm10_chr17:36892209-36892220(-)::chr17:36892208-36892220(-) ACAAGGAAAGAA >mm10_chr17:36892382-36892393(-)::chr17:36892381-36892393(-) ATAAGGAAGCTC >mm10_chr17:36901713-36901724(+)::chr17:36901712-36901724(+) ATGAGGAAGAGA >mm10_chr17:36931996-36932007(+)::chr17:36931995-36932007(+) AAGAGGAAGGAA >mm10_chr17:36951122-36951133(-)::chr17:36951121-36951133(-) GGGAGGAAGTGA >mm10_chr17:36951697-36951708(-)::chr17:36951696-36951708(-) AACCGGAAGTGA >mm10_chr17:37043889-37043900(+)::chr17:37043888-37043900(+) ATAAGGAACTCT >mm10_chr17:37069901-37069912(+)::chr17:37069900-37069912(+) ATAGGGAAGACA >mm10_chr17:37085242-37085253(-)::chr17:37085241-37085253(-) GGAAGGAAGTGA >mm10_chr17:37126407-37126418(+)::chr17:37126406-37126418(+) agaaggaagtta >mm10_chr17:39068819-39068830(+)::chr17:39068818-39068830(+) acaaggaaattc >mm10_chr17:39296032-39296043(-)::chr17:39296031-39296043(-) AGAAGGAAATAC >mm10_chr17:39848473-39848484(-)::chr17:39848472-39848484(-) ACACGGAAGAGC >mm10_chr17:40790054-40790065(+)::chr17:40790053-40790065(+) aggaggaagttg >mm10_chr17:40873659-40873670(-)::chr17:40873658-40873670(-) ACAAGGAAGTAA >mm10_chr17:40873738-40873749(-)::chr17:40873737-40873749(-) AGAAGGAAGTTA >mm10_chr17:40885366-40885377(+)::chr17:40885365-40885377(+) CCCAGGAAGTGA >mm10_chr17:40890475-40890486(+)::chr17:40890474-40890486(+) ataaggaaaaaa >mm10_chr17:40892528-40892539(-)::chr17:40892527-40892539(-) aataggaagcag >mm10_chr17:40892541-40892552(+)::chr17:40892540-40892552(+) accaggaagtga >mm10_chr17:40904894-40904905(-)::chr17:40904893-40904905(-) GCCAGGAAGTTG >mm10_chr17:40920488-40920499(+)::chr17:40920487-40920499(+) ACAAGGAAAAAC >mm10_chr17:40934536-40934547(+)::chr17:40934535-40934547(+) AACCGGAAGCGT >mm10_chr17:41512156-41512167(+)::chr17:41512155-41512167(+) TGCAGGAAGTTT >mm10_chr17:41531714-41531725(+)::chr17:41531713-41531725(+) taaaggaagaca >mm10_chr17:41994834-41994845(+)::chr17:41994833-41994845(+) GTTAGGAAGTAG >mm10_chr17:42603541-42603552(+)::chr17:42603540-42603552(+) ACCAGGAAGGGA >mm10_chr17:42691644-42691655(+)::chr17:42691643-42691655(+) AACAGGAAGTAG >mm10_chr17:42691699-42691710(+)::chr17:42691698-42691710(+) GAGAGGAAGAAA >mm10_chr17:42692069-42692080(+)::chr17:42692068-42692080(+) TCCAGGAAGTAG >mm10_chr17:42860561-42860572(-)::chr17:42860560-42860572(-) tggaggaagtag >mm10_chr17:42870656-42870667(-)::chr17:42870655-42870667(-) GGGAGGAAATGA >mm10_chr17:42884378-42884389(+)::chr17:42884377-42884389(+) gaaaggaaggaa >mm10_chr17:42884382-42884393(+)::chr17:42884381-42884393(+) ggaaggaagcaa >mm10_chr17:42884403-42884414(+)::chr17:42884402-42884414(+) agtaggaaggaa >mm10_chr17:42884407-42884418(+)::chr17:42884406-42884418(+) ggaaggaaggGG >mm10_chr17:42943712-42943723(+)::chr17:42943711-42943723(+) AGGAGGAAGAAA >mm10_chr17:42991932-42991943(+)::chr17:42991931-42991943(+) GGAAGGAAATGT >mm10_chr17:43033145-43033156(+)::chr17:43033144-43033156(+) GTGAGGAAGTAT >mm10_chr17:43056625-43056636(-)::chr17:43056624-43056636(-) gagaggaaggag >mm10_chr17:43072427-43072438(-)::chr17:43072426-43072438(-) GCAAGGAAGCAT >mm10_chr17:43075355-43075366(+)::chr17:43075354-43075366(+) ATGAGGAAATCA >mm10_chr17:43093913-43093924(+)::chr17:43093912-43093924(+) aacaggaagcta >mm10_chr17:43131059-43131070(+)::chr17:43131058-43131070(+) ATGAGGAAGACT >mm10_chr17:43131087-43131098(-)::chr17:43131086-43131098(-) AGAAGGAAATTG >mm10_chr17:43140065-43140076(+)::chr17:43140064-43140076(+) AACAGGAAGTCT >mm10_chr17:43140076-43140087(+)::chr17:43140075-43140087(+) TGCAGGAAGTTG >mm10_chr17:43147889-43147900(+)::chr17:43147888-43147900(+) AAGAGGAAGTTG >mm10_chr17:43148656-43148667(+)::chr17:43148655-43148667(+) agcaggaagaca >mm10_chr17:43166344-43166355(-)::chr17:43166343-43166355(-) agcaggaagtgg >mm10_chr17:43175484-43175495(+)::chr17:43175483-43175495(+) AGGAGGAAATAA >mm10_chr17:43192596-43192607(-)::chr17:43192595-43192607(-) AACAGGATGTAG >mm10_chr17:43192616-43192627(-)::chr17:43192615-43192627(-) AGGAGGAAGAAC >mm10_chr17:43201032-43201043(+)::chr17:43201031-43201043(+) AACAGGAAGCAA >mm10_chr17:43201036-43201047(+)::chr17:43201035-43201047(+) GGAAGCAAGTTA >mm10_chr17:43201063-43201074(-)::chr17:43201062-43201074(-) ATGAGGAAGTAA >mm10_chr17:43223019-43223030(-)::chr17:43223018-43223030(-) AGGAGGAAGTCA >mm10_chr17:43227232-43227243(-)::chr17:43227231-43227243(-) aaaaggaagagg >mm10_chr17:43227255-43227266(-)::chr17:43227254-43227266(-) aggaggaagagg >mm10_chr17:43240289-43240300(+)::chr17:43240288-43240300(+) CCAAGGAAGGGA >mm10_chr17:43240300-43240311(+)::chr17:43240299-43240311(+) ACAAGGAAGACA >mm10_chr17:43242855-43242866(-)::chr17:43242854-43242866(-) AACAGGAAGTCT >mm10_chr17:43267533-43267544(-)::chr17:43267532-43267544(-) AGAAGGAAGCCG >mm10_chr17:43267546-43267557(-)::chr17:43267545-43267557(-) CTAAGGAAGTGA >mm10_chr17:43267586-43267597(+)::chr17:43267585-43267597(+) ACCAGGAAGTAG >mm10_chr17:43270193-43270204(+)::chr17:43270192-43270204(+) AACGGGAAGTCT >mm10_chr17:43270597-43270608(+)::chr17:43270596-43270608(+) ACAAGGAAGGGA >mm10_chr17:43270601-43270612(+)::chr17:43270600-43270612(+) GGAAGGGAGTCG >mm10_chr17:43282332-43282343(+)::chr17:43282331-43282343(+) AAGAGGAAGGGG >mm10_chr17:43282345-43282356(+)::chr17:43282344-43282356(+) ATAAGGAAGTAG >mm10_chr17:43282363-43282374(-)::chr17:43282362-43282374(-) ATAAGGAAGTCT >mm10_chr17:43316134-43316145(-)::chr17:43316133-43316145(-) gcaaggaagcag >mm10_chr17:43326729-43326740(+)::chr17:43326728-43326740(+) TCTAGGAAGTTT >mm10_chr17:43328077-43328088(-)::chr17:43328076-43328088(-) AGGAGGAAGATC >mm10_chr17:43337872-43337883(-)::chr17:43337871-43337883(-) aagaggaagttg >mm10_chr17:43338441-43338452(-)::chr17:43338440-43338452(-) tggaggaagcaa >mm10_chr17:43338470-43338481(+)::chr17:43338469-43338481(+) aagaggaagtgg >mm10_chr17:43381828-43381839(+)::chr17:43381827-43381839(+) AGCAGGAAGGAG >mm10_chr17:43400717-43400728(+)::chr17:43400716-43400728(+) ACGAGGAAGTTG >mm10_chr17:43431904-43431915(+)::chr17:43431903-43431915(+) ACCAGGAAGTCT >mm10_chr17:43531134-43531145(-)::chr17:43531133-43531145(-) CCAAGGAAGATT >mm10_chr17:43667889-43667900(-)::chr17:43667888-43667900(-) TGCCGGAAGTAT >mm10_chr17:43675348-43675359(+)::chr17:43675347-43675359(+) AGAAGGAAAAGT >mm10_chr17:43675362-43675373(+)::chr17:43675361-43675373(+) ATCAGGAAGTTT >mm10_chr17:43685285-43685296(-)::chr17:43685284-43685296(-) TGAAGGAAGAGG >mm10_chr17:43699476-43699487(-)::chr17:43699475-43699487(-) AGGAGGAAGTGC >mm10_chr17:43794873-43794884(+)::chr17:43794872-43794884(+) ACCAGGAAGTCC >mm10_chr17:43794918-43794929(-)::chr17:43794917-43794929(-) ACAAGGAACTGC >mm10_chr17:43846885-43846896(+)::chr17:43846884-43846896(+) tacaggaactag >mm10_chr17:44063481-44063492(+)::chr17:44063480-44063492(+) AGTAGGAAGGAA >mm10_chr17:44063501-44063512(+)::chr17:44063500-44063512(+) AGAAGGAAGCGC >mm10_chr17:44063517-44063528(+)::chr17:44063516-44063528(+) ACGAGGAAGGGC >mm10_chr17:44077163-44077174(+)::chr17:44077162-44077174(+) AGCAGGAAGTTT >mm10_chr17:44101004-44101015(+)::chr17:44101003-44101015(+) ATAAGGAAGTGA >mm10_chr17:44101079-44101090(+)::chr17:44101078-44101090(+) AGAAGGAACTGG >mm10_chr17:44119733-44119744(-)::chr17:44119732-44119744(-) GAAAGGAAGCAG >mm10_chr17:44162497-44162508(+)::chr17:44162496-44162508(+) GGAAGGAAGAGA >mm10_chr17:44162506-44162517(+)::chr17:44162505-44162517(+) AGAAGGAAGGAA >mm10_chr17:44162510-44162521(+)::chr17:44162509-44162521(+) GGAAGGAAGAAA >mm10_chr17:44203219-44203230(+)::chr17:44203218-44203230(+) ATAAGGAAATGA >mm10_chr17:44225476-44225487(+)::chr17:44225475-44225487(+) agaaggaagaga >mm10_chr17:44225485-44225496(+)::chr17:44225484-44225496(+) agaaggaaaagg >mm10_chr17:44225491-44225502(+)::chr17:44225490-44225502(+) aaaaggaagaag >mm10_chr17:44225521-44225532(+)::chr17:44225520-44225532(+) aggaggaagagg >mm10_chr17:44225527-44225538(+)::chr17:44225526-44225538(+) aagaggaagaag >mm10_chr17:44225534-44225545(+)::chr17:44225533-44225545(+) agaaggaaggag >mm10_chr17:44239224-44239235(-)::chr17:44239223-44239235(-) GGAAGGAAGTCC >mm10_chr17:44239228-44239239(-)::chr17:44239227-44239239(-) GCCAGGAAGGAA >mm10_chr17:44239634-44239645(-)::chr17:44239633-44239645(-) AACAGGAAGTGG >mm10_chr17:44261958-44261969(+)::chr17:44261957-44261969(+) AAAAGGAAGGTA >mm10_chr17:44415398-44415409(-)::chr17:44415397-44415409(-) aagaggaagagg >mm10_chr17:44545189-44545200(+)::chr17:44545188-44545200(+) atgaggaagttc >mm10_chr17:44692174-44692185(-)::chr17:44692173-44692185(-) agaaggatgTGT >mm10_chr17:44710089-44710100(-)::chr17:44710088-44710100(-) AGAAGGAAATGA >mm10_chr17:44777682-44777693(+)::chr17:44777681-44777693(+) GCTAGGAAGTGG >mm10_chr17:44777698-44777709(+)::chr17:44777697-44777709(+) GGAAGGAAGTGC >mm10_chr17:44789419-44789430(+)::chr17:44789418-44789430(+) AGAAGCAAGTGC >mm10_chr17:44909879-44909890(-)::chr17:44909878-44909890(-) aggaggaagcca >mm10_chr17:44967799-44967810(-)::chr17:44967798-44967810(-) AGAAGGAAGAAA >mm10_chr17:44980858-44980869(-)::chr17:44980857-44980869(-) AAAAGGAAATAA >mm10_chr17:45170561-45170572(-)::chr17:45170560-45170572(-) AGAAGGAAGAGC >mm10_chr17:45245091-45245102(+)::chr17:45245090-45245102(+) TCATGGAAGTAG >mm10_chr17:45245116-45245127(-)::chr17:45245115-45245127(-) AACAGGAAGGAT >mm10_chr17:45247904-45247915(+)::chr17:45247903-45247915(+) AAAGGGAAGCGG >mm10_chr17:45394798-45394809(+)::chr17:45394797-45394809(+) AAGAGGAAGTGA >mm10_chr17:45432770-45432781(-)::chr17:45432769-45432781(-) GGAAGGAAGTAA >mm10_chr17:45432774-45432785(-)::chr17:45432773-45432785(-) AGAAGGAAGGAA >mm10_chr17:45484086-45484097(+)::chr17:45484085-45484097(+) GAGAGGAAGAAG >mm10_chr17:45484093-45484104(+)::chr17:45484092-45484104(+) AGAAGGAAGGCC >mm10_chr17:45496274-45496285(+)::chr17:45496273-45496285(+) aggaggaagagg >mm10_chr17:45496301-45496312(+)::chr17:45496300-45496312(+) aggaggaagaga >mm10_chr17:45530424-45530435(+)::chr17:45530423-45530435(+) CCAAGGATGTCG >mm10_chr17:45564749-45564760(+)::chr17:45564748-45564760(+) AGACGGAAGAAC >mm10_chr17:45566238-45566249(+)::chr17:45566237-45566249(+) CTGAGGAAGTAG >mm10_chr17:45581769-45581780(+)::chr17:45581768-45581780(+) GTCAGGAAGTGA >mm10_chr17:45581794-45581805(+)::chr17:45581793-45581805(+) GGCAGGAAGGAT >mm10_chr17:45584572-45584583(+)::chr17:45584571-45584583(+) TCCAGGAAGTCT >mm10_chr17:45585631-45585642(+)::chr17:45585630-45585642(+) AGCAGGAAGCAG >mm10_chr17:45593004-45593015(-)::chr17:45593003-45593015(-) GAAAGGAACTTG >mm10_chr17:45607318-45607329(-)::chr17:45607317-45607329(-) accaggaagaca >mm10_chr17:45619060-45619071(+)::chr17:45619059-45619071(+) AACAGGAAATGG >mm10_chr17:45619430-45619441(+)::chr17:45619429-45619441(+) GGAAGGAAGGTG >mm10_chr17:45619658-45619669(+)::chr17:45619657-45619669(+) CGGAGGAAGATA >mm10_chr17:45619671-45619682(+)::chr17:45619670-45619682(+) AGCAGGAAGTGG >mm10_chr17:45689279-45689290(-)::chr17:45689278-45689290(-) AAGAGGAAATGC >mm10_chr17:45703440-45703451(-)::chr17:45703439-45703451(-) gtaaggaagtta >mm10_chr17:45751082-45751093(-)::chr17:45751081-45751093(-) tccaggaagttc >mm10_chr17:45752874-45752885(+)::chr17:45752873-45752885(+) AAGAGGAAGAGC >mm10_chr17:45753046-45753057(-)::chr17:45753045-45753057(-) ACCAGGAAGGTC >mm10_chr17:45767931-45767942(+)::chr17:45767930-45767942(+) GGAAGGAAGTGG >mm10_chr17:45773274-45773285(-)::chr17:45773273-45773285(-) AGGAGGAAGCGG >mm10_chr17:45773308-45773319(-)::chr17:45773307-45773319(-) GGGAGGAAGAAG >mm10_chr17:45776559-45776570(-)::chr17:45776558-45776570(-) aggaggaagagg >mm10_chr17:45776571-45776582(-)::chr17:45776570-45776582(-) tgaaggaagagg >mm10_chr17:45776580-45776591(-)::chr17:45776579-45776591(-) gagaggaagtga >mm10_chr17:45777459-45777470(+)::chr17:45777458-45777470(+) CACAGGAAGTGA >mm10_chr17:45866079-45866090(+)::chr17:45866078-45866090(+) GAGAGGAAGTGT >mm10_chr17:45871611-45871622(+)::chr17:45871610-45871622(+) GAGAGGAAGAAC >mm10_chr17:45872536-45872547(+)::chr17:45872535-45872547(+) AGGAGGAAGAAC >mm10_chr17:45885373-45885384(+)::chr17:45885372-45885384(+) ggaaggaagact >mm10_chr17:45885385-45885396(+)::chr17:45885384-45885396(+) ggaaggaagggg >mm10_chr17:45885397-45885408(+)::chr17:45885396-45885408(+) tgaaggaagaag >mm10_chr17:45885404-45885415(+)::chr17:45885403-45885415(+) agaaggaaggGC >mm10_chr17:45885764-45885775(+)::chr17:45885763-45885775(+) TCAAGGAAGTTT >mm10_chr17:45902781-45902792(+)::chr17:45902780-45902792(+) AAAAGGAAGGCC >mm10_chr17:45919357-45919368(-)::chr17:45919356-45919368(-) AGCAGGAAGACA >mm10_chr17:45919383-45919394(+)::chr17:45919382-45919394(+) CACAGGAAGTGC >mm10_chr17:45973190-45973201(+)::chr17:45973189-45973201(+) TTAGGGAAGTGG >mm10_chr17:46013827-46013838(-)::chr17:46013826-46013838(-) GGAAGGATGTAG >mm10_chr17:46022456-46022467(-)::chr17:46022455-46022467(-) TCCAGGAAGTGA >mm10_chr17:46036328-46036339(+)::chr17:46036327-46036339(+) ACCAGGAAGGAA >mm10_chr17:46036332-46036343(+)::chr17:46036331-46036343(+) GGAAGGAAGTAA >mm10_chr17:46042287-46042298(+)::chr17:46042286-46042298(+) cgaaggcagtgg >mm10_chr17:46071681-46071692(-)::chr17:46071680-46071692(-) TGAAGGAAGGCC >mm10_chr17:46074064-46074075(-)::chr17:46074063-46074075(-) ACAGGGAAGTTT >mm10_chr17:46076326-46076337(+)::chr17:46076325-46076337(+) agaaggaagtcc >mm10_chr17:46084239-46084250(-)::chr17:46084238-46084250(-) GAGAGGAAGAAG >mm10_chr17:46093537-46093548(-)::chr17:46093536-46093548(-) gcagggaaggcg >mm10_chr17:46093587-46093598(-)::chr17:46093586-46093598(-) tggaggaagaat >mm10_chr17:46123835-46123846(-)::chr17:46123834-46123846(-) aagaggaagtct >mm10_chr17:46123841-46123852(-)::chr17:46123840-46123852(-) gcaaggaagagg >mm10_chr17:46124163-46124174(+)::chr17:46124162-46124174(+) ACCAGGAAGATC >mm10_chr17:46132604-46132615(+)::chr17:46132603-46132615(+) GCCAGGAAGTCT >mm10_chr17:46148225-46148236(-)::chr17:46148224-46148236(-) AACAGGAAGTGT >mm10_chr17:46148261-46148272(-)::chr17:46148260-46148272(-) GCAAGGAAGAAA >mm10_chr17:46153568-46153579(-)::chr17:46153567-46153579(-) AGCCGGAAGTGG >mm10_chr17:46163384-46163395(-)::chr17:46163383-46163395(-) AGCAGGAAGAAA >mm10_chr17:46248069-46248080(-)::chr17:46248068-46248080(-) TGAAGGACGTGG >mm10_chr17:46248078-46248089(-)::chr17:46248077-46248089(-) GTCCGGAAGTGA >mm10_chr17:46258601-46258612(-)::chr17:46258600-46258612(-) CCGAGGAAGAGC >mm10_chr17:46258631-46258642(-)::chr17:46258630-46258642(-) AAGAGGAAATGC >mm10_chr17:46258637-46258648(-)::chr17:46258636-46258648(-) ACGAGGAAGAGG >mm10_chr17:46283163-46283174(+)::chr17:46283162-46283174(+) AGAAGGAAGTCG >mm10_chr17:46305309-46305320(-)::chr17:46305308-46305320(-) ACAAGGAAGAGG >mm10_chr17:46322113-46322124(-)::chr17:46322112-46322124(-) CGAGGGAAGAAT >mm10_chr17:46322125-46322136(-)::chr17:46322124-46322136(-) GTAAGGAAGGCT >mm10_chr17:46328075-46328086(+)::chr17:46328074-46328086(+) GAGAGGAAGTCG >mm10_chr17:46358184-46358195(-)::chr17:46358183-46358195(-) GGAAGGAAGTTG >mm10_chr17:46358222-46358233(-)::chr17:46358221-46358233(-) AGTAGGAAGACT >mm10_chr17:46453542-46453553(-)::chr17:46453541-46453553(-) TGGAGGAAGTTC >mm10_chr17:46457954-46457965(-)::chr17:46457953-46457965(-) ACAAGGGAGTCG >mm10_chr17:46474080-46474091(-)::chr17:46474079-46474091(-) AGACGGAAGCCA >mm10_chr17:46474123-46474134(-)::chr17:46474122-46474134(-) CCACGGAAGTGT >mm10_chr17:46523372-46523383(+)::chr17:46523371-46523383(+) AGAAGGAAGCCA >mm10_chr17:46523397-46523408(-)::chr17:46523396-46523408(-) aagaggaAGTGG >mm10_chr17:46523403-46523414(-)::chr17:46523402-46523414(-) aggaggaagagg >mm10_chr17:46525907-46525918(+)::chr17:46525906-46525918(+) TGCAGGAAGCAG >mm10_chr17:46610146-46610157(+)::chr17:46610145-46610157(+) GGAAGGAAGAGA >mm10_chr17:46610293-46610304(+)::chr17:46610292-46610304(+) TCCAGGAAGGAA >mm10_chr17:46610297-46610308(+)::chr17:46610296-46610308(+) GGAAGGAAGAAT >mm10_chr17:46645038-46645049(-)::chr17:46645037-46645049(-) AGTAGGAAGACC >mm10_chr17:46645045-46645056(-)::chr17:46645044-46645056(-) TGGAGGAAGTAG >mm10_chr17:46650282-46650293(-)::chr17:46650281-46650293(-) TGCCGGAAGTGC >mm10_chr17:46650293-46650304(+)::chr17:46650292-46650304(+) AGCAGGAAGGAG >mm10_chr17:46650309-46650320(+)::chr17:46650308-46650320(+) AAGAGGAAGAGG >mm10_chr17:46659041-46659052(-)::chr17:46659040-46659052(-) AGAAGGAAGAGT >mm10_chr17:46662040-46662051(+)::chr17:46662039-46662051(+) aggaggaagggg >mm10_chr17:46662064-46662075(+)::chr17:46662063-46662075(+) aggaggaagagC >mm10_chr17:46674318-46674329(-)::chr17:46674317-46674329(-) GAGCGGAAGTCG >mm10_chr17:46683213-46683224(+)::chr17:46683212-46683224(+) ACCAGGAAATAA >mm10_chr17:46744336-46744347(-)::chr17:46744335-46744347(-) ATAGGGAAGTCA >mm10_chr17:46747479-46747490(-)::chr17:46747478-46747490(-) CCAAGGAAGTGA >mm10_chr17:46752159-46752170(-)::chr17:46752158-46752170(-) CGGAGGAAGCGC >mm10_chr17:46772526-46772537(+)::chr17:46772525-46772537(+) AAAGGGAAGTGC >mm10_chr17:46772782-46772793(+)::chr17:46772781-46772793(+) ACCCGGAAGTGC >mm10_chr17:46782531-46782542(-)::chr17:46782530-46782542(-) AAAAGGAAGCTG >mm10_chr17:46783143-46783154(-)::chr17:46783142-46783154(-) atgaggaagccg >mm10_chr17:46808358-46808369(-)::chr17:46808357-46808369(-) CGGAGGAAGACC >mm10_chr17:46852954-46852965(-)::chr17:46852953-46852965(-) AGAAGGAAGTTG >mm10_chr17:46852983-46852994(-)::chr17:46852982-46852994(-) TGCAGGAAGAAC >mm10_chr17:46860449-46860460(+)::chr17:46860448-46860460(+) AACCGGAAGTCT >mm10_chr17:46874274-46874285(-)::chr17:46874273-46874285(-) AAAAGGAAGTTG >mm10_chr17:46880162-46880173(-)::chr17:46880161-46880173(-) agaaggaagggt >mm10_chr17:46891107-46891118(+)::chr17:46891106-46891118(+) GCACGGAAGAAG >mm10_chr17:46932972-46932983(+)::chr17:46932971-46932983(+) aagaggaagcta >mm10_chr17:47002488-47002499(+)::chr17:47002487-47002499(+) agcaggaagacc >mm10_chr17:47002533-47002544(+)::chr17:47002532-47002544(+) CAGAGGAAGTCT >mm10_chr17:47011138-47011149(-)::chr17:47011137-47011149(-) ACGCGGAAGTAA >mm10_chr17:47018862-47018873(-)::chr17:47018861-47018873(-) agaaggaaatca >mm10_chr17:47018869-47018880(-)::chr17:47018868-47018880(-) gacaggaagaag >mm10_chr17:47046020-47046031(+)::chr17:47046019-47046031(+) agaaggaaaggg >mm10_chr17:47046041-47046052(+)::chr17:47046040-47046052(+) aggagGAAGTGA >mm10_chr17:47059980-47059991(-)::chr17:47059979-47059991(-) GGGAGGAAGGAA >mm10_chr17:47136883-47136894(+)::chr17:47136882-47136894(+) aggaggaagaga >mm10_chr17:47136910-47136921(+)::chr17:47136909-47136921(+) gggaggaagagg >mm10_chr17:47136916-47136927(+)::chr17:47136915-47136927(+) aagaggaagagg >mm10_chr17:47136922-47136933(+)::chr17:47136921-47136933(+) aagaggaagagg >mm10_chr17:47138542-47138553(-)::chr17:47138541-47138553(-) CTAAGGAAGGCC >mm10_chr17:47140712-47140723(-)::chr17:47140711-47140723(-) AGCAGGAAGTAA >mm10_chr17:47175133-47175144(+)::chr17:47175132-47175144(+) ACAAGGAAGTGT >mm10_chr17:47194843-47194854(-)::chr17:47194842-47194854(-) aggaggaagtgg >mm10_chr17:47194869-47194880(-)::chr17:47194868-47194880(-) aagaggaagggg >mm10_chr17:47194878-47194889(-)::chr17:47194877-47194889(-) aaaaggaagaag >mm10_chr17:47194890-47194901(-)::chr17:47194889-47194901(-) aagaggaagagg >mm10_chr17:47194896-47194907(-)::chr17:47194895-47194907(-) agcaggaagagg >mm10_chr17:47304862-47304873(-)::chr17:47304861-47304873(-) TTGAGGAAGATA >mm10_chr17:47332149-47332160(+)::chr17:47332148-47332160(+) CTAAGGAAGGAA >mm10_chr17:47332153-47332164(+)::chr17:47332152-47332164(+) GGAAGGAAGTCA >mm10_chr17:47358730-47358741(-)::chr17:47358729-47358741(-) ATGAGGAAGAGG >mm10_chr17:47358767-47358778(+)::chr17:47358766-47358778(+) AGCAGGAAGAAG >mm10_chr17:47419372-47419383(+)::chr17:47419371-47419383(+) AAAAGGAAGCTG >mm10_chr17:47419384-47419395(+)::chr17:47419383-47419395(+) ATGAGGAAGAAG >mm10_chr17:47419391-47419402(+)::chr17:47419390-47419402(+) AGAAGGAAGAGA >mm10_chr17:47436355-47436366(+)::chr17:47436354-47436366(+) TCAAGGAACTCG >mm10_chr17:47437317-47437328(-)::chr17:47437316-47437328(-) CAGAGGAAGCGG >mm10_chr17:47439571-47439582(-)::chr17:47439570-47439582(-) ACAAGGAAATGC >mm10_chr17:47439601-47439612(+)::chr17:47439600-47439612(+) CAAAGGAAGTGG >mm10_chr17:47439612-47439623(+)::chr17:47439611-47439623(+) GGCAGGAAGAGG >mm10_chr17:47446768-47446779(-)::chr17:47446767-47446779(-) GGAAGGAAGGGA >mm10_chr17:47446772-47446783(-)::chr17:47446771-47446783(-) ATCAGGAAGGAA >mm10_chr17:47454839-47454850(-)::chr17:47454838-47454850(-) ACCAGGAAGCTG >mm10_chr17:47455464-47455475(+)::chr17:47455463-47455475(+) CCACGGAAGTAA >mm10_chr17:47505047-47505058(-)::chr17:47505046-47505058(-) AGCAGGAAGTGG >mm10_chr17:47508158-47508169(+)::chr17:47508157-47508169(+) AAGAGGAAGTTT >mm10_chr17:47521711-47521722(+)::chr17:47521710-47521722(+) AGCAGGAAGCTG >mm10_chr17:47522630-47522641(+)::chr17:47522629-47522641(+) ATCAGGAAGTGT >mm10_chr17:47523159-47523170(-)::chr17:47523158-47523170(-) CGAAGGAAGAAG >mm10_chr17:47523198-47523209(+)::chr17:47523197-47523209(+) acaaggaaatga >mm10_chr17:47523651-47523662(-)::chr17:47523650-47523662(-) AAGAGGAAGAGA >mm10_chr17:47523698-47523709(-)::chr17:47523697-47523709(-) TCCAGGAAGAGA >mm10_chr17:47567524-47567535(-)::chr17:47567523-47567535(-) GGAAGGAAGCCT >mm10_chr17:47567528-47567539(-)::chr17:47567527-47567539(-) GGAAGGAAGGAA >mm10_chr17:47567532-47567543(-)::chr17:47567531-47567543(-) GACAGGAAGGAA >mm10_chr17:47592183-47592194(-)::chr17:47592182-47592194(-) ggaaggaaggaa >mm10_chr17:47592187-47592198(-)::chr17:47592186-47592198(-) ggaaggaaggaa >mm10_chr17:47592191-47592202(-)::chr17:47592190-47592202(-) ggaaggaaggaa >mm10_chr17:47592195-47592206(-)::chr17:47592194-47592206(-) ggaaggaaggaa >mm10_chr17:47592199-47592210(-)::chr17:47592198-47592210(-) ggaaggaaggaa >mm10_chr17:47592203-47592214(-)::chr17:47592202-47592214(-) ggaaggaaggaa >mm10_chr17:47592207-47592218(-)::chr17:47592206-47592218(-) ggaaggaaggaa >mm10_chr17:47592211-47592222(-)::chr17:47592210-47592222(-) ggaaggaaggaa >mm10_chr17:47592215-47592226(-)::chr17:47592214-47592226(-) ACaaggaaggaa >mm10_chr17:47628279-47628290(-)::chr17:47628278-47628290(-) AGAAGGAAAATC >mm10_chr17:47628307-47628318(-)::chr17:47628306-47628318(-) GTGAGGAAGTTG >mm10_chr17:47629130-47629141(+)::chr17:47629129-47629141(+) ACCCGGAAGTGG >mm10_chr17:47654292-47654303(+)::chr17:47654291-47654303(+) AAAAGGAAATGG >mm10_chr17:47654304-47654315(+)::chr17:47654303-47654315(+) AGCAGGAAATGG >mm10_chr17:47659120-47659131(-)::chr17:47659119-47659131(-) TAAAGGAAGCCT >mm10_chr17:47659129-47659140(-)::chr17:47659128-47659140(-) TGCAGGAAATAA >mm10_chr17:47659140-47659151(-)::chr17:47659139-47659151(-) CTAAGGAAGAGT >mm10_chr17:47662548-47662559(+)::chr17:47662547-47662559(+) TACAGGAAGAGT >mm10_chr17:47662590-47662601(-)::chr17:47662589-47662601(-) AGAAGGAACTGT >mm10_chr17:47687843-47687854(+)::chr17:47687842-47687854(+) GTAAGGAAATAC >mm10_chr17:47688100-47688111(-)::chr17:47688099-47688111(-) CGGCGGAAGTGC >mm10_chr17:47700626-47700637(-)::chr17:47700625-47700637(-) ggaaggaaggag >mm10_chr17:47700637-47700648(-)::chr17:47700636-47700648(-) aagaggaagagg >mm10_chr17:47700643-47700654(-)::chr17:47700642-47700654(-) aggaggaagagg >mm10_chr17:47700655-47700666(-)::chr17:47700654-47700666(-) aagaggaagagg >mm10_chr17:47754393-47754404(-)::chr17:47754392-47754404(-) GTGAGGAAGTTG >mm10_chr17:47782342-47782353(-)::chr17:47782341-47782353(-) aacaggaagagg >mm10_chr17:47782363-47782374(-)::chr17:47782362-47782374(-) agaaggaagaag >mm10_chr17:47782378-47782389(-)::chr17:47782377-47782389(-) agaaggaagagg >mm10_chr17:47782393-47782404(-)::chr17:47782392-47782404(-) aggaggaagagg >mm10_chr17:47793394-47793405(-)::chr17:47793393-47793405(-) TGACGGAAATAT >mm10_chr17:47806040-47806051(-)::chr17:47806039-47806051(-) aggaggaagagg >mm10_chr17:47806063-47806074(-)::chr17:47806062-47806074(-) aggaggaagagg >mm10_chr17:47806111-47806122(-)::chr17:47806110-47806122(-) aggaggaagagg >mm10_chr17:47825238-47825249(+)::chr17:47825237-47825249(+) AGAAGGAAGAGG >mm10_chr17:47830381-47830392(-)::chr17:47830380-47830392(-) ACGAGGAACTCT >mm10_chr17:47830408-47830419(-)::chr17:47830407-47830419(-) ACCAGGAAGGAT >mm10_chr17:47834557-47834568(-)::chr17:47834556-47834568(-) ACCAGGAAGGAG >mm10_chr17:47842506-47842517(-)::chr17:47842505-47842517(-) AGGAGGAAGTCG >mm10_chr17:47842520-47842531(+)::chr17:47842519-47842531(+) GCCAGGAAATAG >mm10_chr17:47842881-47842892(+)::chr17:47842880-47842892(+) ACTAGGAAGTTG >mm10_chr17:47873723-47873734(-)::chr17:47873722-47873734(-) TTCAGGAAGTCA >mm10_chr17:47873754-47873765(-)::chr17:47873753-47873765(-) AGGAGGAAGTGG >mm10_chr17:47904782-47904793(-)::chr17:47904781-47904793(-) AGGAGGAAATGG >mm10_chr17:47905601-47905612(+)::chr17:47905600-47905612(+) GAGaggaaggaa >mm10_chr17:47905605-47905616(+)::chr17:47905604-47905616(+) ggaaggaaggaa >mm10_chr17:47905609-47905620(+)::chr17:47905608-47905620(+) ggaaggaagaag >mm10_chr17:47905624-47905635(+)::chr17:47905623-47905635(+) ggcaggaaggaa >mm10_chr17:47905628-47905639(+)::chr17:47905627-47905639(+) ggaaggaaggtg >mm10_chr17:47909442-47909453(+)::chr17:47909441-47909453(+) TGCCGGAAGTGA >mm10_chr17:47976320-47976331(-)::chr17:47976319-47976331(-) GCAAGGAAGTGG >mm10_chr17:48037380-48037391(+)::chr17:48037379-48037391(+) AGGAGGAAGAAG >mm10_chr17:48037387-48037398(+)::chr17:48037386-48037398(+) AGAAGGAAGGCG >mm10_chr17:48039681-48039692(+)::chr17:48039680-48039692(+) GACAGGAAGTGG >mm10_chr17:48385993-48386004(+)::chr17:48385992-48386004(+) tggaggaagttt >mm10_chr17:48391806-48391817(+)::chr17:48391805-48391817(+) ACAAGGAAACAC >mm10_chr17:48391840-48391851(-)::chr17:48391839-48391851(-) AGCAGGAAGCAG >mm10_chr17:48408980-48408991(+)::chr17:48408979-48408991(+) AAGAGGAAGAGT >mm10_chr17:48409881-48409892(-)::chr17:48409880-48409892(-) TCCAGGAAGTGG >mm10_chr17:48410196-48410207(-)::chr17:48410195-48410207(-) GGGCGGAAGTGA >mm10_chr17:48431376-48431387(-)::chr17:48431375-48431387(-) AGGGGGAAGTGT >mm10_chr17:48431417-48431428(+)::chr17:48431416-48431428(+) agcaggaaggga >mm10_chr17:48433870-48433881(+)::chr17:48433869-48433881(+) tgcaggaagcta >mm10_chr17:48454453-48454464(+)::chr17:48454452-48454464(+) accaggaagttt >mm10_chr17:48454661-48454672(-)::chr17:48454660-48454672(-) AGAAGGAAGGCA >mm10_chr17:48454668-48454679(-)::chr17:48454667-48454679(-) GGGAGGAAGAAG >mm10_chr17:48557903-48557914(-)::chr17:48557902-48557914(-) tgaaggaagtca >mm10_chr17:48557918-48557929(-)::chr17:48557917-48557929(-) ggaagtaagtca >mm10_chr17:48557922-48557933(-)::chr17:48557921-48557933(-) TGGaggaagtaa >mm10_chr17:48557937-48557948(-)::chr17:48557936-48557948(-) TAGAGGAAGTCG >mm10_chr17:48557952-48557963(-)::chr17:48557951-48557963(-) GGAAGTAAGTCG >mm10_chr17:48557956-48557967(-)::chr17:48557955-48557967(-) AGGAGGAAGTAA >mm10_chr17:48558192-48558203(-)::chr17:48558191-48558203(-) GGAAGTAAGTCA >mm10_chr17:48558196-48558207(-)::chr17:48558195-48558207(-) TGGAGGAAGTAA >mm10_chr17:48558211-48558222(-)::chr17:48558210-48558222(-) AGGAGGAAGTCA >mm10_chr17:48558229-48558240(-)::chr17:48558228-48558240(-) GCCAGGAAGAAG >mm10_chr17:48558256-48558267(-)::chr17:48558255-48558267(-) AAAAGGAAGTCA >mm10_chr17:48562248-48562259(+)::chr17:48562247-48562259(+) AAGAGGAaggag >mm10_chr17:48562273-48562284(+)::chr17:48562272-48562284(+) aagaggaagaag >mm10_chr17:48562285-48562296(+)::chr17:48562284-48562296(+) aggaggaagatg >mm10_chr17:48562297-48562308(+)::chr17:48562296-48562308(+) aaaaggaagaga >mm10_chr17:48562324-48562335(+)::chr17:48562323-48562335(+) aggaggaagagg >mm10_chr17:48715819-48715830(-)::chr17:48715818-48715830(-) accaggacgtga >mm10_chr17:48732001-48732012(-)::chr17:48732000-48732012(-) ACCAGGAACTAA >mm10_chr17:48973502-48973513(+)::chr17:48973501-48973513(+) GGAAGGAAGGAA >mm10_chr17:49033901-49033912(+)::chr17:49033900-49033912(+) AGAAGGAAGAAG >mm10_chr17:49308398-49308409(-)::chr17:49308397-49308409(-) TCAAGGAACTGC >mm10_chr17:49308413-49308424(+)::chr17:49308412-49308424(+) AGAAGGAAGTAG >mm10_chr17:49383632-49383643(-)::chr17:49383631-49383643(-) AAGAGGAAGAGG >mm10_chr17:49404068-49404079(-)::chr17:49404067-49404079(-) GAGAGGAAGGAT >mm10_chr17:49415548-49415559(-)::chr17:49415547-49415559(-) aggaggaactaa >mm10_chr17:49424215-49424226(-)::chr17:49424214-49424226(-) AGACGGAAGCAC >mm10_chr17:49424854-49424865(+)::chr17:49424853-49424865(+) aacaggaagtca >mm10_chr17:49425643-49425654(+)::chr17:49425642-49425654(+) ACAAGGAAGTGT >mm10_chr17:49425971-49425982(+)::chr17:49425970-49425982(+) AGAAGGAAGCAG >mm10_chr17:49425978-49425989(+)::chr17:49425977-49425989(+) AGCAGGAAGGAC >mm10_chr17:49429320-49429331(-)::chr17:49429319-49429331(-) CTGAGGAAGTGA >mm10_chr17:49436193-49436204(+)::chr17:49436192-49436204(+) TTAAGAAAGTAC >mm10_chr17:49436223-49436234(-)::chr17:49436222-49436234(-) ACAAGGAAGAGT >mm10_chr17:49449190-49449201(-)::chr17:49449189-49449201(-) GGAAGGAAGGCA >mm10_chr17:49449194-49449205(-)::chr17:49449193-49449205(-) GTCAGGAAGGAA >mm10_chr17:49449240-49449251(-)::chr17:49449239-49449251(-) GGCAGGAAGTAG >mm10_chr17:49459079-49459090(-)::chr17:49459078-49459090(-) AGGAGGAAGATG >mm10_chr17:49459086-49459097(-)::chr17:49459085-49459097(-) AGGAGGAAGGAG >mm10_chr17:49535685-49535696(+)::chr17:49535684-49535696(+) TGAAGGAAGGGA >mm10_chr17:49535696-49535707(+)::chr17:49535695-49535707(+) AGGAGGAAGAGG >mm10_chr17:49538913-49538924(-)::chr17:49538912-49538924(-) AAAAGTAAGTTG >mm10_chr17:49538963-49538974(-)::chr17:49538962-49538974(-) ATGAGGAAGTCT >mm10_chr17:49663559-49663570(-)::chr17:49663558-49663570(-) AGAAGGAAGAGA >mm10_chr17:49663580-49663591(-)::chr17:49663579-49663591(-) AGAAGGAAGGAG >mm10_chr17:49663597-49663608(-)::chr17:49663596-49663608(-) TCCAGGAAGAGA >mm10_chr17:49816222-49816233(-)::chr17:49816221-49816233(-) TGCAGGAAGGAG >mm10_chr17:49816787-49816798(+)::chr17:49816786-49816798(+) AGGAGGAAGTCT >mm10_chr17:49817065-49817076(-)::chr17:49817064-49817076(-) aagaggaagGAA >mm10_chr17:49817071-49817082(-)::chr17:49817070-49817082(-) aagaggaagagg >mm10_chr17:49817077-49817088(-)::chr17:49817076-49817088(-) aagaggaagagg >mm10_chr17:49817083-49817094(-)::chr17:49817082-49817094(-) aagaggaagagg >mm10_chr17:49817089-49817100(-)::chr17:49817088-49817100(-) aagaggaagagg >mm10_chr17:49817095-49817106(-)::chr17:49817094-49817106(-) aagaggaagagg >mm10_chr17:49817101-49817112(-)::chr17:49817100-49817112(-) aagaggaagagg >mm10_chr17:49831834-49831845(+)::chr17:49831833-49831845(+) AACAGGAAGAAC >mm10_chr17:49831852-49831863(+)::chr17:49831851-49831863(+) ACCAGGAAGTAG >mm10_chr17:49904037-49904048(+)::chr17:49904036-49904048(+) TGGAGGAAATAC >mm10_chr17:49994428-49994439(-)::chr17:49994427-49994439(-) ACAAGGAAATCC >mm10_chr17:50029534-50029545(+)::chr17:50029533-50029545(+) GGAAGTAAGTGA >mm10_chr17:50033876-50033887(-)::chr17:50033875-50033887(-) AGCAGGAAGCTA >mm10_chr17:50043337-50043348(+)::chr17:50043336-50043348(+) ATAAGGAAGTGT >mm10_chr17:50043356-50043367(+)::chr17:50043355-50043367(+) ATAAGGAAGTGT >mm10_chr17:50062970-50062981(-)::chr17:50062969-50062981(-) AACAGGAAGTTT >mm10_chr17:50111360-50111371(+)::chr17:50111359-50111371(+) GAAAGGAAATCT >mm10_chr17:50149869-50149880(+)::chr17:50149868-50149880(+) AGCAGGAAGTGG >mm10_chr17:50149918-50149929(+)::chr17:50149917-50149929(+) GGCAGGAAGGGA >mm10_chr17:50170184-50170195(-)::chr17:50170183-50170195(-) CGGAGGAAATCC >mm10_chr17:50238077-50238088(-)::chr17:50238076-50238088(-) ACAAGGAAGGCG >mm10_chr17:50238104-50238115(+)::chr17:50238103-50238115(+) AGGAGGAAGAGA >mm10_chr17:50428325-50428336(-)::chr17:50428324-50428336(-) TCAAGGAAGTTC >mm10_chr17:50470808-50470819(-)::chr17:50470807-50470819(-) TAAATGAAGTGA >mm10_chr17:50471023-50471034(-)::chr17:50471022-50471034(-) AGCCGGAAGTTT >mm10_chr17:50471381-50471392(+)::chr17:50471380-50471392(+) AACCGGAAGTAG >mm10_chr17:50471431-50471442(+)::chr17:50471430-50471442(+) ATGCGGAAGTGC >mm10_chr17:50507118-50507129(-)::chr17:50507117-50507129(-) GACAGGAAGAGG >mm10_chr17:50528673-50528684(-)::chr17:50528672-50528684(-) agcaggaagagc >mm10_chr17:50528687-50528698(-)::chr17:50528686-50528698(-) accaggaagcag >mm10_chr17:50543019-50543030(-)::chr17:50543018-50543030(-) GCCAGGAAGAAG >mm10_chr17:50555330-50555341(-)::chr17:50555329-50555341(-) aacaggaagtaa >mm10_chr17:50623545-50623556(-)::chr17:50623544-50623556(-) ATAAGGAACAAC >mm10_chr17:50628870-50628881(-)::chr17:50628869-50628881(-) AGAAGGAAGCTG >mm10_chr17:50628885-50628896(-)::chr17:50628884-50628896(-) GGCAGGAAGGTG >mm10_chr17:50682879-50682890(+)::chr17:50682878-50682890(+) TCCAGGAAGTGG >mm10_chr17:50692829-50692840(-)::chr17:50692828-50692840(-) gccgggaaggcg >mm10_chr17:50692857-50692868(-)::chr17:50692856-50692868(-) agagggaagaaa >mm10_chr17:50735633-50735644(-)::chr17:50735632-50735644(-) AGAAGGAAAAGT >mm10_chr17:50973839-50973850(+)::chr17:50973838-50973850(+) ACCAGGAAGTAA >mm10_chr17:50982349-50982360(+)::chr17:50982348-50982360(+) GCAAGGATGTTA >mm10_chr17:50993888-50993899(-)::chr17:50993887-50993899(-) AAAAGGCAGTAG >mm10_chr17:50994052-50994063(+)::chr17:50994051-50994063(+) CAGAGGAAGTCA >mm10_chr17:50994109-50994120(-)::chr17:50994108-50994120(-) GTCAGGAAGAGA >mm10_chr17:51168814-51168825(-)::chr17:51168813-51168825(-) taaaggaaatca >mm10_chr17:51178666-51178677(-)::chr17:51178665-51178677(-) GGCCGGAAGTCA >mm10_chr17:51178680-51178691(+)::chr17:51178679-51178691(+) GGAAGGAAACGT >mm10_chr17:51178727-51178738(+)::chr17:51178726-51178738(+) AAGAGGAAGTAG >mm10_chr17:51207682-51207693(+)::chr17:51207681-51207693(+) AGAaggaagagg >mm10_chr17:51207688-51207699(+)::chr17:51207687-51207699(+) aagaggaagagg >mm10_chr17:51207694-51207705(+)::chr17:51207693-51207705(+) aagaggaagagg >mm10_chr17:51207700-51207711(+)::chr17:51207699-51207711(+) aagaggaagaAA >mm10_chr17:51220659-51220670(+)::chr17:51220658-51220670(+) AACAGGAAGAAG >mm10_chr17:51221255-51221266(+)::chr17:51221254-51221266(+) AACAGGAAGCTG >mm10_chr17:51382778-51382789(-)::chr17:51382777-51382789(-) TACAGGAAGTAC >mm10_chr17:51382794-51382805(-)::chr17:51382793-51382805(-) AGCAGGAAGTGT >mm10_chr17:51382807-51382818(-)::chr17:51382806-51382818(-) AGACGGAAGTCA >mm10_chr17:51728272-51728283(-)::chr17:51728271-51728283(-) ataaagaagtca >mm10_chr17:51739756-51739767(-)::chr17:51739755-51739767(-) GGAAGGAAGTTG >mm10_chr17:51739760-51739771(-)::chr17:51739759-51739771(-) AACAGGAAGGAA >mm10_chr17:51768213-51768224(+)::chr17:51768212-51768224(+) TTAAGGAAGTCA >mm10_chr17:51781325-51781336(+)::chr17:51781324-51781336(+) TGGAGGAAGGAA >mm10_chr17:51781329-51781340(+)::chr17:51781328-51781340(+) GGAAGGAAGGAC >mm10_chr17:51788395-51788406(-)::chr17:51788394-51788406(-) TACAGGAAGAGG >mm10_chr17:51788412-51788423(-)::chr17:51788411-51788423(-) AGGAGGAAGTAG >mm10_chr17:51837203-51837214(+)::chr17:51837202-51837214(+) TAAAGGAACTAA >mm10_chr17:51882776-51882787(-)::chr17:51882775-51882787(-) TCAAGGAAGTAT >mm10_chr17:52133887-52133898(+)::chr17:52133886-52133898(+) ATAATGAAGTCA >mm10_chr17:52374187-52374198(-)::chr17:52374186-52374198(-) taaaggaagaaa >mm10_chr17:52374202-52374213(-)::chr17:52374201-52374213(-) gataggaagaga >mm10_chr17:52608379-52608390(+)::chr17:52608378-52608390(+) TGGAGGAAGAGA >mm10_chr17:52653914-52653925(-)::chr17:52653913-52653925(-) tagaggaagatt >mm10_chr17:52677967-52677978(-)::chr17:52677966-52677978(-) CTGAggaagtca >mm10_chr17:52786173-52786184(-)::chr17:52786172-52786184(-) ATAAGGAAAAGC >mm10_chr17:52835259-52835270(+)::chr17:52835258-52835270(+) ACAAGGAAGAAA >mm10_chr17:52835263-52835274(+)::chr17:52835262-52835274(+) GGAAGAAAGTGT >mm10_chr17:52902315-52902326(+)::chr17:52902314-52902326(+) ATGAGGAACTAA >mm10_chr17:52902337-52902348(-)::chr17:52902336-52902348(-) ACCAGGAAATTA >mm10_chr17:52902361-52902372(+)::chr17:52902360-52902372(+) TAAAGGAATTAG >mm10_chr17:52902597-52902608(+)::chr17:52902596-52902608(+) AGAAGGAAAGGG >mm10_chr17:53469352-53469363(+)::chr17:53469351-53469363(+) ATAAGGAAGAAG >mm10_chr17:53469359-53469370(+)::chr17:53469358-53469370(+) AGAAGGAAAGAA >mm10_chr17:53478943-53478954(+)::chr17:53478942-53478954(+) AGGAGGAAGGCA >mm10_chr17:53478957-53478968(+)::chr17:53478956-53478968(+) GCAAGGAAGTGA >mm10_chr17:53479362-53479373(+)::chr17:53479361-53479373(+) acgaggaagaag >mm10_chr17:53479413-53479424(+)::chr17:53479412-53479424(+) GAAAGGAAGGCG >mm10_chr17:53565276-53565287(-)::chr17:53565275-53565287(-) AGAAGGAAGAGA >mm10_chr17:53566960-53566971(+)::chr17:53566959-53566971(+) TGGAGGAAGTTG >mm10_chr17:53584114-53584125(-)::chr17:53584113-53584125(-) AGAAGGAAGTCT >mm10_chr17:53587329-53587340(-)::chr17:53587328-53587340(-) CGACGGAAGAAG >mm10_chr17:53595051-53595062(-)::chr17:53595050-53595062(-) aggaggaagaag >mm10_chr17:53595086-53595097(-)::chr17:53595085-53595097(-) aagaggaagggg >mm10_chr17:53595092-53595103(-)::chr17:53595091-53595103(-) aggaggaagagg >mm10_chr17:53596475-53596486(-)::chr17:53596474-53596486(-) AGGAGGAAGAAA >mm10_chr17:53620826-53620837(+)::chr17:53620825-53620837(+) ACAATGAAGTAC >mm10_chr17:53689540-53689551(-)::chr17:53689539-53689551(-) aaccggaagtca >mm10_chr17:53872286-53872297(+)::chr17:53872285-53872297(+) GTAAGGAAGCTG >mm10_chr17:53964331-53964342(-)::chr17:53964330-53964342(-) AAGAGGAAATAC >mm10_chr17:53995922-53995933(+)::chr17:53995921-53995933(+) ATAAGGAAGAAA >mm10_chr17:54389588-54389599(+)::chr17:54389587-54389599(+) GGGAGGAAGAAC >mm10_chr17:54389700-54389711(-)::chr17:54389699-54389711(-) acaaggaagtct >mm10_chr17:54729432-54729443(+)::chr17:54729431-54729443(+) agaaggaagtgg >mm10_chr17:55956327-55956338(-)::chr17:55956326-55956338(-) TGGCGGAAGTGA >mm10_chr17:55959059-55959070(+)::chr17:55959058-55959070(+) GAACGGAAGCGC >mm10_chr17:55959325-55959336(-)::chr17:55959324-55959336(-) GGAAGGAAGCAC >mm10_chr17:55959339-55959350(-)::chr17:55959338-55959350(-) AAAAGGAAATGA >mm10_chr17:56004989-56005000(+)::chr17:56004988-56005000(+) AGGAGGAAGTAA >mm10_chr17:56023542-56023553(-)::chr17:56023541-56023553(-) CAGAGGAAGTTC >mm10_chr17:56024040-56024051(-)::chr17:56024039-56024051(-) TAAAGGAAGCAG >mm10_chr17:56024331-56024342(+)::chr17:56024330-56024342(+) ACAAGGAAGAGT >mm10_chr17:56075080-56075091(-)::chr17:56075079-56075091(-) GACAGGAAGATG >mm10_chr17:56121967-56121978(+)::chr17:56121966-56121978(+) AGCAGGAAGAGC >mm10_chr17:56122004-56122015(-)::chr17:56122003-56122015(-) GCCAGGAAGGGA >mm10_chr17:56133927-56133938(-)::chr17:56133926-56133938(-) AGAAGGAAGTAG >mm10_chr17:56163739-56163750(-)::chr17:56163738-56163750(-) AACAGGAAGTTT >mm10_chr17:56164830-56164841(+)::chr17:56164829-56164841(+) GGAAGGAACCgg >mm10_chr17:56170036-56170047(+)::chr17:56170035-56170047(+) AACAGGAAGTAG >mm10_chr17:56218902-56218913(+)::chr17:56218901-56218913(+) ACCCGGAAGTTG >mm10_chr17:56256079-56256090(-)::chr17:56256078-56256090(-) GGCAGGAAATGA >mm10_chr17:56256105-56256116(+)::chr17:56256104-56256116(+) AACCGGAAGTAC >mm10_chr17:56276943-56276954(+)::chr17:56276942-56276954(+) GAAAGGAAGAAG >mm10_chr17:56291313-56291324(-)::chr17:56291312-56291324(-) AGCAGGAAGATA >mm10_chr17:56361184-56361195(-)::chr17:56361183-56361195(-) TAAAAGAAGTAG >mm10_chr17:56361204-56361215(-)::chr17:56361203-56361215(-) aggaggaagaag >mm10_chr17:56361222-56361233(-)::chr17:56361221-56361233(-) aggaggaagagg >mm10_chr17:56361243-56361254(-)::chr17:56361242-56361254(-) gggaggaagagg >mm10_chr17:56361253-56361264(-)::chr17:56361252-56361264(-) aacaggaagagg >mm10_chr17:56584555-56584566(-)::chr17:56584554-56584566(-) GGGAGGAAGCGA >mm10_chr17:56599857-56599868(+)::chr17:56599856-56599868(+) TAAAGTAAGTTA >mm10_chr17:56599896-56599907(-)::chr17:56599895-56599907(-) gggaggaagagc >mm10_chr17:56611478-56611489(+)::chr17:56611477-56611489(+) ACACGGAAGGCG >mm10_chr17:56611525-56611536(+)::chr17:56611524-56611536(+) GGTAGGAAGTGA >mm10_chr17:56647695-56647706(-)::chr17:56647694-56647706(-) AACAGGAAATGG >mm10_chr17:56673377-56673388(+)::chr17:56673376-56673388(+) TTAAGGAAGTAA >mm10_chr17:56734815-56734826(-)::chr17:56734814-56734826(-) AGAAGGAAGCGT >mm10_chr17:56734822-56734833(-)::chr17:56734821-56734833(-) AAGAGGAAGAAG >mm10_chr17:56734893-56734904(-)::chr17:56734892-56734904(-) AGCAGGAAGCAG >mm10_chr17:56748028-56748039(+)::chr17:56748027-56748039(+) acaaggaagtct >mm10_chr17:56755848-56755859(+)::chr17:56755847-56755859(+) tccaggaagtct >mm10_chr17:56848979-56848990(-)::chr17:56848978-56848990(-) AAGAGGAAGAGA >mm10_chr17:56877231-56877242(-)::chr17:56877230-56877242(-) CGGAGGAAGAAC >mm10_chr17:56899050-56899061(+)::chr17:56899049-56899061(+) GAGAGGAAGTGC >mm10_chr17:56907360-56907371(+)::chr17:56907359-56907371(+) AGAAGGAAGGCT >mm10_chr17:56969324-56969335(+)::chr17:56969323-56969335(+) aataggaaatgg >mm10_chr17:57037681-57037692(+)::chr17:57037680-57037692(+) agaaggaagaag >mm10_chr17:57037699-57037710(+)::chr17:57037698-57037710(+) agaaggaagggg >mm10_chr17:57072302-57072313(-)::chr17:57072301-57072313(-) ATGAGGAAGGGC >mm10_chr17:57098318-57098329(+)::chr17:57098317-57098329(+) AAAAGGAaggag >mm10_chr17:57098328-57098339(+)::chr17:57098327-57098339(+) aggaggaagata >mm10_chr17:57184551-57184562(-)::chr17:57184550-57184562(-) TGGAGGAAGGTG >mm10_chr17:57231676-57231687(+)::chr17:57231675-57231687(+) ACCAGGAAGCTA >mm10_chr17:57231725-57231736(+)::chr17:57231724-57231736(+) AGAAGGAAGACA >mm10_chr17:57245059-57245070(+)::chr17:57245058-57245070(+) ACCAGGAAGTTG >mm10_chr17:57248019-57248030(-)::chr17:57248018-57248030(-) GAGAGGAAGTGC >mm10_chr17:57248038-57248049(-)::chr17:57248037-57248049(-) TCGGGGAAGTGA >mm10_chr17:57274002-57274013(+)::chr17:57274001-57274013(+) AGGAGGAAGCTG >mm10_chr17:57274059-57274070(-)::chr17:57274058-57274070(-) AACAGGAAGTGT >mm10_chr17:57341962-57341973(+)::chr17:57341961-57341973(+) TCCAGGAAGGAA >mm10_chr17:57342007-57342018(-)::chr17:57342006-57342018(-) gagaggaaGGGG >mm10_chr17:57480947-57480958(+)::chr17:57480946-57480958(+) ACCAGGAAGACT >mm10_chr17:60188930-60188941(+)::chr17:60188929-60188941(+) aggaggaagcta >mm10_chr17:60987326-60987337(-)::chr17:60987325-60987337(-) AGAAGGAAGCAG >mm10_chr17:60987333-60987344(-)::chr17:60987332-60987344(-) GAAAGGAAGAAG >mm10_chr17:61100097-61100108(-)::chr17:61100096-61100108(-) AGAAGGAAGCCA >mm10_chr17:61221660-61221671(+)::chr17:61221659-61221671(+) ggaaggaaggtg >mm10_chr17:61286943-61286954(-)::chr17:61286942-61286954(-) ACAAGGAAAAGA >mm10_chr17:61286955-61286966(-)::chr17:61286954-61286966(-) ATGAGGAAGTGC >mm10_chr17:61292075-61292086(-)::chr17:61292074-61292086(-) GAGAGGAAGTTG >mm10_chr17:61292089-61292100(-)::chr17:61292088-61292100(-) AGAAGGAAGGGA >mm10_chr17:61292096-61292107(-)::chr17:61292095-61292107(-) AGAAGGAAGAAG >mm10_chr17:61302109-61302120(-)::chr17:61302108-61302120(-) ACCAGGAAGAAA >mm10_chr17:61302722-61302733(+)::chr17:61302721-61302733(+) AGCAGGAAGTTG >mm10_chr17:61303430-61303441(-)::chr17:61303429-61303441(-) GAGAGGAAGAGA >mm10_chr17:61303453-61303464(+)::chr17:61303452-61303464(+) ACAAGGAAGTGC >mm10_chr17:61535063-61535074(-)::chr17:61535062-61535074(-) CAGAGGAAGTCA >mm10_chr17:61545209-61545220(-)::chr17:61545208-61545220(-) AACAGGAAGTGT >mm10_chr17:61665701-61665712(+)::chr17:61665700-61665712(+) gacaggaagtga >mm10_chr17:61666150-61666161(-)::chr17:61666149-61666161(-) gcagggaagttc >mm10_chr17:61691753-61691764(-)::chr17:61691752-61691764(-) GCGAGGAAGCAT >mm10_chr17:61691774-61691785(+)::chr17:61691773-61691785(+) GCAGGGAAGTTT >mm10_chr17:61764330-61764341(-)::chr17:61764329-61764341(-) AGGAGGAAGCTG >mm10_chr17:61822667-61822678(+)::chr17:61822666-61822678(+) ACCAGGAAATCC >mm10_chr17:61842399-61842410(-)::chr17:61842398-61842410(-) ATCAGGAAGCAG >mm10_chr17:62412797-62412808(+)::chr17:62412796-62412808(+) AAAAGGAAGTTT >mm10_chr17:62415267-62415278(+)::chr17:62415266-62415278(+) GAGAGGAAGTGG >mm10_chr17:62417521-62417532(+)::chr17:62417520-62417532(+) GGAATGAAGTTG >mm10_chr17:62417540-62417551(-)::chr17:62417539-62417551(-) CTAGGGAAGTGT >mm10_chr17:62417549-62417560(+)::chr17:62417548-62417560(+) TAGAGGAAGCAC >mm10_chr17:62428410-62428421(+)::chr17:62428409-62428421(+) AGAAGGAGGTGG >mm10_chr17:62510376-62510387(-)::chr17:62510375-62510387(-) CATAGGAAGTTA >mm10_chr17:62510455-62510466(-)::chr17:62510454-62510466(-) GAAAGGAAGTTG >mm10_chr17:62557469-62557480(+)::chr17:62557468-62557480(+) AGAAGGAAGCAA >mm10_chr17:62557498-62557509(+)::chr17:62557497-62557509(+) CAAAGGAAGCTG >mm10_chr17:62810338-62810349(-)::chr17:62810337-62810349(-) AGAAGGAAATAA >mm10_chr17:62819283-62819294(+)::chr17:62819282-62819294(+) GGAGGGAAGCGT >mm10_chr17:62819825-62819836(-)::chr17:62819824-62819836(-) GGAAGGAATTGT >mm10_chr17:62819829-62819840(-)::chr17:62819828-62819840(-) GGAAGGAAGGAA >mm10_chr17:62819833-62819844(-)::chr17:62819832-62819844(-) AGGAGGAAGGAA >mm10_chr17:62832699-62832710(-)::chr17:62832698-62832710(-) GGGAGGAAGTCT >mm10_chr17:62832710-62832721(-)::chr17:62832709-62832721(-) GGAAGGAAGAGG >mm10_chr17:62898327-62898338(+)::chr17:62898326-62898338(+) AGGAGGAAGCTG >mm10_chr17:62947818-62947829(+)::chr17:62947817-62947829(+) aggaggaagaga >mm10_chr17:63062984-63062995(+)::chr17:63062983-63062995(+) AGCAGGAAGGAA >mm10_chr17:63062988-63062999(+)::chr17:63062987-63062999(+) GGAAGGAACTAT >mm10_chr17:63063740-63063751(-)::chr17:63063739-63063751(-) aggagGAAGCAT >mm10_chr17:63063771-63063782(-)::chr17:63063770-63063782(-) aggaggaagaag >mm10_chr17:63063787-63063798(-)::chr17:63063786-63063798(-) agaaggaagaag >mm10_chr17:63193482-63193493(+)::chr17:63193481-63193493(+) CCCAGGAAGTTG >mm10_chr17:63195932-63195943(-)::chr17:63195931-63195943(-) TTCAGGAAGTCA >mm10_chr17:63198827-63198838(-)::chr17:63198826-63198838(-) GGAAGGAAGAGA >mm10_chr17:63198844-63198855(+)::chr17:63198843-63198855(+) GGGAGGAAGTTG >mm10_chr17:63223810-63223821(+)::chr17:63223809-63223821(+) TAGAGGAAGGGG >mm10_chr17:63229253-63229264(+)::chr17:63229252-63229264(+) GAAAGGAACTAA >mm10_chr17:63229264-63229275(+)::chr17:63229263-63229275(+) ATGAGGAAATAT >mm10_chr17:63390921-63390932(-)::chr17:63390920-63390932(-) TTGAGGAAGTCA >mm10_chr17:63430563-63430574(-)::chr17:63430562-63430574(-) cgaagggagtaa >mm10_chr17:63430572-63430583(-)::chr17:63430571-63430583(-) gccaggaagcga >mm10_chr17:63430619-63430630(-)::chr17:63430618-63430630(-) tggaggaagtca >mm10_chr17:63492757-63492768(-)::chr17:63492756-63492768(-) AACAGGAAGGCT >mm10_chr17:63492780-63492791(+)::chr17:63492779-63492791(+) ACCAGGAAGCAC >mm10_chr17:63492930-63492941(-)::chr17:63492929-63492941(-) TACAGGAAATAT >mm10_chr17:63492948-63492959(-)::chr17:63492947-63492959(-) TGGAGGAAATGA >mm10_chr17:63493292-63493303(-)::chr17:63493291-63493303(-) GACAGGAAGAAG >mm10_chr17:63523907-63523918(+)::chr17:63523906-63523918(+) ATGAGGAAGAAA >mm10_chr17:63853324-63853335(+)::chr17:63853323-63853335(+) ccaaggaagctg >mm10_chr17:63853345-63853356(+)::chr17:63853344-63853356(+) accaggaagcac >mm10_chr17:63889634-63889645(+)::chr17:63889633-63889645(+) ATGAGGAAGGAG >mm10_chr17:63931622-63931633(-)::chr17:63931621-63931633(-) ggcaggaaatga >mm10_chr17:63935498-63935509(-)::chr17:63935497-63935509(-) agcaggaagagg >mm10_chr17:63935516-63935527(-)::chr17:63935515-63935527(-) aagaggaagagc >mm10_chr17:63935522-63935533(-)::chr17:63935521-63935533(-) aggaggaagagg >mm10_chr17:63961933-63961944(+)::chr17:63961932-63961944(+) ACACGGAAGTAG >mm10_chr17:63963284-63963295(-)::chr17:63963283-63963295(-) agaaggaagcaa >mm10_chr17:64033965-64033976(+)::chr17:64033964-64033976(+) accaggaactat >mm10_chr17:64034014-64034025(+)::chr17:64034013-64034025(+) gggaggaagggt >mm10_chr17:64047749-64047760(-)::chr17:64047748-64047760(-) ACAAGGAACTAC >mm10_chr17:64047761-64047772(-)::chr17:64047760-64047772(-) TCAAGGAAGAGG >mm10_chr17:64050047-64050058(+)::chr17:64050046-64050058(+) GCCAGGAAGCAC >mm10_chr17:64050114-64050125(+)::chr17:64050113-64050125(+) AGGAGGAAGAGA >mm10_chr17:64051017-64051028(-)::chr17:64051016-64051028(-) acaaggatgtag >mm10_chr17:64063747-64063758(-)::chr17:64063746-64063758(-) AGCAGGAAGAAA >mm10_chr17:64075716-64075727(+)::chr17:64075715-64075727(+) ATCAGGAAGTTT >mm10_chr17:64085910-64085921(+)::chr17:64085909-64085921(+) ACAAGGAAACAC >mm10_chr17:64085941-64085952(-)::chr17:64085940-64085952(-) ACTAGGAAGTAT >mm10_chr17:64085952-64085963(-)::chr17:64085951-64085963(-) TAAAGGAAGAAA >mm10_chr17:64153766-64153777(+)::chr17:64153765-64153777(+) TGGAGGAAGGGG >mm10_chr17:64153907-64153918(-)::chr17:64153906-64153918(-) TGGAGGAAGGAA >mm10_chr17:64153919-64153930(-)::chr17:64153918-64153930(-) GCAAGGATGTGT >mm10_chr17:64309514-64309525(-)::chr17:64309513-64309525(-) GTGAGGAAGTCA >mm10_chr17:64397672-64397683(-)::chr17:64397671-64397683(-) AACAGGAAGAAT >mm10_chr17:64397733-64397744(-)::chr17:64397732-64397744(-) GTAAGGAAAGCG >mm10_chr17:64471728-64471739(-)::chr17:64471727-64471739(-) aacaggaagtgg >mm10_chr17:64520390-64520401(+)::chr17:64520389-64520401(+) accaggaaggAG >mm10_chr17:64559200-64559211(-)::chr17:64559199-64559211(-) aagaggaagaag >mm10_chr17:64579935-64579946(-)::chr17:64579934-64579946(-) GGCAGGAAGTGG >mm10_chr17:64600070-64600081(-)::chr17:64600069-64600081(-) taaaggaacttg >mm10_chr17:64600079-64600090(-)::chr17:64600078-64600090(-) tacaggaaataa >mm10_chr17:64600591-64600602(-)::chr17:64600590-64600602(-) AGTAGGAAGACC >mm10_chr17:64609115-64609126(-)::chr17:64609114-64609126(-) ATGAGGAAGGGA >mm10_chr17:64609177-64609188(-)::chr17:64609176-64609188(-) ACAAGGAAGCAT >mm10_chr17:64609476-64609487(-)::chr17:64609475-64609487(-) GACAGGAAGTCC >mm10_chr17:64682079-64682090(+)::chr17:64682078-64682090(+) ACACGGAAGCTA >mm10_chr17:64685151-64685162(+)::chr17:64685150-64685162(+) TGTAGGAAGTAA >mm10_chr17:64716687-64716698(-)::chr17:64716686-64716698(-) GGAAGGAAATAC >mm10_chr17:64716691-64716702(-)::chr17:64716690-64716702(-) TCCAGGAAGGAA >mm10_chr17:64721274-64721285(-)::chr17:64721273-64721285(-) ATAAGGAAGTGA >mm10_chr17:64721292-64721303(-)::chr17:64721291-64721303(-) AAGAGGAAGAAA >mm10_chr17:64735697-64735708(-)::chr17:64735696-64735708(-) GACAGGAAGGAG >mm10_chr17:64754239-64754250(-)::chr17:64754238-64754250(-) ATGAGGAAGGGA >mm10_chr17:64811935-64811946(+)::chr17:64811934-64811946(+) gtaaggaggTGA >mm10_chr17:64811952-64811963(+)::chr17:64811951-64811963(+) TGCAGGAAGCTA >mm10_chr17:64856863-64856874(+)::chr17:64856862-64856874(+) ATCAGGAAGTTT >mm10_chr17:64873628-64873639(+)::chr17:64873627-64873639(+) AGAATGAAGTGT >mm10_chr17:64873671-64873682(+)::chr17:64873670-64873682(+) AACAGGAAGGAG >mm10_chr17:64893386-64893397(-)::chr17:64893385-64893397(-) AGCAGGAAGAGG >mm10_chr17:65057090-65057101(+)::chr17:65057089-65057101(+) ggacggaagtag >mm10_chr17:65081292-65081303(-)::chr17:65081291-65081303(-) AGGAGGAAATGG >mm10_chr17:65145196-65145207(-)::chr17:65145195-65145207(-) ctaaggaaataa >mm10_chr17:65145205-65145216(+)::chr17:65145204-65145216(+) tagaggaagtga >mm10_chr17:65146928-65146939(+)::chr17:65146927-65146939(+) AGCAGGAAGAGG >mm10_chr17:65224987-65224998(-)::chr17:65224986-65224998(-) TTCAGGAAGTGT >mm10_chr17:65529685-65529696(-)::chr17:65529684-65529696(-) acacggaaggag >mm10_chr17:65540993-65541004(-)::chr17:65540992-65541004(-) ACAAGGAAGTCA >mm10_chr17:65607697-65607708(+)::chr17:65607696-65607708(+) ATAAGGAACAAT >mm10_chr17:65607748-65607759(+)::chr17:65607747-65607759(+) TTAAGGAAGTGC >mm10_chr17:65625149-65625160(-)::chr17:65625148-65625160(-) AGGAGGAAGTCG >mm10_chr17:65674772-65674783(+)::chr17:65674771-65674783(+) AGGAGGAAGTCA >mm10_chr17:65674803-65674814(+)::chr17:65674802-65674814(+) AACAGGAAGTGT >mm10_chr17:65698952-65698963(+)::chr17:65698951-65698963(+) TACAGGAAATAT >mm10_chr17:65698994-65699005(-)::chr17:65698993-65699005(-) ATAGGGAAATAT >mm10_chr17:65699010-65699021(+)::chr17:65699009-65699021(+) TAAAGGAAGTAA >mm10_chr17:65708700-65708711(+)::chr17:65708699-65708711(+) caaaggaagttc >mm10_chr17:65712919-65712930(+)::chr17:65712918-65712930(+) ACCAGGAAGCAA >mm10_chr17:65723898-65723909(+)::chr17:65723897-65723909(+) ATAAAGAAGTAC >mm10_chr17:65723908-65723919(+)::chr17:65723907-65723919(+) ACAAGGAAGTAC >mm10_chr17:65760381-65760392(+)::chr17:65760380-65760392(+) gggaggaagggg >mm10_chr17:65774653-65774664(-)::chr17:65774652-65774664(-) CACAGGAAGTAA >mm10_chr17:65811283-65811294(+)::chr17:65811282-65811294(+) AGCAGGAACTGA >mm10_chr17:65881550-65881561(-)::chr17:65881549-65881561(-) TTGAGGAAGTGC >mm10_chr17:65882748-65882759(+)::chr17:65882747-65882759(+) agcaggaagctc >mm10_chr17:65900862-65900873(+)::chr17:65900861-65900873(+) ACCAGGAAGTAA >mm10_chr17:65906524-65906535(-)::chr17:65906523-65906535(-) AGCAGGAAGTGC >mm10_chr17:65916422-65916433(+)::chr17:65916421-65916433(+) CAAAGGAAGGAG >mm10_chr17:65940013-65940024(-)::chr17:65940012-65940024(-) GAAAGGAATTGG >mm10_chr17:65959212-65959223(+)::chr17:65959211-65959223(+) agcaggaagtgc >mm10_chr17:66004349-66004360(-)::chr17:66004348-66004360(-) ATAAGGAAAAAA >mm10_chr17:66077243-66077254(+)::chr17:66077242-66077254(+) GGCCGGAAGTCC >mm10_chr17:66100991-66101002(+)::chr17:66100990-66101002(+) TGAAGGAACTCG >mm10_chr17:66111549-66111560(+)::chr17:66111548-66111560(+) AGCCGGAAGTCG >mm10_chr17:66205469-66205480(+)::chr17:66205468-66205480(+) aacaggaagagg >mm10_chr17:66205495-66205506(+)::chr17:66205494-66205506(+) aagaggaagaaa >mm10_chr17:66205507-66205518(+)::chr17:66205506-66205518(+) aagaggaagagg >mm10_chr17:66207184-66207195(+)::chr17:66207183-66207195(+) GGCAGGAAGAAT >mm10_chr17:66242135-66242146(-)::chr17:66242134-66242146(-) TCAAGGAAGGAG >mm10_chr17:66242155-66242166(+)::chr17:66242154-66242166(+) GTCAGGAAGAGA >mm10_chr17:66320738-66320749(-)::chr17:66320737-66320749(-) ATGAGGAAGCCG >mm10_chr17:66417231-66417242(-)::chr17:66417230-66417242(-) AGCAGGAAGTCT >mm10_chr17:66439437-66439448(+)::chr17:66439436-66439448(+) AAAAGGAGGTGA >mm10_chr17:66439475-66439486(-)::chr17:66439474-66439486(-) TTAAGGAAATGA >mm10_chr17:66519729-66519740(+)::chr17:66519728-66519740(+) GGCAGGAAGCGC >mm10_chr17:66561624-66561635(+)::chr17:66561623-66561635(+) CAAAGGAAGTTA >mm10_chr17:66593010-66593021(-)::chr17:66593009-66593021(-) AGTAGGAAGTGG >mm10_chr17:66593047-66593058(-)::chr17:66593046-66593058(-) AAAAGGAAGGCA >mm10_chr17:66594675-66594686(+)::chr17:66594674-66594686(+) AAGAGGAAGTAA >mm10_chr17:66594694-66594705(+)::chr17:66594693-66594705(+) GCCAGGAAGAAG >mm10_chr17:66610083-66610094(+)::chr17:66610082-66610094(+) ACAGGGAAGTGG >mm10_chr17:66610101-66610112(+)::chr17:66610100-66610112(+) TACAGGAAGTAG >mm10_chr17:66610153-66610164(+)::chr17:66610152-66610164(+) ATAAGGAAGAAA >mm10_chr17:66693308-66693319(+)::chr17:66693307-66693319(+) AGAAGGAAGGGG >mm10_chr17:66693581-66693592(-)::chr17:66693580-66693592(-) AGCAGGAAGGAC >mm10_chr17:66703303-66703314(-)::chr17:66703302-66703314(-) accaggaaggac >mm10_chr17:66809421-66809432(-)::chr17:66809420-66809432(-) TTAAGGAAGCTG >mm10_chr17:66878056-66878067(+)::chr17:66878055-66878067(+) agcaggaagcaa >mm10_chr17:66878070-66878081(+)::chr17:66878069-66878081(+) agaaggaagtag >mm10_chr17:66878077-66878088(+)::chr17:66878076-66878088(+) agtaggaagcag >mm10_chr17:66894206-66894217(+)::chr17:66894205-66894217(+) TGAAGGAAGACT >mm10_chr17:67329529-67329540(+)::chr17:67329528-67329540(+) GGCAGGAAGACT >mm10_chr17:67354886-67354897(+)::chr17:67354885-67354897(+) ACCAGGAAGAAG >mm10_chr17:67561994-67562005(+)::chr17:67561993-67562005(+) AGCAGGAAATCC >mm10_chr17:67562018-67562029(-)::chr17:67562017-67562029(-) GAGAGGAAGAAA >mm10_chr17:67634594-67634605(-)::chr17:67634593-67634605(-) ATGAGGAAGAAA >mm10_chr17:67635390-67635401(+)::chr17:67635389-67635401(+) TCGAGGAAGTAC >mm10_chr17:67705221-67705232(-)::chr17:67705220-67705232(-) agaaggaagtca >mm10_chr17:67705549-67705560(-)::chr17:67705548-67705560(-) AGAAGGAAATAG >mm10_chr17:67715692-67715703(-)::chr17:67715691-67715703(-) TGCAGGAAGGGA >mm10_chr17:67722195-67722206(+)::chr17:67722194-67722206(+) ATTAGGAAGTGC >mm10_chr17:67749667-67749678(+)::chr17:67749666-67749678(+) aaaaggaaatat >mm10_chr17:67749749-67749760(+)::chr17:67749748-67749760(+) agcaggaagtag >mm10_chr17:67883138-67883149(+)::chr17:67883137-67883149(+) AGAAGGAAGCTT >mm10_chr17:67889731-67889742(+)::chr17:67889730-67889742(+) AAGAGGAAGTTT >mm10_chr17:67907840-67907851(-)::chr17:67907839-67907851(-) CTAAGGAAATGG >mm10_chr17:67937901-67937912(+)::chr17:67937900-67937912(+) CCAAGGAAGGAA >mm10_chr17:67937905-67937916(+)::chr17:67937904-67937916(+) GGAAGGAAGAGC >mm10_chr17:67948000-67948011(+)::chr17:67947999-67948011(+) AGAAGGAAATCC >mm10_chr17:67953934-67953945(-)::chr17:67953933-67953945(-) TAGAGGAAGAAG >mm10_chr17:67962145-67962156(-)::chr17:67962144-67962156(-) TCAAGGAAGCCA >mm10_chr17:67963029-67963040(+)::chr17:67963028-67963040(+) TAGAGGAAGTTG >mm10_chr17:67963077-67963088(+)::chr17:67963076-67963088(+) AGAAGGAAGACA >mm10_chr17:67979282-67979293(+)::chr17:67979281-67979293(+) tggaggaagaac >mm10_chr17:68004412-68004423(-)::chr17:68004411-68004423(-) CAAAGGAAGAAA >mm10_chr17:68004736-68004747(-)::chr17:68004735-68004747(-) GGAAGGAAGCTC >mm10_chr17:68049089-68049100(-)::chr17:68049088-68049100(-) AGGAGGAAGAAG >mm10_chr17:68049102-68049113(-)::chr17:68049101-68049113(-) AGGAGGAAGGAG >mm10_chr17:68057384-68057395(+)::chr17:68057383-68057395(+) AGAAGGAAGTAA >mm10_chr17:68063296-68063307(+)::chr17:68063295-68063307(+) ACCAGGAAGCAG >mm10_chr17:68063349-68063360(+)::chr17:68063348-68063360(+) ATAGGGAAGAGG >mm10_chr17:68077851-68077862(+)::chr17:68077850-68077862(+) ACAGGGAAGTTT >mm10_chr17:68103340-68103351(-)::chr17:68103339-68103351(-) aggaggaagaca >mm10_chr17:68130472-68130483(+)::chr17:68130471-68130483(+) CAAAGGAAGCAG >mm10_chr17:68135659-68135670(+)::chr17:68135658-68135670(+) TGAAGGAAATCA >mm10_chr17:68141666-68141677(+)::chr17:68141665-68141677(+) TGGAGGAAGAAG >mm10_chr17:68143506-68143517(+)::chr17:68143505-68143517(+) AACAGGAAGCAA >mm10_chr17:68165583-68165594(+)::chr17:68165582-68165594(+) GATAGGAAGCGC >mm10_chr17:68556369-68556380(-)::chr17:68556368-68556380(-) CAAAGGAAGGAC >mm10_chr17:69232144-69232155(-)::chr17:69232143-69232155(-) CTAAGGAAGTTC >mm10_chr17:69465603-69465614(+)::chr17:69465602-69465614(+) ataaggaacaac >mm10_chr17:69465814-69465825(-)::chr17:69465813-69465825(-) acaaggaaatgg >mm10_chr17:69661551-69661562(-)::chr17:69661550-69661562(-) agaaggaagaac >mm10_chr17:69661561-69661572(-)::chr17:69661560-69661572(-) agaaggaagaag >mm10_chr17:69661568-69661579(-)::chr17:69661567-69661579(-) aggaggaagaag >mm10_chr17:69661629-69661640(-)::chr17:69661628-69661640(-) atgaggaagagg >mm10_chr17:69672434-69672445(-)::chr17:69672433-69672445(-) TCAAGGAACTGC >mm10_chr17:69735458-69735469(-)::chr17:69735457-69735469(-) AATAGGAAGTTC >mm10_chr17:69934676-69934687(+)::chr17:69934675-69934687(+) gaaaggaagagg >mm10_chr17:70134371-70134382(+)::chr17:70134370-70134382(+) TACAGGAAGTTG >mm10_chr17:70225008-70225019(-)::chr17:70225007-70225019(-) agaaggaagctt >mm10_chr17:70266273-70266284(-)::chr17:70266272-70266284(-) GGGAGGAAGCAT >mm10_chr17:70385166-70385177(+)::chr17:70385165-70385177(+) GTAAGGAAGATT >mm10_chr17:70463427-70463438(-)::chr17:70463426-70463438(-) TACAGGAAGGGC >mm10_chr17:70471122-70471133(+)::chr17:70471121-70471133(+) GACAGGAAGTAG >mm10_chr17:70471140-70471151(-)::chr17:70471139-70471151(-) ACCAGGAAGCCA >mm10_chr17:70688104-70688115(+)::chr17:70688103-70688115(+) CCAAGGAAGGAG >mm10_chr17:70692563-70692574(-)::chr17:70692562-70692574(-) ATCAGGAAATGG >mm10_chr17:70692636-70692647(+)::chr17:70692635-70692647(+) GTGAGGAAGACA >mm10_chr17:70729038-70729049(+)::chr17:70729037-70729049(+) AGCAGGAAATCc >mm10_chr17:70751505-70751516(-)::chr17:70751504-70751516(-) TTCAGGAAGTGA >mm10_chr17:70753785-70753796(-)::chr17:70753784-70753796(-) ACCAGGAAGATG >mm10_chr17:70755850-70755861(+)::chr17:70755849-70755861(+) ATTAGGAAGTGT >mm10_chr17:70832684-70832695(-)::chr17:70832683-70832695(-) AAGAGGAAGCAG >mm10_chr17:70843518-70843529(-)::chr17:70843517-70843529(-) GTACGGAAGTGA >mm10_chr17:70888831-70888842(+)::chr17:70888830-70888842(+) TGAAGGAAGGGA >mm10_chr17:70912857-70912868(-)::chr17:70912856-70912868(-) AGCAGGAAGGTT >mm10_chr17:70985968-70985979(+)::chr17:70985967-70985979(+) ggcaggaagagc >mm10_chr17:70986014-70986025(-)::chr17:70986013-70986025(-) tccaggaagtta >mm10_chr17:70989641-70989652(-)::chr17:70989640-70989652(-) ACAAGGAAGTAA >mm10_chr17:70990553-70990564(-)::chr17:70990552-70990564(-) GGCCGGAAGTGC >mm10_chr17:71002204-71002215(-)::chr17:71002203-71002215(-) GGCCGGAAGTGG >mm10_chr17:71002230-71002241(+)::chr17:71002229-71002241(+) GGAAGGAAGCCT >mm10_chr17:71055472-71055483(+)::chr17:71055471-71055483(+) GAAAGGAAGGAG >mm10_chr17:71055479-71055490(+)::chr17:71055478-71055490(+) AGGAGGAAGACT >mm10_chr17:71118111-71118122(+)::chr17:71118110-71118122(+) AGGAGGAAATGC >mm10_chr17:71143671-71143682(+)::chr17:71143670-71143682(+) ATGAGGAAGCTA >mm10_chr17:71143703-71143714(+)::chr17:71143702-71143714(+) AGAAGGAAGTAT >mm10_chr17:71165158-71165169(+)::chr17:71165157-71165169(+) AACAGGAAGAAG >mm10_chr17:71165165-71165176(+)::chr17:71165164-71165176(+) AGAAGGAAGATT >mm10_chr17:71182515-71182526(+)::chr17:71182514-71182526(+) GAGAGGAAGGAA >mm10_chr17:71182519-71182530(+)::chr17:71182518-71182530(+) GGAAGGAAGCGT >mm10_chr17:71230743-71230754(+)::chr17:71230742-71230754(+) atcAGGAAGAAG >mm10_chr17:71230750-71230761(+)::chr17:71230749-71230761(+) AGAAGGAAGTTC >mm10_chr17:71319489-71319500(-)::chr17:71319488-71319500(-) taaaagaagtag >mm10_chr17:71339447-71339458(+)::chr17:71339446-71339458(+) ATAAtgaagtta >mm10_chr17:71360004-71360015(-)::chr17:71360003-71360015(-) AAATGGAAGTGT >mm10_chr17:71392979-71392990(+)::chr17:71392978-71392990(+) GAAAGGAAATCA >mm10_chr17:71475484-71475495(+)::chr17:71475483-71475495(+) AGGAGGAAGAGG >mm10_chr17:71580495-71580506(-)::chr17:71580494-71580506(-) agcaggaagccc >mm10_chr17:71592789-71592800(+)::chr17:71592788-71592800(+) AGAAGGAAGATC >mm10_chr17:71625371-71625382(+)::chr17:71625370-71625382(+) AAAAGGAAATCG >mm10_chr17:71625378-71625389(+)::chr17:71625377-71625389(+) AATCGGAAGTTA >mm10_chr17:71662855-71662866(+)::chr17:71662854-71662866(+) GGCAGGAAGTGG >mm10_chr17:71728441-71728452(+)::chr17:71728440-71728452(+) accaggaagtgc >mm10_chr17:71728479-71728490(-)::chr17:71728478-71728490(-) agaaggaaaagt >mm10_chr17:71787894-71787905(-)::chr17:71787893-71787905(-) ACCAGGAAGATT >mm10_chr17:72035996-72036007(-)::chr17:72035995-72036007(-) AGCAGGAAATTG >mm10_chr17:72319781-72319792(+)::chr17:72319780-72319792(+) GTAAGGATGTAA >mm10_chr17:72608197-72608208(-)::chr17:72608196-72608208(-) TCAAGGAAGTGG >mm10_chr17:72626461-72626472(-)::chr17:72626460-72626472(-) ggcaggaagaac >mm10_chr17:72626500-72626511(+)::chr17:72626499-72626511(+) accaggaagtgt >mm10_chr17:72656398-72656409(-)::chr17:72656397-72656409(-) TCCAGGAAGTTC >mm10_chr17:72659816-72659827(+)::chr17:72659815-72659827(+) TAAAGGAAGTCA >mm10_chr17:72672141-72672152(+)::chr17:72672140-72672152(+) aacaggaaacga >mm10_chr17:72689170-72689181(-)::chr17:72689169-72689181(-) GTAAGGTAGTGA >mm10_chr17:72721652-72721663(-)::chr17:72721651-72721663(-) AACAGGAAGCCA >mm10_chr17:72818262-72818273(-)::chr17:72818261-72818273(-) TCAAGGAAATGT >mm10_chr17:72822235-72822246(+)::chr17:72822234-72822246(+) caaaggaaattg >mm10_chr17:72836195-72836206(+)::chr17:72836194-72836206(+) ATCAGGAAGCTA >mm10_chr17:72838349-72838360(+)::chr17:72838348-72838360(+) AGGAGGAAGTAG >mm10_chr17:72838356-72838367(+)::chr17:72838355-72838367(+) AGTAGGAAGTAT >mm10_chr17:72926254-72926265(-)::chr17:72926253-72926265(-) AGGAGGAAGGGC >mm10_chr17:73096731-73096742(-)::chr17:73096730-73096742(-) tggaggaagtcg >mm10_chr17:73096746-73096757(-)::chr17:73096745-73096757(-) acaaggaagtat >mm10_chr17:73102671-73102682(-)::chr17:73102670-73102682(-) ACAAGGAAGAGC >mm10_chr17:73102722-73102733(+)::chr17:73102721-73102733(+) AGCAGGAAGCAA >mm10_chr17:73121232-73121243(-)::chr17:73121231-73121243(-) gaaaggaaggag >mm10_chr17:73121256-73121267(-)::chr17:73121255-73121267(-) aagaggaagaga >mm10_chr17:73121262-73121273(-)::chr17:73121261-73121273(-) aggaggaagagg >mm10_chr17:73121277-73121288(-)::chr17:73121276-73121288(-) aagaggaagagg >mm10_chr17:73121283-73121294(-)::chr17:73121282-73121294(-) aggaggaagagg >mm10_chr17:73260305-73260316(-)::chr17:73260304-73260316(-) acagggaagtca >mm10_chr17:73323076-73323087(-)::chr17:73323075-73323087(-) TCTAGGAAGTGT >mm10_chr17:73323087-73323098(+)::chr17:73323086-73323098(+) ACAAGGAAATTG >mm10_chr17:73329981-73329992(+)::chr17:73329980-73329992(+) ACCAGGAAGGAG >mm10_chr17:73329988-73329999(+)::chr17:73329987-73329999(+) AGGAGGAAGACG >mm10_chr17:73390379-73390390(+)::chr17:73390378-73390390(+) AACAGGAAGCCA >mm10_chr17:73390669-73390680(+)::chr17:73390668-73390680(+) ACAAGGAAGGGT >mm10_chr17:73433335-73433346(+)::chr17:73433334-73433346(+) AGAGGGAagtgg >mm10_chr17:73447947-73447958(-)::chr17:73447946-73447958(-) AATAGGAAGAAC >mm10_chr17:73632902-73632913(-)::chr17:73632901-73632913(-) AACAGGAAATGG >mm10_chr17:73744151-73744162(+)::chr17:73744150-73744162(+) AACAGGAAGACG >mm10_chr17:73766267-73766278(+)::chr17:73766266-73766278(+) acaaggaagtgt >mm10_chr17:73768335-73768346(-)::chr17:73768334-73768346(-) tcaaggatgtac >mm10_chr17:73771009-73771020(+)::chr17:73771008-73771020(+) ACAAGGAAGCTA >mm10_chr17:73790814-73790825(-)::chr17:73790813-73790825(-) TCGAGGAAGACA >mm10_chr17:73790824-73790835(+)::chr17:73790823-73790835(+) GACAGGAAGTTC >mm10_chr17:73804843-73804854(-)::chr17:73804842-73804854(-) TGCAGGAAGTCC >mm10_chr17:73822909-73822920(+)::chr17:73822908-73822920(+) AAAAGGAAGCTG >mm10_chr17:73843791-73843802(+)::chr17:73843790-73843802(+) ACCAGGAAGTCA >mm10_chr17:73921792-73921803(+)::chr17:73921791-73921803(+) GAACGGAAGAGG >mm10_chr17:73934604-73934615(+)::chr17:73934603-73934615(+) AGCAGGAAATTT >mm10_chr17:73948342-73948353(+)::chr17:73948341-73948353(+) AGAAGGAAATGA >mm10_chr17:73949785-73949796(+)::chr17:73949784-73949796(+) ATAGGGAAGTGC >mm10_chr17:73950372-73950383(+)::chr17:73950371-73950383(+) TTAAGGAAGCCT >mm10_chr17:73970553-73970564(+)::chr17:73970552-73970564(+) ACAAGGAAGTGA >mm10_chr17:74019658-74019669(+)::chr17:74019657-74019669(+) gcaaggaagcaa >mm10_chr17:74089443-74089454(+)::chr17:74089442-74089454(+) TGCAGGAAATGA >mm10_chr17:74090382-74090393(-)::chr17:74090381-74090393(-) TTCAGGAAGTTA >mm10_chr17:74090396-74090407(-)::chr17:74090395-74090407(-) GTCAGGAAGTGA >mm10_chr17:74090417-74090428(-)::chr17:74090416-74090428(-) AGGAGGAAATGA >mm10_chr17:74262572-74262583(+)::chr17:74262571-74262583(+) gaCAGGAAGGAA >mm10_chr17:74262576-74262587(+)::chr17:74262575-74262587(+) GGAAGGAAGGAG >mm10_chr17:74262591-74262602(+)::chr17:74262590-74262602(+) TGGAGGAAGGGG >mm10_chr17:74338768-74338779(+)::chr17:74338767-74338779(+) AGAAGGAAAGGA >mm10_chr17:74341688-74341699(-)::chr17:74341687-74341699(-) acaaggaaggac >mm10_chr17:74342144-74342155(+)::chr17:74342143-74342155(+) GAAAGGAAGTGA >mm10_chr17:74369262-74369273(-)::chr17:74369261-74369273(-) AGAAGGAAGGAT >mm10_chr17:74376608-74376619(-)::chr17:74376607-74376619(-) agcaggaaatga >mm10_chr17:74377076-74377087(+)::chr17:74377075-74377087(+) ACAAGGAAGTCC >mm10_chr17:74388784-74388795(-)::chr17:74388783-74388795(-) AGCAGGAAGAGC >mm10_chr17:74388791-74388802(-)::chr17:74388790-74388802(-) GTAAGGAAGCAG >mm10_chr17:74440681-74440692(-)::chr17:74440680-74440692(-) GCAGGGAAGTGT >mm10_chr17:74440705-74440716(-)::chr17:74440704-74440716(-) AAGAGGAAGTGT >mm10_chr17:74454146-74454157(+)::chr17:74454145-74454157(+) aacaggaagtag >mm10_chr17:74528426-74528437(-)::chr17:74528425-74528437(-) AGCCGGAAGTGA >mm10_chr17:74538029-74538040(-)::chr17:74538028-74538040(-) aggaggaagagg >mm10_chr17:74664885-74664896(-)::chr17:74664884-74664896(-) GGAAGGAAGGGG >mm10_chr17:74664889-74664900(-)::chr17:74664888-74664900(-) AGGAGGAAGGAA >mm10_chr17:74699681-74699692(-)::chr17:74699680-74699692(-) GAAAGGAAATTA >mm10_chr17:74717715-74717726(+)::chr17:74717714-74717726(+) AGAAGGAAGCTG >mm10_chr17:74807230-74807241(+)::chr17:74807229-74807241(+) agcaggaaggag >mm10_chr17:74807240-74807251(+)::chr17:74807239-74807251(+) agcaggaaggag >mm10_chr17:74807256-74807267(+)::chr17:74807255-74807267(+) agcaggaAGGAG >mm10_chr17:74810226-74810237(-)::chr17:74810225-74810237(-) AACAGGAAATAC >mm10_chr17:74904677-74904688(+)::chr17:74904676-74904688(+) AAGAGGAAGATG >mm10_chr17:74904708-74904719(+)::chr17:74904707-74904719(+) agaaggaagaag >mm10_chr17:74904720-74904731(+)::chr17:74904719-74904731(+) aggaggaagaca >mm10_chr17:74960541-74960552(+)::chr17:74960540-74960552(+) TTAGGGAAGTTA >mm10_chr17:74980020-74980031(-)::chr17:74980019-74980031(-) AGAAGGAAGTAT >mm10_chr17:74980091-74980102(-)::chr17:74980090-74980102(-) TATAGGAAGGAC >mm10_chr17:75115419-75115430(+)::chr17:75115418-75115430(+) ACGAGGAAGCTT >mm10_chr17:75119648-75119659(+)::chr17:75119647-75119659(+) AAGAGGAAGTAA >mm10_chr17:75119710-75119721(+)::chr17:75119709-75119721(+) AGGAGGAAGCAC >mm10_chr17:75189560-75189571(-)::chr17:75189559-75189571(-) ATAAGCAAGTGA >mm10_chr17:75189571-75189582(+)::chr17:75189570-75189582(+) TACAGGAAGTTC >mm10_chr17:75196332-75196343(+)::chr17:75196331-75196343(+) TGAAGGAAGCAC >mm10_chr17:75215231-75215242(-)::chr17:75215230-75215242(-) CCAAGGAAGTGA >mm10_chr17:75249256-75249267(-)::chr17:75249255-75249267(-) agaaggaagagg >mm10_chr17:75321143-75321154(-)::chr17:75321142-75321154(-) GTGAGGAAGTGC >mm10_chr17:75349223-75349234(-)::chr17:75349222-75349234(-) TCGGGGAAGTGG >mm10_chr17:75369398-75369409(+)::chr17:75369397-75369409(+) GGAAGGAACTCG >mm10_chr17:75369440-75369451(-)::chr17:75369439-75369451(-) AGCAGGAAATGT >mm10_chr17:75371829-75371840(+)::chr17:75371828-75371840(+) AGAAGGAAGACA >mm10_chr17:75461963-75461974(-)::chr17:75461962-75461974(-) gagaggaagggg >mm10_chr17:75467106-75467117(-)::chr17:75467105-75467117(-) ACAGGGAAGATA >mm10_chr17:75467117-75467128(-)::chr17:75467116-75467128(-) ACAGGGAAGTCA >mm10_chr17:75467137-75467148(-)::chr17:75467136-75467148(-) ACAAGGAAGTCA >mm10_chr17:75514155-75514166(-)::chr17:75514154-75514166(-) TCCAGGAAGGGG >mm10_chr17:75547763-75547774(+)::chr17:75547762-75547774(+) GTGAGGAAGAAA >mm10_chr17:75547767-75547778(+)::chr17:75547766-75547778(+) GGAAGAAAGTAA >mm10_chr17:75715025-75715036(+)::chr17:75715024-75715036(+) TCAAGGAAGCAT >mm10_chr17:75866415-75866426(-)::chr17:75866414-75866426(-) ATGAGGAAGTCA >mm10_chr17:77596642-77596653(+)::chr17:77596641-77596653(+) TAGAGGAAGTCC >mm10_chr17:77602421-77602432(+)::chr17:77602420-77602432(+) ACCAGGAAATCA >mm10_chr17:77648991-77649002(+)::chr17:77648990-77649002(+) AAAAGGAAATCA >mm10_chr17:77709221-77709232(-)::chr17:77709220-77709232(-) GGAAGGAAATGG >mm10_chr17:77885921-77885932(+)::chr17:77885920-77885932(+) AGAAGGAAGCAG >mm10_chr17:77885928-77885939(+)::chr17:77885927-77885939(+) AGCAGGAAGAAA >mm10_chr17:77957708-77957719(-)::chr17:77957707-77957719(-) gccaggaagagt >mm10_chr17:77966278-77966289(-)::chr17:77966277-77966289(-) ataaggaagcag >mm10_chr17:77969363-77969374(+)::chr17:77969362-77969374(+) acagggaagtaa >mm10_chr17:77969406-77969417(+)::chr17:77969405-77969417(+) taaaggaagtag >mm10_chr17:78142584-78142595(-)::chr17:78142583-78142595(-) GGGAGGAAGGGG >mm10_chr17:78201541-78201552(-)::chr17:78201540-78201552(-) TGAAGGAAGAGC >mm10_chr17:78201550-78201561(-)::chr17:78201549-78201561(-) AGAAGGAGGTGA >mm10_chr17:78201557-78201568(-)::chr17:78201556-78201568(-) AGGAGGAAGAAG >mm10_chr17:78203191-78203202(-)::chr17:78203190-78203202(-) aggaggaaggac >mm10_chr17:78203198-78203209(-)::chr17:78203197-78203209(-) ggaaggaaggag >mm10_chr17:78203202-78203213(-)::chr17:78203201-78203213(-) ggaaggaaggaa >mm10_chr17:78203206-78203217(-)::chr17:78203205-78203217(-) ttaaggaaggaa >mm10_chr17:78203214-78203225(-)::chr17:78203213-78203225(-) ggaaggaattaa >mm10_chr17:78203218-78203229(-)::chr17:78203217-78203229(-) tcaaggaaggaa >mm10_chr17:78203235-78203246(-)::chr17:78203234-78203246(-) gagaggaaggga >mm10_chr17:78203243-78203254(-)::chr17:78203242-78203254(-) agaaggaagaga >mm10_chr17:78203250-78203261(-)::chr17:78203249-78203261(-) ggaaggaagaag >mm10_chr17:78203254-78203265(-)::chr17:78203253-78203265(-) Tgaaggaaggaa >mm10_chr17:78225798-78225809(+)::chr17:78225797-78225809(+) AACAGGAAGGAA >mm10_chr17:78225802-78225813(+)::chr17:78225801-78225813(+) GGAAGGAATTGG >mm10_chr17:78225812-78225823(+)::chr17:78225811-78225823(+) GGGAGGAAGAAA >mm10_chr17:78244881-78244892(+)::chr17:78244880-78244892(+) AGCAGGAAGCTC >mm10_chr17:78295614-78295625(+)::chr17:78295613-78295625(+) AGAAGGAAGCCA >mm10_chr17:78295644-78295655(+)::chr17:78295643-78295655(+) TGCAGGAAGAGA >mm10_chr17:78354609-78354620(-)::chr17:78354608-78354620(-) ACCAGGAAATCC >mm10_chr17:78354679-78354690(+)::chr17:78354678-78354690(+) GTAAGGAAGAAG >mm10_chr17:78445005-78445016(-)::chr17:78445004-78445016(-) GACAGGAAGTCT >mm10_chr17:78468860-78468871(+)::chr17:78468859-78468871(+) AACAGGAAATCA >mm10_chr17:78498332-78498343(+)::chr17:78498331-78498343(+) TTAAGGAAGAGA >mm10_chr17:78507238-78507249(+)::chr17:78507237-78507249(+) ACACGGAAATGT >mm10_chr17:78657465-78657476(-)::chr17:78657464-78657476(-) AGAAGGAACTGA >mm10_chr17:78657523-78657534(-)::chr17:78657522-78657534(-) ACAAGGAACGAT >mm10_chr17:78679565-78679576(+)::chr17:78679564-78679576(+) aggaggaagtcc >mm10_chr17:78714994-78715005(+)::chr17:78714993-78715005(+) ATAAGGAAAGAG >mm10_chr17:78715035-78715046(+)::chr17:78715034-78715046(+) GAGAGGAAGGAG >mm10_chr17:78727262-78727273(-)::chr17:78727261-78727273(-) CCAAGGAAGGGC >mm10_chr17:78833170-78833181(-)::chr17:78833169-78833181(-) ACTAGGAAGTCC >mm10_chr17:78881969-78881980(+)::chr17:78881968-78881980(+) CCCAGGAAGTCA >mm10_chr17:78882011-78882022(+)::chr17:78882010-78882022(+) TCCAGGAAGTAG >mm10_chr17:78882331-78882342(-)::chr17:78882330-78882342(-) AGAAGGAAAATG >mm10_chr17:78882756-78882767(+)::chr17:78882755-78882767(+) TGCAGGAAGAAG >mm10_chr17:78903374-78903385(-)::chr17:78903373-78903385(-) GGCAGGAAGTAC >mm10_chr17:78903426-78903437(+)::chr17:78903425-78903437(+) CTAGGGAAGTGA >mm10_chr17:78936943-78936954(-)::chr17:78936942-78936954(-) ATGAGGAAGACG >mm10_chr17:78951382-78951393(+)::chr17:78951381-78951393(+) AGGAGGAAGCAA >mm10_chr17:78994622-78994633(-)::chr17:78994621-78994633(-) CCAGGGAAGTCG >mm10_chr17:78995008-78995019(+)::chr17:78995007-78995019(+) AAAAGGAAGCTA >mm10_chr17:79039898-79039909(-)::chr17:79039897-79039909(-) ataaggaagagt >mm10_chr17:79064075-79064086(+)::chr17:79064074-79064086(+) GTGAGGAAGAAC >mm10_chr17:79101726-79101737(+)::chr17:79101725-79101737(+) CCAGGGAAGTAG >mm10_chr17:79116750-79116761(-)::chr17:79116749-79116761(-) CCGAGGAAATAG >mm10_chr17:79116795-79116806(-)::chr17:79116794-79116806(-) aAGAGGAAATGT >mm10_chr17:79116807-79116818(-)::chr17:79116806-79116818(-) acgacgaagacg >mm10_chr17:79116816-79116827(-)::chr17:79116815-79116827(-) acgacgaagacg >mm10_chr17:79116825-79116836(-)::chr17:79116824-79116836(-) acgacgaagacg >mm10_chr17:79136578-79136589(-)::chr17:79136577-79136589(-) AGGAGGAAGCAG >mm10_chr17:79137149-79137160(-)::chr17:79137148-79137160(-) AGAAGGAAGCCA >mm10_chr17:79175614-79175625(-)::chr17:79175613-79175625(-) acaaggaagtga >mm10_chr17:79179535-79179546(-)::chr17:79179534-79179546(-) acaAGGAAGAGT >mm10_chr17:79231619-79231630(-)::chr17:79231618-79231630(-) TCCAGGAAGTTG >mm10_chr17:79333107-79333118(-)::chr17:79333106-79333118(-) AACAGGAAATTG >mm10_chr17:79342176-79342187(-)::chr17:79342175-79342187(-) ACAAGGAAAGGA >mm10_chr17:79342185-79342196(+)::chr17:79342184-79342196(+) TGTAGGAAGTGA >mm10_chr17:79342923-79342934(-)::chr17:79342922-79342934(-) agagggaagtta >mm10_chr17:79348333-79348344(-)::chr17:79348332-79348344(-) ACCAGGAAGGGG >mm10_chr17:79348368-79348379(-)::chr17:79348367-79348379(-) AAGAGGAAGTCA >mm10_chr17:79349818-79349829(-)::chr17:79349817-79349829(-) ACCAGGAAGATG >mm10_chr17:79349832-79349843(-)::chr17:79349831-79349843(-) AGCAGGAAGAGC >mm10_chr17:79354197-79354208(+)::chr17:79354196-79354208(+) agaaggaagaga >mm10_chr17:79354213-79354224(+)::chr17:79354212-79354224(+) aagaggaagaag >mm10_chr17:79354234-79354245(+)::chr17:79354233-79354245(+) aggaggaagagg >mm10_chr17:79396037-79396048(-)::chr17:79396036-79396048(-) aggaggaagagg >mm10_chr17:79396082-79396093(+)::chr17:79396081-79396093(+) ACCAGGAAGTGA >mm10_chr17:79482063-79482074(+)::chr17:79482062-79482074(+) gagaggaagaga >mm10_chr17:79482100-79482111(+)::chr17:79482099-79482111(+) atcaggaagaaa >mm10_chr17:79482121-79482132(+)::chr17:79482120-79482132(+) acagggaagtca >mm10_chr17:79485474-79485485(-)::chr17:79485473-79485485(-) GCAAGGAAGTCA >mm10_chr17:79536039-79536050(-)::chr17:79536038-79536050(-) gggaggaagagg >mm10_chr17:79544459-79544470(+)::chr17:79544458-79544470(+) AGGAGGAAGCTG >mm10_chr17:79545317-79545328(-)::chr17:79545316-79545328(-) TACAGGAAGAGG >mm10_chr17:79545341-79545352(-)::chr17:79545340-79545352(-) AGGAGGAAGTCT >mm10_chr17:79555740-79555751(+)::chr17:79555739-79555751(+) TGAAGGAAATGA >mm10_chr17:79555791-79555802(+)::chr17:79555790-79555802(+) ACCAGGAAGAGA >mm10_chr17:79565802-79565813(-)::chr17:79565801-79565813(-) AATAGGAAGCAA >mm10_chr17:79587160-79587171(+)::chr17:79587159-79587171(+) aggaggaagagc >mm10_chr17:79587175-79587186(+)::chr17:79587174-79587186(+) tgcaggaagaga >mm10_chr17:79598320-79598331(+)::chr17:79598319-79598331(+) AGGAGGAAGTCC >mm10_chr17:79598335-79598346(+)::chr17:79598334-79598346(+) GACAGGAAGATA >mm10_chr17:79598810-79598821(-)::chr17:79598809-79598821(-) ACAAGGAAATTC >mm10_chr17:79610420-79610431(-)::chr17:79610419-79610431(-) AAAAGGAAGCTG >mm10_chr17:79615460-79615471(-)::chr17:79615459-79615471(-) CGCCGGAAGTGC >mm10_chr17:79615478-79615489(-)::chr17:79615477-79615489(-) ACCCGGAAGTGG >mm10_chr17:79733698-79733709(-)::chr17:79733697-79733709(-) CGGAGGAAATGA >mm10_chr17:79733730-79733741(-)::chr17:79733729-79733741(-) GCAAGGAAGAAG >mm10_chr17:79774450-79774461(-)::chr17:79774449-79774461(-) GGGAGGAAGACC >mm10_chr17:79774486-79774497(+)::chr17:79774485-79774497(+) TGGAGGAAGGTA >mm10_chr17:79811585-79811596(-)::chr17:79811584-79811596(-) atcaggaagccg >mm10_chr17:79825026-79825037(-)::chr17:79825025-79825037(-) GCCAGGAAATTA >mm10_chr17:79855109-79855120(+)::chr17:79855108-79855120(+) AAAAGGAAATCC >mm10_chr17:79855132-79855143(+)::chr17:79855131-79855143(+) AGGAGGAAGGAG >mm10_chr17:79855146-79855157(+)::chr17:79855145-79855157(+) ACGAGGAAGAGG >mm10_chr17:79855152-79855163(+)::chr17:79855151-79855163(+) AAGAGGAAGACA >mm10_chr17:79912922-79912933(-)::chr17:79912921-79912933(-) ATCAGGAAGGAG >mm10_chr17:79912960-79912971(-)::chr17:79912959-79912971(-) ACCAGGAAGTAG >mm10_chr17:79918997-79919008(-)::chr17:79918996-79919008(-) AGAAGGAAATAC >mm10_chr17:79919019-79919030(-)::chr17:79919018-79919030(-) GCGAGGAAGAAG >mm10_chr17:79920936-79920947(-)::chr17:79920935-79920947(-) ggaaggaagggc >mm10_chr17:79923449-79923460(+)::chr17:79923448-79923460(+) AGGAGGAAATTG >mm10_chr17:79948776-79948787(+)::chr17:79948775-79948787(+) AGGAGGAAGTAA >mm10_chr17:79967257-79967268(+)::chr17:79967256-79967268(+) aggaggaaggag >mm10_chr17:79967264-79967275(+)::chr17:79967263-79967275(+) aggaggaaggag >mm10_chr17:79967271-79967282(+)::chr17:79967270-79967282(+) aggaggaagaag >mm10_chr17:79972012-79972023(-)::chr17:79972011-79972023(-) AGCAGGAAGTCC >mm10_chr17:80009018-80009029(+)::chr17:80009017-80009029(+) TTTAGGAAGTTG >mm10_chr17:80062375-80062386(-)::chr17:80062374-80062386(-) AGGCGGAAGTTC >mm10_chr17:80067467-80067478(+)::chr17:80067466-80067478(+) TACAGGAAGTAC >mm10_chr17:80089613-80089624(-)::chr17:80089612-80089624(-) ACAAGGAAGGGG >mm10_chr17:80090840-80090851(-)::chr17:80090839-80090851(-) ATGAGGAAGACT >mm10_chr17:80094454-80094465(-)::chr17:80094453-80094465(-) ACCAGGAACTAA >mm10_chr17:80094513-80094524(-)::chr17:80094512-80094524(-) ACAAGGAAATCG >mm10_chr17:80129770-80129781(+)::chr17:80129769-80129781(+) GCACGGAAGTAA >mm10_chr17:80138998-80139009(+)::chr17:80138997-80139009(+) TGAAGGAAGTTG >mm10_chr17:80175189-80175200(+)::chr17:80175188-80175200(+) ATGAGGAAGCCG >mm10_chr17:80207304-80207315(+)::chr17:80207303-80207315(+) ACAAGGAAGAGG >mm10_chr17:80224424-80224435(+)::chr17:80224423-80224435(+) GGAAGGAAATGA >mm10_chr17:80284631-80284642(+)::chr17:80284630-80284642(+) ACCAGGAAGGAG >mm10_chr17:80284673-80284684(-)::chr17:80284672-80284684(-) CTGAGGAAGTTA >mm10_chr17:80288102-80288113(+)::chr17:80288101-80288113(+) AGAAGGAAATAA >mm10_chr17:80342194-80342205(-)::chr17:80342193-80342205(-) ACAATGAAGTGC >mm10_chr17:80475444-80475455(-)::chr17:80475443-80475455(-) AAGAGGAAATAC >mm10_chr17:80475494-80475505(-)::chr17:80475493-80475505(-) AAGAGGAAGAAT >mm10_chr17:80482952-80482963(-)::chr17:80482951-80482963(-) AGAAGGACGCGT >mm10_chr17:80542320-80542331(+)::chr17:80542319-80542331(+) aggaggaagttc >mm10_chr17:80634934-80634945(-)::chr17:80634933-80634945(-) ACAAGGAAGTGG >mm10_chr17:80687481-80687492(-)::chr17:80687480-80687492(-) ATCAGGAAGCCA >mm10_chr17:80687522-80687533(+)::chr17:80687521-80687533(+) GACAGGAAGTGT >mm10_chr17:80687539-80687550(+)::chr17:80687538-80687550(+) ATAGGGAAGGGA >mm10_chr17:80698481-80698492(-)::chr17:80698480-80698492(-) AGGAGGAAGGTC >mm10_chr17:80698488-80698499(-)::chr17:80698487-80698499(-) GTACGGAAGGAG >mm10_chr17:80716336-80716347(-)::chr17:80716335-80716347(-) AGAAGGATGTgg >mm10_chr17:80716413-80716424(+)::chr17:80716412-80716424(+) TCCAGGAAGTCT >mm10_chr17:80765380-80765391(-)::chr17:80765379-80765391(-) AGCAGGAAGTAA >mm10_chr17:80777730-80777741(+)::chr17:80777729-80777741(+) GGCAGGAAGTAG >mm10_chr17:80801447-80801458(+)::chr17:80801446-80801458(+) GAAAGGAAATGA >mm10_chr17:80801467-80801478(+)::chr17:80801466-80801478(+) GGCAGGAAATGA >mm10_chr17:80808192-80808203(-)::chr17:80808191-80808203(-) CCCAGGAAGTGT >mm10_chr17:80826373-80826384(-)::chr17:80826372-80826384(-) ATGAGGAAGGAC >mm10_chr17:80826764-80826775(-)::chr17:80826763-80826775(-) aggaggaagagg >mm10_chr17:80826780-80826791(-)::chr17:80826779-80826791(-) aagaggaagaag >mm10_chr17:80826795-80826806(-)::chr17:80826794-80826806(-) agaaggaagaag >mm10_chr17:81055708-81055719(+)::chr17:81055707-81055719(+) ACCCGGAAGTGT >mm10_chr17:81063416-81063427(-)::chr17:81063415-81063427(-) aggaggaagagg >mm10_chr17:81063431-81063442(-)::chr17:81063430-81063442(-) agaaggaagaag >mm10_chr17:81063443-81063454(-)::chr17:81063442-81063454(-) aggaggaagagg >mm10_chr17:81559372-81559383(-)::chr17:81559371-81559383(-) agaaggaaggga >mm10_chr17:81844221-81844232(+)::chr17:81844220-81844232(+) ATAAGGAAATAA >mm10_chr17:82219638-82219649(+)::chr17:82219637-82219649(+) TAAAGGAAGGGC >mm10_chr17:83020708-83020719(-)::chr17:83020707-83020719(-) CAAAGGAAGCTG >mm10_chr17:83073517-83073528(+)::chr17:83073516-83073528(+) ACAAGGAAATCC >mm10_chr17:83110032-83110043(-)::chr17:83110031-83110043(-) GGAAGGAATTGC >mm10_chr17:83110036-83110047(-)::chr17:83110035-83110047(-) AGAAGGAAGGAA >mm10_chr17:83125112-83125123(+)::chr17:83125111-83125123(+) ACAAGGAAGGTG >mm10_chr17:83166860-83166871(-)::chr17:83166859-83166871(-) TCAGGGAAGTGT >mm10_chr17:83307718-83307729(+)::chr17:83307717-83307729(+) CTAAGGAAGTCA >mm10_chr17:83307907-83307918(-)::chr17:83307906-83307918(-) GGAAGAAAGTAA >mm10_chr17:83307941-83307952(-)::chr17:83307940-83307952(-) AGAAGGAAATAT >mm10_chr17:83341132-83341143(+)::chr17:83341131-83341143(+) CCCAGGAAGTCT >mm10_chr17:83360082-83360093(-)::chr17:83360081-83360093(-) agcaggaagagc >mm10_chr17:83363238-83363249(-)::chr17:83363237-83363249(-) agcaggaagcag >mm10_chr17:83363255-83363266(+)::chr17:83363254-83363266(+) ttaaggatgtaa >mm10_chr17:83363272-83363283(-)::chr17:83363271-83363283(-) agcaggaagctg >mm10_chr17:83363279-83363290(-)::chr17:83363278-83363290(-) ggaaggaagcag >mm10_chr17:83384048-83384059(-)::chr17:83384047-83384059(-) GCAAGGAAGGGG >mm10_chr17:83397391-83397402(+)::chr17:83397390-83397402(+) AAAAGGAAGAAG >mm10_chr17:83397398-83397409(+)::chr17:83397397-83397409(+) AGAAGGAAGTGT >mm10_chr17:83397440-83397451(-)::chr17:83397439-83397451(-) GGTAGGAAATAA >mm10_chr17:83430745-83430756(-)::chr17:83430744-83430756(-) TTGAGGAAGTGG >mm10_chr17:83442476-83442487(+)::chr17:83442475-83442487(+) AGAAGGAAACAG >mm10_chr17:83442492-83442503(+)::chr17:83442491-83442503(+) AACAGGAAGTTG >mm10_chr17:83519119-83519130(+)::chr17:83519118-83519130(+) ATAAGGAAATAA >mm10_chr17:83527371-83527382(+)::chr17:83527370-83527382(+) AGAAGGATGTGG >mm10_chr17:83527839-83527850(+)::chr17:83527838-83527850(+) agcaggaagcca >mm10_chr17:83582714-83582725(+)::chr17:83582713-83582725(+) GGCAGGAAGCAA >mm10_chr17:83654773-83654784(-)::chr17:83654772-83654784(-) CTAAGGAAATAC >mm10_chr17:83666585-83666596(+)::chr17:83666584-83666596(+) gagaggaagaca >mm10_chr17:83666611-83666622(+)::chr17:83666610-83666622(+) agaaggaaaagC >mm10_chr17:83691041-83691052(+)::chr17:83691040-83691052(+) aagaggaagagg >mm10_chr17:83691059-83691070(+)::chr17:83691058-83691070(+) aggaggaagagg >mm10_chr17:83691071-83691082(+)::chr17:83691070-83691082(+) aggaggaagTTA >mm10_chr17:83727790-83727801(-)::chr17:83727789-83727801(-) GGCAGGAAGAGA >mm10_chr17:83727836-83727847(-)::chr17:83727835-83727847(-) ATGAGGAAGAAG >mm10_chr17:83786221-83786232(+)::chr17:83786220-83786232(+) TTGAGGAAGTAA >mm10_chr17:83786225-83786236(+)::chr17:83786224-83786236(+) GGAAGTAAGTGT >mm10_chr17:83832934-83832945(-)::chr17:83832933-83832945(-) aggaggaagaCT >mm10_chr17:83832946-83832957(-)::chr17:83832945-83832957(-) gcaaggaagagg >mm10_chr17:83832988-83832999(-)::chr17:83832987-83832999(-) aggaggaagaag >mm10_chr17:83903596-83903607(-)::chr17:83903595-83903607(-) TTAAGGAAGAAA >mm10_chr17:83922941-83922952(-)::chr17:83922940-83922952(-) gagaggaagaag >mm10_chr17:83922951-83922962(-)::chr17:83922950-83922962(-) aagaggaagaga >mm10_chr17:83922957-83922968(-)::chr17:83922956-83922968(-) aagaggaagagg >mm10_chr17:83922987-83922998(-)::chr17:83922986-83922998(-) aggaggaagaaa >mm10_chr17:83923000-83923011(-)::chr17:83922999-83923011(-) aaaaggaagagg >mm10_chr17:83939051-83939062(+)::chr17:83939050-83939062(+) GCGAGGAAGCGG >mm10_chr17:83939094-83939105(-)::chr17:83939093-83939105(-) GGAAGGAACGAC >mm10_chr17:83939098-83939109(-)::chr17:83939097-83939109(-) TGAAGGAAGGAA >mm10_chr17:83939522-83939533(+)::chr17:83939521-83939533(+) AACAGGAAATGC >mm10_chr17:83939581-83939592(-)::chr17:83939580-83939592(-) GTAAGGAAGCGG >mm10_chr17:83942937-83942948(+)::chr17:83942936-83942948(+) gagaggaaggaa >mm10_chr17:83942941-83942952(+)::chr17:83942940-83942952(+) ggaaggaagtag >mm10_chr17:83942953-83942964(+)::chr17:83942952-83942964(+) aagaggaagaag >mm10_chr17:83942960-83942971(+)::chr17:83942959-83942971(+) agaaggaagaaa >mm10_chr17:83942994-83943005(+)::chr17:83942993-83943005(+) aagaggaagagg >mm10_chr17:83950675-83950686(-)::chr17:83950674-83950686(-) GGCAGGAAGAAC >mm10_chr17:83973776-83973787(+)::chr17:83973775-83973787(+) agcaggaagaca >mm10_chr17:84035012-84035023(-)::chr17:84035011-84035023(-) ggaaggaagtag >mm10_chr17:84035030-84035041(-)::chr17:84035029-84035041(-) tggaggaagaag >mm10_chr17:84035039-84035050(-)::chr17:84035038-84035050(-) agaaggaagtgg >mm10_chr17:84035054-84035065(-)::chr17:84035053-84035065(-) aagaggaaggag >mm10_chr17:84035078-84035089(-)::chr17:84035077-84035089(-) agaaggaagtag >mm10_chr17:84085913-84085924(-)::chr17:84085912-84085924(-) AAGAGGAAGTGG >mm10_chr17:84094522-84094533(+)::chr17:84094521-84094533(+) AACAGGAAGCTG >mm10_chr17:84100564-84100575(-)::chr17:84100563-84100575(-) AAAAGGAAGGGG >mm10_chr17:84100573-84100584(-)::chr17:84100572-84100584(-) GGGAGGAAGAAA >mm10_chr17:84108139-84108150(+)::chr17:84108138-84108150(+) AAGAGGAAGTTT >mm10_chr17:84108173-84108184(-)::chr17:84108172-84108184(-) ATGAGGAAGTTT >mm10_chr17:84108184-84108195(-)::chr17:84108183-84108195(-) AGGAGGAAGCTA >mm10_chr17:84112254-84112265(+)::chr17:84112253-84112265(+) ACAGGGAAGTGC >mm10_chr17:84126336-84126347(-)::chr17:84126335-84126347(-) ATGAGGAAGCAT >mm10_chr17:84126377-84126388(-)::chr17:84126376-84126388(-) AAGAGGAAGAGG >mm10_chr17:84146077-84146088(+)::chr17:84146076-84146088(+) AAAAGGAAGCTC >mm10_chr17:84156871-84156882(-)::chr17:84156870-84156882(-) agacggaagact >mm10_chr17:84156888-84156899(-)::chr17:84156887-84156899(-) acaaggaagtac >mm10_chr17:84163109-84163120(-)::chr17:84163108-84163120(-) AGCAGGAACTGA >mm10_chr17:84163116-84163127(-)::chr17:84163115-84163127(-) GAAAGGAAGCAG >mm10_chr17:84163412-84163423(-)::chr17:84163411-84163423(-) AGAAGGAAGAAG >mm10_chr17:84163419-84163430(-)::chr17:84163418-84163430(-) TGAAGGAAGAAG >mm10_chr17:84177695-84177706(-)::chr17:84177694-84177706(-) aaCAGGAAGAAA >mm10_chr17:84181585-84181596(-)::chr17:84181584-84181596(-) AGCAGGAAGACA >mm10_chr17:84181603-84181614(-)::chr17:84181602-84181614(-) AAGAGGAAGTTG >mm10_chr17:84183365-84183376(-)::chr17:84183364-84183376(-) AAAAGGAAGAGG >mm10_chr17:84183405-84183416(+)::chr17:84183404-84183416(+) CAGAGGAAGTTG >mm10_chr17:84186280-84186291(+)::chr17:84186279-84186291(+) agcaggaagaag >mm10_chr17:84188737-84188748(+)::chr17:84188736-84188748(+) AGAGGGAAGTCG >mm10_chr17:84188766-84188777(+)::chr17:84188765-84188777(+) TAGAGGAAGTCA >mm10_chr17:84188775-84188786(-)::chr17:84188774-84188786(-) TGAAGGAAGTGA >mm10_chr17:84203540-84203551(-)::chr17:84203539-84203551(-) ACTAGGAAGTAG >mm10_chr17:84204263-84204274(+)::chr17:84204262-84204274(+) agagggaagtaa >mm10_chr17:84204267-84204278(+)::chr17:84204266-84204278(+) ggaagtaagtgg >mm10_chr17:84205124-84205135(+)::chr17:84205123-84205135(+) agtaggaaggca >mm10_chr17:84215762-84215773(+)::chr17:84215761-84215773(+) GCGAGGAAGGGC >mm10_chr17:84225102-84225113(-)::chr17:84225101-84225113(-) GCCAGGAAGTAC >mm10_chr17:84225119-84225130(+)::chr17:84225118-84225130(+) TTAAGGAAGTCC >mm10_chr17:84245043-84245054(+)::chr17:84245042-84245054(+) ACAGGGAAGTCG >mm10_chr17:84293358-84293369(+)::chr17:84293357-84293369(+) TCCAGGAAGAGG >mm10_chr17:84293364-84293375(+)::chr17:84293363-84293375(+) AAGAGGAAGAAA >mm10_chr17:84329711-84329722(-)::chr17:84329710-84329722(-) TACAGGAAGTGC >mm10_chr17:84348520-84348531(+)::chr17:84348519-84348531(+) ACAAGGAAAAAG >mm10_chr17:84348527-84348538(+)::chr17:84348526-84348538(+) AAAAGGAAATAG >mm10_chr17:84372917-84372928(+)::chr17:84372916-84372928(+) GCGAGGAAGCAT >mm10_chr17:84391085-84391096(+)::chr17:84391084-84391096(+) ggcaggaagcac >mm10_chr17:84408770-84408781(+)::chr17:84408769-84408781(+) ATAAGGAAGAAA >mm10_chr17:84410703-84410714(+)::chr17:84410702-84410714(+) AGGAGGAAATCC >mm10_chr17:84414051-84414062(-)::chr17:84414050-84414062(-) TAAAGGAAATGA >mm10_chr17:84423115-84423126(-)::chr17:84423114-84423126(-) TGAAGGAAGTGG >mm10_chr17:84436658-84436669(+)::chr17:84436657-84436669(+) AGTAGGAAGACC >mm10_chr17:84499529-84499540(+)::chr17:84499528-84499540(+) TAAAGGAAGTAA >mm10_chr17:84518383-84518394(-)::chr17:84518382-84518394(-) ggaaggaaattc >mm10_chr17:84518387-84518398(-)::chr17:84518386-84518398(-) aagaggaaggaa >mm10_chr17:84674404-84674415(-)::chr17:84674403-84674415(-) TCGAGGAAGGAG >mm10_chr17:84678246-84678257(+)::chr17:84678245-84678257(+) ggaaggaagaag >mm10_chr17:84678253-84678264(+)::chr17:84678252-84678264(+) agaaggaagaag >mm10_chr17:84678260-84678271(+)::chr17:84678259-84678271(+) agaaggaagagg >mm10_chr17:84683935-84683946(-)::chr17:84683934-84683946(-) agaaggaagagg >mm10_chr17:84683942-84683953(-)::chr17:84683941-84683953(-) ggaaggaagaag >mm10_chr17:84683946-84683957(-)::chr17:84683945-84683957(-) aggaggaaggaa >mm10_chr17:84743271-84743282(-)::chr17:84743270-84743282(-) AGCAGGAAATAA >mm10_chr17:84743315-84743326(-)::chr17:84743314-84743326(-) ATGAGGAAGGCA >mm10_chr17:84790990-84791001(-)::chr17:84790989-84791001(-) GGCAGGAACTAC >mm10_chr17:84936557-84936568(+)::chr17:84936556-84936568(+) ATCAGGAAGTAG >mm10_chr17:84978611-84978622(+)::chr17:84978610-84978622(+) AAGGGGAAGTAG >mm10_chr17:84991814-84991825(-)::chr17:84991813-84991825(-) aggaggaaggga >mm10_chr17:84991834-84991845(-)::chr17:84991833-84991845(-) aagaggaaggga >mm10_chr17:85024254-85024265(+)::chr17:85024253-85024265(+) TGCAGGAAATAG >mm10_chr17:85059825-85059836(+)::chr17:85059824-85059836(+) GAGAGGAAATCG >mm10_chr17:85147407-85147418(-)::chr17:85147406-85147418(-) TTAAGGAAGCAG >mm10_chr17:85147431-85147442(-)::chr17:85147430-85147442(-) AACAGGAAATCA >mm10_chr17:85190211-85190222(+)::chr17:85190210-85190222(+) AGAAGGAAATCC >mm10_chr17:85341270-85341281(-)::chr17:85341269-85341281(-) atgaggaaggtg >mm10_chr17:85395614-85395625(+)::chr17:85395613-85395625(+) AGGAGGAAGGAG >mm10_chr17:85395621-85395632(+)::chr17:85395620-85395632(+) AGGAGGAAGCAG >mm10_chr17:85455336-85455347(-)::chr17:85455335-85455347(-) AGAGGGAAGTGT >mm10_chr17:85464101-85464112(+)::chr17:85464100-85464112(+) AGAAGGAAAGAG >mm10_chr17:85603503-85603514(+)::chr17:85603502-85603514(+) aagaggaaggaC >mm10_chr17:85603514-85603525(+)::chr17:85603513-85603525(+) CTGAGGAAGTGT >mm10_chr17:85664782-85664793(+)::chr17:85664781-85664793(+) GGGAGGAAATAT >mm10_chr17:85664814-85664825(-)::chr17:85664813-85664825(-) AGAAGGAAGAGG >mm10_chr17:85818417-85818428(-)::chr17:85818416-85818428(-) GGAAGGAAGCGG >mm10_chr17:85818435-85818446(-)::chr17:85818434-85818446(-) GAAAGGAAGGCT >mm10_chr17:85853389-85853400(+)::chr17:85853388-85853400(+) AGAAGGAAGGAC >mm10_chr17:85874242-85874253(+)::chr17:85874241-85874253(+) ATGAGGAAGGAA >mm10_chr17:85874246-85874257(+)::chr17:85874245-85874257(+) GGAAGGAAATCA >mm10_chr17:85877021-85877032(-)::chr17:85877020-85877032(-) GGGAGGAAGTGC >mm10_chr17:85877106-85877117(+)::chr17:85877105-85877117(+) TACAGGAAGTCG >mm10_chr17:85878091-85878102(-)::chr17:85878090-85878102(-) TCAAGGAAGAAT >mm10_chr17:85927898-85927909(-)::chr17:85927897-85927909(-) AAAAGGAACTTG >mm10_chr17:86008598-86008609(+)::chr17:86008597-86008609(+) TGAAGGAAGTGA >mm10_chr17:86015341-86015352(-)::chr17:86015340-86015352(-) TGCAGGAAGCTA >mm10_chr17:86015392-86015403(-)::chr17:86015391-86015403(-) AGCAGGAAATGA >mm10_chr17:86034754-86034765(-)::chr17:86034753-86034765(-) ACAAGGACGTCC >mm10_chr17:86038555-86038566(-)::chr17:86038554-86038566(-) ATGAGGAAATGA >mm10_chr17:86042710-86042721(+)::chr17:86042709-86042721(+) TAGAGGAAGAGG >mm10_chr17:86042717-86042728(+)::chr17:86042716-86042728(+) AGAGGGAAGTAA >mm10_chr17:86043292-86043303(-)::chr17:86043291-86043303(-) tgcaggaaatgt >mm10_chr17:86083508-86083519(+)::chr17:86083507-86083519(+) AGAGGGAAGCGT >mm10_chr17:86140264-86140275(+)::chr17:86140263-86140275(+) AGGAGGAAGGGG >mm10_chr17:86140317-86140328(+)::chr17:86140316-86140328(+) aagaggaagaag >mm10_chr17:86140324-86140335(+)::chr17:86140323-86140335(+) agaaggaagaag >mm10_chr17:86140331-86140342(+)::chr17:86140330-86140342(+) agaaggaagaaa >mm10_chr17:86166752-86166763(+)::chr17:86166751-86166763(+) CCGAGGAAATTA >mm10_chr17:86167612-86167623(-)::chr17:86167611-86167623(-) TGCAGGAAATGC >mm10_chr17:86176869-86176880(-)::chr17:86176868-86176880(-) agaaggaactag >mm10_chr17:86204195-86204206(+)::chr17:86204194-86204206(+) AGCAGGAAGTCA >mm10_chr17:86218775-86218786(-)::chr17:86218774-86218786(-) AGAAGGAAGTTG >mm10_chr17:86218782-86218793(-)::chr17:86218781-86218793(-) GGGAGGAAGAAG >mm10_chr17:86234930-86234941(+)::chr17:86234929-86234941(+) AAAGGGAAGTCT >mm10_chr17:86274508-86274519(-)::chr17:86274507-86274519(-) GTAATGAAGTCA >mm10_chr17:86274570-86274581(+)::chr17:86274569-86274581(+) ATCAGGAAGTGC >mm10_chr17:86277678-86277689(-)::chr17:86277677-86277689(-) aagaggaagaaa >mm10_chr17:86283891-86283902(+)::chr17:86283890-86283902(+) GGCAGGAAGTGT >mm10_chr17:86283930-86283941(-)::chr17:86283929-86283941(-) ATAAGGATGTAC >mm10_chr17:86284202-86284213(-)::chr17:86284201-86284213(-) AGAAGGAAGGGC >mm10_chr17:86324967-86324978(+)::chr17:86324966-86324978(+) AAAGGGAAGCGG >mm10_chr17:86447320-86447331(+)::chr17:86447319-86447331(+) AAGAGGAAGTGC >mm10_chr17:86471859-86471870(-)::chr17:86471858-86471870(-) AGCAGGAAGTCG >mm10_chr17:86479357-86479368(+)::chr17:86479356-86479368(+) actaggaagata >mm10_chr17:86494967-86494978(+)::chr17:86494966-86494978(+) TCAAGGAAGAGG >mm10_chr17:86494973-86494984(+)::chr17:86494972-86494984(+) AAGAGGAAGCAA >mm10_chr17:86536043-86536054(+)::chr17:86536042-86536054(+) GGCAGGAAGAGG >mm10_chr17:86559672-86559683(-)::chr17:86559671-86559683(-) ATCAGGAAGTGG >mm10_chr17:86682642-86682653(-)::chr17:86682641-86682653(-) AAAGGGAAGTAA >mm10_chr17:86685458-86685469(-)::chr17:86685457-86685469(-) AAGAGGAAGTCC >mm10_chr17:86705461-86705472(+)::chr17:86705460-86705472(+) GTCAGGAAGTAA >mm10_chr17:86705480-86705491(+)::chr17:86705479-86705491(+) GTAAGGAAGCCA >mm10_chr17:86739050-86739061(+)::chr17:86739049-86739061(+) AGGAGGAAGAAG >mm10_chr17:86744989-86745000(-)::chr17:86744988-86745000(-) TGGAGGAAATGG >mm10_chr17:86745018-86745029(-)::chr17:86745017-86745029(-) GAAAGGAAGTCA >mm10_chr17:86745417-86745428(+)::chr17:86745416-86745428(+) AGGAGGAAGAAG >mm10_chr17:86745424-86745435(+)::chr17:86745423-86745435(+) AGAAGGAAAGAG >mm10_chr17:86753167-86753178(+)::chr17:86753166-86753178(+) ACCCGGAAGCGG >mm10_chr17:86753433-86753444(+)::chr17:86753432-86753444(+) AGGAGGAAGGGC >mm10_chr17:86767839-86767850(-)::chr17:86767838-86767850(-) GGAAGGATGTGT >mm10_chr17:86767843-86767854(-)::chr17:86767842-86767854(-) ACACGGAAGGAT >mm10_chr17:86767893-86767904(-)::chr17:86767892-86767904(-) ACGAGGAAGAGG >mm10_chr17:86770081-86770092(-)::chr17:86770080-86770092(-) CACAGGAAGTCA >mm10_chr17:86770103-86770114(-)::chr17:86770102-86770114(-) GCAAGGAAATAT >mm10_chr17:86788520-86788531(+)::chr17:86788519-86788531(+) GAGAGGAAGGAA >mm10_chr17:86788524-86788535(+)::chr17:86788523-86788535(+) GGAAGGAAGCCT >mm10_chr17:86930168-86930179(+)::chr17:86930167-86930179(+) AGAAGGAAGGTC >mm10_chr17:86930193-86930204(+)::chr17:86930192-86930204(+) ACCAGGAAGCCC >mm10_chr17:86937290-86937301(+)::chr17:86937289-86937301(+) ACAGGGAAGTGG >mm10_chr17:86938053-86938064(-)::chr17:86938052-86938064(-) aggaggaagagg >mm10_chr17:86946350-86946361(+)::chr17:86946349-86946361(+) aggaggaaggaa >mm10_chr17:86946359-86946370(+)::chr17:86946358-86946370(+) gaaaggaagagg >mm10_chr17:86952395-86952406(+)::chr17:86952394-86952406(+) TGCAGGAAGTGA >mm10_chr17:86952815-86952826(+)::chr17:86952814-86952826(+) ATGAGGAAGTCT >mm10_chr17:86977239-86977250(-)::chr17:86977238-86977250(-) aagaggaagtgg >mm10_chr17:86977245-86977256(-)::chr17:86977244-86977256(-) taaaggaagagg >mm10_chr17:87025569-87025580(+)::chr17:87025568-87025580(+) AAGAGGAAGTCG >mm10_chr17:87054956-87054967(+)::chr17:87054955-87054967(+) ACCAGGAAGTCC >mm10_chr17:87055008-87055019(+)::chr17:87055007-87055019(+) ACAAGGAAGGCa >mm10_chr17:87098009-87098020(+)::chr17:87098008-87098020(+) ATGAGGAAGTAC >mm10_chr17:87100074-87100085(-)::chr17:87100073-87100085(-) AGAAGGAAGCAC >mm10_chr17:87106837-87106848(+)::chr17:87106836-87106848(+) GAGAGGAAGCGA >mm10_chr17:87109067-87109078(+)::chr17:87109066-87109078(+) GGAAGGAAGACA >mm10_chr17:87109080-87109091(+)::chr17:87109079-87109091(+) AGCAGGAAGCGG >mm10_chr17:87143249-87143260(+)::chr17:87143248-87143260(+) GACAGGAAGTTG >mm10_chr17:87143294-87143305(+)::chr17:87143293-87143305(+) ATAAGGAACTTC >mm10_chr17:87176807-87176818(-)::chr17:87176806-87176818(-) ggaaggaagtcc >mm10_chr17:87236581-87236592(+)::chr17:87236580-87236592(+) atgaggaagaat >mm10_chr17:87236600-87236611(-)::chr17:87236599-87236611(-) ACCAGGAAATGA >mm10_chr17:87247152-87247163(-)::chr17:87247151-87247163(-) ATGGGGAAGTGG >mm10_chr17:87247180-87247191(+)::chr17:87247179-87247191(+) TGCAGGAAGGTG >mm10_chr17:87257695-87257706(+)::chr17:87257694-87257706(+) AGGAGGAAGTCT >mm10_chr17:87263548-87263559(+)::chr17:87263547-87263559(+) TCCAGGAAGTCA >mm10_chr17:87264269-87264280(-)::chr17:87264268-87264280(-) ACAAGGAAGCAA >mm10_chr17:87264284-87264295(-)::chr17:87264283-87264295(-) GGAAGGAAGAAA >mm10_chr17:87264288-87264299(-)::chr17:87264287-87264299(-) GATAGGAAGGAA >mm10_chr17:87264297-87264308(-)::chr17:87264296-87264308(-) AGAAGGAAAGAT >mm10_chr17:87302655-87302666(-)::chr17:87302654-87302666(-) CCCAGGAAGTGC >mm10_chr17:87304504-87304515(-)::chr17:87304503-87304515(-) ATCAGGAAGCAG >mm10_chr17:87304519-87304530(-)::chr17:87304518-87304530(-) AGGAGGAAGAAA >mm10_chr17:87306989-87307000(+)::chr17:87306988-87307000(+) AGGAGGAAGTGG >mm10_chr17:87317336-87317347(-)::chr17:87317335-87317347(-) GCCAGGAAGCCG >mm10_chr17:87339706-87339717(-)::chr17:87339705-87339717(-) ACCAGGAAATGC >mm10_chr17:87367572-87367583(+)::chr17:87367571-87367583(+) GAGAGGAAGGAA >mm10_chr17:87376391-87376402(+)::chr17:87376390-87376402(+) AGCAGGAAGTCC >mm10_chr17:87390010-87390021(-)::chr17:87390009-87390021(-) AGGAGGAAGATG >mm10_chr17:87391162-87391173(+)::chr17:87391161-87391173(+) ATGAGGAAGGAG >mm10_chr17:87391169-87391180(+)::chr17:87391168-87391180(+) AGGAGGAAGAGG >mm10_chr17:87419904-87419915(-)::chr17:87419903-87419915(-) AGACGGAAGAGA >mm10_chr17:87419911-87419922(-)::chr17:87419910-87419922(-) AGGAGGAAGACG >mm10_chr17:87459226-87459237(-)::chr17:87459225-87459237(-) AAGAGGAAGGTA >mm10_chr17:87474685-87474696(-)::chr17:87474684-87474696(-) ACCAGGAAGTAG >mm10_chr17:87483150-87483161(+)::chr17:87483149-87483161(+) ATAAGGAAGTGC >mm10_chr17:87501733-87501744(-)::chr17:87501732-87501744(-) GGGAGGAAGTGG >mm10_chr17:87530469-87530480(+)::chr17:87530468-87530480(+) AGAAGGAAAGGG >mm10_chr17:87536734-87536745(+)::chr17:87536733-87536745(+) ACCAGGAAGCTA >mm10_chr17:87537149-87537160(-)::chr17:87537148-87537160(-) aggaggaaggag >mm10_chr17:87537156-87537167(-)::chr17:87537155-87537167(-) ggaaggaaggag >mm10_chr17:87537160-87537171(-)::chr17:87537159-87537171(-) ggaaggaaggaa >mm10_chr17:87537164-87537175(-)::chr17:87537163-87537175(-) ggaaggaaggaa >mm10_chr17:87559726-87559737(-)::chr17:87559725-87559737(-) AGCAGGAAGCCA >mm10_chr17:87582338-87582349(+)::chr17:87582337-87582349(+) aagaggaagcag >mm10_chr17:87582362-87582373(-)::chr17:87582361-87582373(-) gaaaggaagaaa >mm10_chr17:87591693-87591704(+)::chr17:87591692-87591704(+) CGAAGGAAACAG >mm10_chr17:87591746-87591757(+)::chr17:87591745-87591757(+) acaaggaaatgg >mm10_chr17:87603270-87603281(-)::chr17:87603269-87603281(-) AGAAGGAAACGG >mm10_chr17:87620201-87620212(-)::chr17:87620200-87620212(-) AGAGGGAAGTGT >mm10_chr17:87629073-87629084(-)::chr17:87629072-87629084(-) aggaggaagggg >mm10_chr17:87636453-87636464(+)::chr17:87636452-87636464(+) TCCAGGAAGTTC >mm10_chr17:87639357-87639368(-)::chr17:87639356-87639368(-) TTAAGGAAATGT >mm10_chr17:87660949-87660960(-)::chr17:87660948-87660960(-) aggaggaaatag >mm10_chr17:87660971-87660982(-)::chr17:87660970-87660982(-) ataaggaagttt >mm10_chr17:87974831-87974842(-)::chr17:87974830-87974842(-) AGACGGAAGTGG >mm10_chr17:88032859-88032870(+)::chr17:88032858-88032870(+) acaaggaAATGT >mm10_chr17:88042619-88042630(-)::chr17:88042618-88042630(-) AGAAGGAAGAGA >mm10_chr17:88058069-88058080(-)::chr17:88058068-88058080(-) GGAAGGAAAATA >mm10_chr17:88058073-88058084(-)::chr17:88058072-88058084(-) AGAAGGAAGGAA >mm10_chr17:88066219-88066230(-)::chr17:88066218-88066230(-) TGGAGGAAGGGG >mm10_chr17:88102722-88102733(-)::chr17:88102721-88102733(-) ACGAGGAAGGGA >mm10_chr17:88200662-88200673(+)::chr17:88200661-88200673(+) tagaggaagcat >mm10_chr17:88200673-88200684(+)::chr17:88200672-88200684(+) tagaggaagcat >mm10_chr17:88240779-88240790(-)::chr17:88240778-88240790(-) ggaaggaagaga >mm10_chr17:88240783-88240794(-)::chr17:88240782-88240794(-) acgaggaaggaa >mm10_chr17:88274017-88274028(-)::chr17:88274016-88274028(-) AGAAGGAAGCAT >mm10_chr17:88281795-88281806(+)::chr17:88281794-88281806(+) acaaggaaatgg >mm10_chr17:88281838-88281849(+)::chr17:88281837-88281849(+) acaaggaagtaa >mm10_chr17:88459212-88459223(-)::chr17:88459211-88459223(-) ACAAGGAATTGT >mm10_chr17:88459251-88459262(+)::chr17:88459250-88459262(+) CTAAGGAAATGC >mm10_chr17:88459798-88459809(+)::chr17:88459797-88459809(+) GGGAGGAAGGAG >mm10_chr17:88479114-88479125(-)::chr17:88479113-88479125(-) GGGAGGAAGTGT >mm10_chr17:88521033-88521044(-)::chr17:88521032-88521044(-) tgaaggaagaaa >mm10_chr17:88545361-88545372(+)::chr17:88545360-88545372(+) AGCAGGAAATGG >mm10_chr17:88597671-88597682(-)::chr17:88597670-88597682(-) gggaggaagggg >mm10_chr17:88622256-88622267(+)::chr17:88622255-88622267(+) ACAAGGAAGGGA >mm10_chr17:88640257-88640268(+)::chr17:88640256-88640268(+) agaaggaaggaa >mm10_chr17:88640261-88640272(+)::chr17:88640260-88640272(+) ggaaggaaggaa >mm10_chr17:88640265-88640276(+)::chr17:88640264-88640276(+) ggaaggaaggaa >mm10_chr17:88640269-88640280(+)::chr17:88640268-88640280(+) ggaaggaaggaa >mm10_chr17:88640273-88640284(+)::chr17:88640272-88640284(+) ggaaggaaggaa >mm10_chr17:88640277-88640288(+)::chr17:88640276-88640288(+) ggaaggaaggaa >mm10_chr17:88640281-88640292(+)::chr17:88640280-88640292(+) ggaaggaaggaa >mm10_chr17:88640285-88640296(+)::chr17:88640284-88640296(+) ggaaggaaggaa >mm10_chr17:88641075-88641086(-)::chr17:88641074-88641086(-) AAAAGGATGTCG >mm10_chr17:89329701-89329712(-)::chr17:89329700-89329712(-) AGCAGGAAATGC >mm10_chr17:89520712-89520723(-)::chr17:89520711-89520723(-) ACAGGGAAGTCT >mm10_chr17:89520742-89520753(-)::chr17:89520741-89520753(-) ATAAGGAAAAAC >mm10_chr17:89520767-89520778(-)::chr17:89520766-89520778(-) TACAGGAAGAAA >mm10_chr17:90073051-90073062(-)::chr17:90073050-90073062(-) TCAAGGAAGGTG >mm10_chr17:90079164-90079175(-)::chr17:90079163-90079175(-) CAAAGGAAGAAG >mm10_chr17:90088449-90088460(+)::chr17:90088448-90088460(+) TGCAGGAAGGGA >mm10_chr17:90284293-90284304(+)::chr17:90284292-90284304(+) GCAGGGAAGTCA >mm10_chr17:90443218-90443229(-)::chr17:90443217-90443229(-) CCAAGGAAGCAG >mm10_chr17:90481946-90481957(+)::chr17:90481945-90481957(+) ATAAGGAAAGGA >mm10_chr17:90481951-90481962(+)::chr17:90481950-90481962(+) GAAAGGAAGTCT >mm10_chr17:90705168-90705179(+)::chr17:90705167-90705179(+) CAAAGGAAGATG >mm10_chr17:90768972-90768983(+)::chr17:90768971-90768983(+) cataggaagtca >mm10_chr17:90813908-90813919(-)::chr17:90813907-90813919(-) ATCAGGAAGAGA >mm10_chr17:90854357-90854368(+)::chr17:90854356-90854368(+) ACAAGGAAACAA >mm10_chr17:90854409-90854420(-)::chr17:90854408-90854420(-) AGATGGAAGTCG >mm10_chr17:90995492-90995503(+)::chr17:90995491-90995503(+) ACAAGGAAGGAG >mm10_chr17:90995557-90995568(-)::chr17:90995556-90995568(-) ATGAGGAAGACA >mm10_chr17:90997886-90997897(+)::chr17:90997885-90997897(+) AACAGGAAGGAA >mm10_chr17:90997890-90997901(+)::chr17:90997889-90997901(+) GGAAGGAACTGA >mm10_chr17:91397565-91397576(+)::chr17:91397564-91397576(+) ggcaggaaatac >mm10_chr17:91561505-91561516(+)::chr17:91561504-91561516(+) agaaggaagtag >mm10_chr17:91561548-91561559(+)::chr17:91561547-91561559(+) acagggaagtaa >mm10_chr17:91665024-91665035(+)::chr17:91665023-91665035(+) tgcaggaagtta >mm10_chr17:91780564-91780575(+)::chr17:91780563-91780575(+) AGGAGGAAATGA >mm10_chr17:93529758-93529769(+)::chr17:93529757-93529769(+) GAGAGGAAATAG >mm10_chr17:93579872-93579883(-)::chr17:93579871-93579883(-) AGCAGGAAGCAG >mm10_chr17:93580189-93580200(-)::chr17:93580188-93580200(-) AAAAGGAAATGC >mm10_chr17:93590477-93590488(+)::chr17:93590476-93590488(+) TTAAGGAAGAGT >mm10_chr17:93590486-93590497(+)::chr17:93590485-93590497(+) AGTAGGAAGCAA >mm10_chr17:93590504-93590515(+)::chr17:93590503-93590515(+) AGAAGGAAGGAC >mm10_chr17:93590547-93590558(+)::chr17:93590546-93590558(+) AAAAGGAAGTTG >mm10_chr17:93607367-93607378(-)::chr17:93607366-93607378(-) AGGAGGAAGTAA >mm10_chr17:93768614-93768625(+)::chr17:93768613-93768625(+) gaaaggaagtat >mm10_chr17:93768635-93768646(+)::chr17:93768634-93768646(+) ggagggaagata >mm10_chr17:93905005-93905016(-)::chr17:93905004-93905016(-) cataggaagtac >mm10_chr17:94723931-94723942(-)::chr17:94723930-94723942(-) atgaggaagcta >mm10_chr18:3005868-3005879(-)::chr18:3005867-3005879(-) aaaaggaaattc >mm10_chr18:3009552-3009563(-)::chr18:3009551-3009563(-) CAAAGGAAGAGA >mm10_chr18:3009563-3009574(-)::chr18:3009562-3009574(-) AGCAGGAAGGCC >mm10_chr18:3281131-3281142(-)::chr18:3281130-3281142(-) GCGGGGAAGTGG >mm10_chr18:3281883-3281894(-)::chr18:3281882-3281894(-) TACAGGAAGAGT >mm10_chr18:3282453-3282464(+)::chr18:3282452-3282464(+) ATGAGGAAATGC >mm10_chr18:3330655-3330666(-)::chr18:3330654-3330666(-) GCCAGGAAATAG >mm10_chr18:3337819-3337830(+)::chr18:3337818-3337830(+) AAACGGAAGCTA >mm10_chr18:3337831-3337842(-)::chr18:3337830-3337842(-) GCGCGGAAGTTG >mm10_chr18:3340971-3340982(+)::chr18:3340970-3340982(+) ACAAGGAAGGGG >mm10_chr18:3573251-3573262(+)::chr18:3573250-3573262(+) aggaggaagaag >mm10_chr18:3573263-3573274(+)::chr18:3573262-3573274(+) agaaggaagaag >mm10_chr18:3573278-3573289(+)::chr18:3573277-3573289(+) aggaggaagagg >mm10_chr18:3573284-3573295(+)::chr18:3573283-3573295(+) aagaggaagaag >mm10_chr18:3820473-3820484(-)::chr18:3820472-3820484(-) ataaggaaatag >mm10_chr18:3872157-3872168(-)::chr18:3872156-3872168(-) GAAAGGAAATTG >mm10_chr18:3890963-3890974(+)::chr18:3890962-3890974(+) ATAGGGAAGGAA >mm10_chr18:3890967-3890978(+)::chr18:3890966-3890978(+) GGAAGGAAGAAg >mm10_chr18:3926407-3926418(-)::chr18:3926406-3926418(-) TGCAGGAAGTTC >mm10_chr18:3926462-3926473(+)::chr18:3926461-3926473(+) acaaggaaatga >mm10_chr18:3978417-3978428(-)::chr18:3978416-3978428(-) aataggaagagg >mm10_chr18:3978435-3978446(-)::chr18:3978434-3978446(-) aacaggaagaag >mm10_chr18:3978457-3978468(-)::chr18:3978456-3978468(-) gcaaggaaggca >mm10_chr18:3978478-3978489(-)::chr18:3978477-3978489(-) agcaggaagaca >mm10_chr18:4009262-4009273(-)::chr18:4009261-4009273(-) GACAGGAAATAC >mm10_chr18:4029610-4029621(-)::chr18:4029609-4029621(-) TCCAGGAAGTCA >mm10_chr18:4031154-4031165(-)::chr18:4031153-4031165(-) aggaggaagtga >mm10_chr18:4133814-4133825(-)::chr18:4133813-4133825(-) GGAAGGAAGAGC >mm10_chr18:4278516-4278527(+)::chr18:4278515-4278527(+) AGCAGGAAGTTA >mm10_chr18:4304105-4304116(+)::chr18:4304104-4304116(+) ACCAGGAAGGAA >mm10_chr18:4304109-4304120(+)::chr18:4304108-4304120(+) GGAAGGAAGCTG >mm10_chr18:4314075-4314086(-)::chr18:4314074-4314086(-) CCAAGGAAGAAC >mm10_chr18:4336659-4336670(-)::chr18:4336658-4336670(-) AGGAGGAAGAAA >mm10_chr18:4352980-4352991(+)::chr18:4352979-4352991(+) AGGAGGAAGGTG >mm10_chr18:4353559-4353570(-)::chr18:4353558-4353570(-) GCCAGGAAGACG >mm10_chr18:4375601-4375612(-)::chr18:4375600-4375612(-) AGCAGGAAGTAA >mm10_chr18:4375879-4375890(+)::chr18:4375878-4375890(+) GGAAGGAACGCA >mm10_chr18:4404487-4404498(+)::chr18:4404486-4404498(+) tggaggaagaat >mm10_chr18:4404499-4404510(+)::chr18:4404498-4404510(+) acaaggaagaga >mm10_chr18:4404511-4404522(+)::chr18:4404510-4404522(+) aggaggaaggtg >mm10_chr18:4436340-4436351(+)::chr18:4436339-4436351(+) gcaaggaagttg >mm10_chr18:4466728-4466739(+)::chr18:4466727-4466739(+) ATCAGGAAGTAT >mm10_chr18:4466795-4466806(-)::chr18:4466794-4466806(-) AGCAGGAAGGGG >mm10_chr18:4545122-4545133(-)::chr18:4545121-4545133(-) agaaggaaggga >mm10_chr18:4545129-4545140(-)::chr18:4545128-4545140(-) gggaggaagaag >mm10_chr18:4545142-4545153(-)::chr18:4545141-4545153(-) gggaggaagaga >mm10_chr18:4545157-4545168(-)::chr18:4545156-4545168(-) ggagggaagtga >mm10_chr18:4547082-4547093(+)::chr18:4547081-4547093(+) ACAAGGAAATAG >mm10_chr18:4547149-4547160(+)::chr18:4547148-4547160(+) AGGAGGAAGAGG >mm10_chr18:4547155-4547166(+)::chr18:4547154-4547166(+) AAGAGGAAGAGC >mm10_chr18:4602610-4602621(+)::chr18:4602609-4602621(+) AACAGGAAGACA >mm10_chr18:4748654-4748665(-)::chr18:4748653-4748665(-) GAGAGGAAGAAA >mm10_chr18:4769910-4769921(-)::chr18:4769909-4769921(-) ATGAGGAAGCAC >mm10_chr18:4826687-4826698(+)::chr18:4826686-4826698(+) AGAGGGAAGTCA >mm10_chr18:4842733-4842744(+)::chr18:4842732-4842744(+) gagaggaagaaa >mm10_chr18:4842755-4842766(+)::chr18:4842754-4842766(+) gggaggaAGTCT >mm10_chr18:4892037-4892048(+)::chr18:4892036-4892048(+) ttgaggaagtgt >mm10_chr18:4908901-4908912(+)::chr18:4908900-4908912(+) aacaGGAAGTGC >mm10_chr18:4910240-4910251(-)::chr18:4910239-4910251(-) ACAAGGAAATGT >mm10_chr18:4922029-4922040(-)::chr18:4922028-4922040(-) GGACGGAAGTGG >mm10_chr18:4922093-4922104(+)::chr18:4922092-4922104(+) TCGGGGAAGTTA >mm10_chr18:4931035-4931046(+)::chr18:4931034-4931046(+) TGGAGGAAGCAT >mm10_chr18:4931052-4931063(-)::chr18:4931051-4931063(-) ACAAGGAAGAAA >mm10_chr18:4948058-4948069(-)::chr18:4948057-4948069(-) GGAAGGAAGTAG >mm10_chr18:4948062-4948073(-)::chr18:4948061-4948073(-) AGAAGGAAGGAA >mm10_chr18:4948089-4948100(-)::chr18:4948088-4948100(-) AAGAGGAAGAAT >mm10_chr18:4948095-4948106(-)::chr18:4948094-4948106(-) CTAAGGAAGAGG >mm10_chr18:4953577-4953588(-)::chr18:4953576-4953588(-) AGCAGGAAGAAA >mm10_chr18:4959918-4959929(-)::chr18:4959917-4959929(-) ACACGGAAGGTG >mm10_chr18:4971117-4971128(-)::chr18:4971116-4971128(-) AGAAGGAAATGA >mm10_chr18:4975317-4975328(+)::chr18:4975316-4975328(+) AGCAGGAAGCAA >mm10_chr18:4975386-4975397(+)::chr18:4975385-4975397(+) TGGAGGAAGTGC >mm10_chr18:4997745-4997756(-)::chr18:4997744-4997756(-) AACAGGAAGACA >mm10_chr18:4999284-4999295(-)::chr18:4999283-4999295(-) AATAGGAAGTGG >mm10_chr18:5054520-5054531(+)::chr18:5054519-5054531(+) TGGAGGAAGGAG >mm10_chr18:5054527-5054538(+)::chr18:5054526-5054538(+) AGGAGGAAGAAC >mm10_chr18:5073904-5073915(+)::chr18:5073903-5073915(+) GCGAGGAAGACT >mm10_chr18:5084063-5084074(+)::chr18:5084062-5084074(+) AAAAGGAAGGAG >mm10_chr18:5084073-5084084(+)::chr18:5084072-5084084(+) AGAAGGAAGGAA >mm10_chr18:5084077-5084088(+)::chr18:5084076-5084088(+) GGAAGGAAGGCA >mm10_chr18:5084101-5084112(-)::chr18:5084100-5084112(-) TCAAGGAAATTA >mm10_chr18:5125431-5125442(-)::chr18:5125430-5125442(-) ACAAGGAACTGG >mm10_chr18:5125484-5125495(+)::chr18:5125483-5125495(+) AAACGGAAGAGC >mm10_chr18:5180314-5180325(+)::chr18:5180313-5180325(+) CCAAGGAAGCAC >mm10_chr18:5203595-5203606(+)::chr18:5203594-5203606(+) acaaggaagctt >mm10_chr18:5205667-5205678(-)::chr18:5205666-5205678(-) aacaggaaggtc >mm10_chr18:5224981-5224992(-)::chr18:5224980-5224992(-) GGAAGGAAGTTC >mm10_chr18:5224985-5224996(-)::chr18:5224984-5224996(-) AGTAGGAAGGAA >mm10_chr18:5224994-5225005(-)::chr18:5224993-5225005(-) GGAAGGAAGAGT >mm10_chr18:5225004-5225015(-)::chr18:5225003-5225015(-) TTGAGGAAGTGG >mm10_chr18:5265325-5265336(-)::chr18:5265324-5265336(-) GCCAGGAAATGG >mm10_chr18:5327266-5327277(-)::chr18:5327265-5327277(-) GCCAGGAAGGAG >mm10_chr18:5625170-5625181(+)::chr18:5625169-5625181(+) acaaggaacGAG >mm10_chr18:5625177-5625188(+)::chr18:5625176-5625188(+) acGAGGAAGCAG >mm10_chr18:5759152-5759163(+)::chr18:5759151-5759163(+) GTCAGGAAGAGA >mm10_chr18:5759164-5759175(+)::chr18:5759163-5759175(+) GCAAGTAAGTGA >mm10_chr18:5764904-5764915(+)::chr18:5764903-5764915(+) TTAAGGAAGTGG >mm10_chr18:5839303-5839314(-)::chr18:5839302-5839314(-) GGAAGGAAGGCT >mm10_chr18:5839345-5839356(-)::chr18:5839344-5839356(-) AGTAGGAAATGG >mm10_chr18:5839352-5839363(-)::chr18:5839351-5839363(-) TGAAGGAAGTAG >mm10_chr18:5862460-5862471(+)::chr18:5862459-5862471(+) AGGAGGAAGTGG >mm10_chr18:5911559-5911570(+)::chr18:5911558-5911570(+) ACAAGGAAGCTC >mm10_chr18:6104680-6104691(-)::chr18:6104679-6104691(-) cccaggaagttt >mm10_chr18:6136783-6136794(+)::chr18:6136782-6136794(+) ACCCGGAAGTAG >mm10_chr18:6169038-6169049(+)::chr18:6169037-6169049(+) ACaaggaaagaa >mm10_chr18:6169046-6169057(+)::chr18:6169045-6169057(+) agaaggaaggaa >mm10_chr18:6169058-6169069(+)::chr18:6169057-6169069(+) tgaaggaaggaa >mm10_chr18:6169062-6169073(+)::chr18:6169061-6169073(+) ggaaggaaggaa >mm10_chr18:6169066-6169077(+)::chr18:6169065-6169077(+) ggaaggaaggaa >mm10_chr18:6243699-6243710(-)::chr18:6243698-6243710(-) agaaggaagagg >mm10_chr18:6243706-6243717(-)::chr18:6243705-6243717(-) gaaaggaagaag >mm10_chr18:6275349-6275360(-)::chr18:6275348-6275360(-) AGAAGGAagaaa >mm10_chr18:6282132-6282143(-)::chr18:6282131-6282143(-) ACAAGGAAGACT >mm10_chr18:6282155-6282166(-)::chr18:6282154-6282166(-) AGAAGGAAAAGC >mm10_chr18:6312778-6312789(+)::chr18:6312777-6312789(+) gtacggaagtga >mm10_chr18:6335430-6335441(+)::chr18:6335429-6335441(+) tggaggaagtat >mm10_chr18:6335497-6335508(-)::chr18:6335496-6335508(-) aagaggaaggga >mm10_chr18:6377738-6377749(-)::chr18:6377737-6377749(-) AGCAGGAAGTGC >mm10_chr18:6377778-6377789(-)::chr18:6377777-6377789(-) GGCAGGAAGTGC >mm10_chr18:6415876-6415887(-)::chr18:6415875-6415887(-) tataggaagtag >mm10_chr18:6415914-6415925(-)::chr18:6415913-6415925(-) acaaggaagtaa >mm10_chr18:6450430-6450441(-)::chr18:6450429-6450441(-) ACACGGAAGAGG >mm10_chr18:6470740-6470751(+)::chr18:6470739-6470751(+) GGGAGGAAGGAG >mm10_chr18:6490112-6490123(-)::chr18:6490111-6490123(-) AGAGGGAAGCGG >mm10_chr18:6516688-6516699(+)::chr18:6516687-6516699(+) TTCAGGAAGTGG >mm10_chr18:6532492-6532503(-)::chr18:6532491-6532503(-) aacaggaaatga >mm10_chr18:6662692-6662703(-)::chr18:6662691-6662703(-) acagggaagtag >mm10_chr18:6662728-6662739(-)::chr18:6662727-6662739(-) acaaagaagtag >mm10_chr18:6718898-6718909(-)::chr18:6718897-6718909(-) TACAGGAAATGA >mm10_chr18:6725677-6725688(+)::chr18:6725676-6725688(+) GGAAGGAAGCTT >mm10_chr18:6733673-6733684(-)::chr18:6733672-6733684(-) AAGAGGAAGAAG >mm10_chr18:6733679-6733690(-)::chr18:6733678-6733690(-) AGGAGGAAGAGG >mm10_chr18:6741312-6741323(+)::chr18:6741311-6741323(+) AAAAGGAAGTTA >mm10_chr18:6748623-6748634(+)::chr18:6748622-6748634(+) aaaaggaacttc >mm10_chr18:6748628-6748639(-)::chr18:6748627-6748639(-) aaaaggaagttc >mm10_chr18:6749148-6749159(+)::chr18:6749147-6749159(+) TGAAGGAAGAAC >mm10_chr18:6761191-6761202(+)::chr18:6761190-6761202(+) atcaggaagggc >mm10_chr18:6762842-6762853(+)::chr18:6762841-6762853(+) AGAAGGAAGCTC >mm10_chr18:6763636-6763647(+)::chr18:6763635-6763647(+) GAAAGGAAATTA >mm10_chr18:6763672-6763683(+)::chr18:6763671-6763683(+) TAAAGGAAGTCA >mm10_chr18:6764954-6764965(+)::chr18:6764953-6764965(+) AGACGGAAGCGG >mm10_chr18:6774687-6774698(+)::chr18:6774686-6774698(+) AGAAGGTAGTCA >mm10_chr18:6795677-6795688(+)::chr18:6795676-6795688(+) ACCAGGAAGTCA >mm10_chr18:6850153-6850164(+)::chr18:6850152-6850164(+) agcaggaagaac >mm10_chr18:6879449-6879460(+)::chr18:6879448-6879460(+) tgaaggaaatta >mm10_chr18:6885944-6885955(-)::chr18:6885943-6885955(-) GGAGGGAAGCGT >mm10_chr18:6885961-6885972(-)::chr18:6885960-6885972(-) ACAAGGAAGCCA >mm10_chr18:6900957-6900968(+)::chr18:6900956-6900968(+) CTAAGGAAATAG >mm10_chr18:6900970-6900981(+)::chr18:6900969-6900981(+) AGGAGGAAGAAC >mm10_chr18:6901001-6901012(+)::chr18:6901000-6901012(+) AGGAGGAAGAAA >mm10_chr18:6978842-6978853(+)::chr18:6978841-6978853(+) AGAAGGAAACAA >mm10_chr18:6981925-6981936(+)::chr18:6981924-6981936(+) aggaggaagaaa >mm10_chr18:6981954-6981965(+)::chr18:6981953-6981965(+) gggaggaagtga >mm10_chr18:7004876-7004887(+)::chr18:7004875-7004887(+) GAGAGGAAGCGA >mm10_chr18:7011813-7011824(-)::chr18:7011812-7011824(-) GTAAGGAAGAAG >mm10_chr18:7108194-7108205(+)::chr18:7108193-7108205(+) ACAAGGAAGAAA >mm10_chr18:7155646-7155657(-)::chr18:7155645-7155657(-) AGCAGGAAGCAT >mm10_chr18:7155653-7155664(-)::chr18:7155652-7155664(-) GGGAGGAAGCAG >mm10_chr18:7481202-7481213(-)::chr18:7481201-7481213(-) GGAAGGAAGAAC >mm10_chr18:7481206-7481217(-)::chr18:7481205-7481217(-) TGTAGGAAGGAA >mm10_chr18:7567834-7567845(-)::chr18:7567833-7567845(-) aggaggaagaag >mm10_chr18:7567867-7567878(-)::chr18:7567866-7567878(-) agaaggaaaaag >mm10_chr18:7567876-7567887(-)::chr18:7567875-7567887(-) aggaggaagaga >mm10_chr18:7567898-7567909(-)::chr18:7567897-7567909(-) aggaggaaggag >mm10_chr18:7614409-7614420(+)::chr18:7614408-7614420(+) TCTAGGAAGTAA >mm10_chr18:7692877-7692888(-)::chr18:7692876-7692888(-) aagaggaagaaa >mm10_chr18:7693355-7693366(-)::chr18:7693354-7693366(-) AGAAGGAAGCAT >mm10_chr18:7814394-7814405(+)::chr18:7814393-7814405(+) TTAAGGAAGCAA >mm10_chr18:7814413-7814424(+)::chr18:7814412-7814424(+) TCTAGGAAGTAC >mm10_chr18:7867823-7867834(-)::chr18:7867822-7867834(-) AGGAGGAAATGT >mm10_chr18:7868383-7868394(+)::chr18:7868382-7868394(+) AAAAGGCAGTGG >mm10_chr18:7870300-7870311(+)::chr18:7870299-7870311(+) AACAGGAAGACC >mm10_chr18:7873346-7873357(+)::chr18:7873345-7873357(+) TCTAGGAAGTCA >mm10_chr18:7873384-7873395(-)::chr18:7873383-7873395(-) CTAAGGAAGGCT >mm10_chr18:7916228-7916239(-)::chr18:7916227-7916239(-) AGCAGGAAGCAA >mm10_chr18:8136589-8136600(-)::chr18:8136588-8136600(-) GTAAGGAAGTAG >mm10_chr18:8447506-8447517(+)::chr18:8447505-8447517(+) AATAGGAAGTAC >mm10_chr18:8447529-8447540(+)::chr18:8447528-8447540(+) agcaggaagtgc >mm10_chr18:8447567-8447578(+)::chr18:8447566-8447578(+) agcaggaagtgc >mm10_chr18:8475625-8475636(+)::chr18:8475624-8475636(+) AGCAGGAAGCAA >mm10_chr18:8476759-8476770(-)::chr18:8476758-8476770(-) aggaggaagcta >mm10_chr18:8487303-8487314(+)::chr18:8487302-8487314(+) AAAAGGAAGTTT >mm10_chr18:8632964-8632975(-)::chr18:8632963-8632975(-) agcaggaagcta >mm10_chr18:8645711-8645722(+)::chr18:8645710-8645722(+) GCAAGGAAATAA >mm10_chr18:8871798-8871809(+)::chr18:8871797-8871809(+) ATAAGGAAGCTG >mm10_chr18:8900209-8900220(-)::chr18:8900208-8900220(-) agaaggcagtat >mm10_chr18:8966680-8966691(+)::chr18:8966679-8966691(+) GCAAGGAAGTCC >mm10_chr18:8982229-8982240(-)::chr18:8982228-8982240(-) ATGAGGAAGTAA >mm10_chr18:8982281-8982292(+)::chr18:8982280-8982292(+) AAGAGGAAATAG >mm10_chr18:8988401-8988412(-)::chr18:8988400-8988412(-) AGAAGGAAGTGT >mm10_chr18:9038882-9038893(+)::chr18:9038881-9038893(+) AGAAGGAAGAGG >mm10_chr18:9212030-9212041(-)::chr18:9212029-9212041(-) TTAAGGAAGTCC >mm10_chr18:9212038-9212049(+)::chr18:9212037-9212049(+) TTAAGGAAGGCT >mm10_chr18:9312967-9312978(-)::chr18:9312966-9312978(-) AATAGGAAGCAT >mm10_chr18:9312974-9312985(-)::chr18:9312973-9312985(-) AGGAGGAAATAG >mm10_chr18:9338986-9338997(+)::chr18:9338985-9338997(+) GCAAGGAAATGC >mm10_chr18:9338998-9339009(+)::chr18:9338997-9339009(+) AGAAGGAAGCTA >mm10_chr18:9373969-9373980(-)::chr18:9373968-9373980(-) ATAAGGAAATAA >mm10_chr18:9374710-9374721(+)::chr18:9374709-9374721(+) TGGAGGAAGTCA >mm10_chr18:9377458-9377469(+)::chr18:9377457-9377469(+) AAGAGGAAGCAG >mm10_chr18:9377503-9377514(+)::chr18:9377502-9377514(+) AGAGGGAAGTGT >mm10_chr18:9378178-9378189(-)::chr18:9378177-9378189(-) AGAAGGAAGCTC >mm10_chr18:9380913-9380924(-)::chr18:9380912-9380924(-) GCTAGGAAGTGT >mm10_chr18:9380964-9380975(+)::chr18:9380963-9380975(+) TGCAGGAAGGGA >mm10_chr18:9389952-9389963(-)::chr18:9389951-9389963(-) ACCAGGAAATTG >mm10_chr18:9392860-9392871(+)::chr18:9392859-9392871(+) AGGAGGAAATGC >mm10_chr18:9392895-9392906(+)::chr18:9392894-9392906(+) AGAAGGAAATGA >mm10_chr18:9418520-9418531(+)::chr18:9418519-9418531(+) TAGAGGAAGAAC >mm10_chr18:9418854-9418865(-)::chr18:9418853-9418865(-) TAAAGGGAGTAG >mm10_chr18:9437764-9437775(+)::chr18:9437763-9437775(+) cacaggaaatat >mm10_chr18:9450009-9450020(-)::chr18:9450008-9450020(-) TTGCGGAAGTCG >mm10_chr18:9536290-9536301(+)::chr18:9536289-9536301(+) tagaggaagaga >mm10_chr18:9659504-9659515(-)::chr18:9659503-9659515(-) atgaggaagaag >mm10_chr18:9659515-9659526(-)::chr18:9659514-9659526(-) aggaggaagaga >mm10_chr18:9841348-9841359(-)::chr18:9841347-9841359(-) AGATGGAAGTGG >mm10_chr18:10025042-10025053(+)::chr18:10025041-10025053(+) aaaaggaaggga >mm10_chr18:10025057-10025068(+)::chr18:10025056-10025068(+) agaaggaagagg >mm10_chr18:10029186-10029197(+)::chr18:10029185-10029197(+) AAGAGGAAGTAC >mm10_chr18:10029196-10029207(+)::chr18:10029195-10029207(+) ACAGGGAAGTCC >mm10_chr18:10253327-10253338(+)::chr18:10253326-10253338(+) AGAAGGAAACAA >mm10_chr18:10253365-10253376(+)::chr18:10253364-10253376(+) TGAAGGAAGTAG >mm10_chr18:10253808-10253819(+)::chr18:10253807-10253819(+) AGAAGGAAGCCT >mm10_chr18:10255778-10255789(+)::chr18:10255777-10255789(+) AGAAGGAAATGC >mm10_chr18:10375587-10375598(-)::chr18:10375586-10375598(-) aggaggaaggag >mm10_chr18:10375594-10375605(-)::chr18:10375593-10375605(-) aggaggaaggag >mm10_chr18:10435765-10435776(-)::chr18:10435764-10435776(-) GGTAGGAAGTGA >mm10_chr18:10435798-10435809(-)::chr18:10435797-10435809(-) ACGAGGAAAACG >mm10_chr18:10503638-10503649(+)::chr18:10503637-10503649(+) AGAAGGAAGGCC >mm10_chr18:10503683-10503694(-)::chr18:10503682-10503694(-) CAGAGGAAGTGA >mm10_chr18:10504565-10504576(-)::chr18:10504564-10504576(-) ggaaggaaggga >mm10_chr18:10504569-10504580(-)::chr18:10504568-10504580(-) GAAaggaaggaa >mm10_chr18:10518816-10518827(-)::chr18:10518815-10518827(-) ATCAGGAAGACA >mm10_chr18:10518889-10518900(+)::chr18:10518888-10518900(+) GACAGGAAGAAT >mm10_chr18:10532555-10532566(-)::chr18:10532554-10532566(-) ACCAGGAAGCGG >mm10_chr18:10533028-10533039(+)::chr18:10533027-10533039(+) TGCAGGAAGTGC >mm10_chr18:10610142-10610153(-)::chr18:10610141-10610153(-) CGCCGGAAGTTT >mm10_chr18:10707041-10707052(+)::chr18:10707040-10707052(+) ACGCGGAAGGAG >mm10_chr18:10726679-10726690(-)::chr18:10726678-10726690(-) AGAAGGATGTCC >mm10_chr18:10726757-10726768(-)::chr18:10726756-10726768(-) AGAAGGAAATCT >mm10_chr18:10732597-10732608(+)::chr18:10732596-10732608(+) agggggaagtga >mm10_chr18:10794096-10794107(+)::chr18:10794095-10794107(+) AGAAGGAAGTGA >mm10_chr18:10814544-10814555(-)::chr18:10814543-10814555(-) AGGAGGAAGTCA >mm10_chr18:10815798-10815809(-)::chr18:10815797-10815809(-) GAGAGGAAGTGa >mm10_chr18:10815855-10815866(-)::chr18:10815854-10815866(-) AGAAGGAAGCCT >mm10_chr18:10815862-10815873(-)::chr18:10815861-10815873(-) GGAAGGAAGAAG >mm10_chr18:10823050-10823061(+)::chr18:10823049-10823061(+) AGGAGGAAGATG >mm10_chr18:10859694-10859705(+)::chr18:10859693-10859705(+) AGAAGGAAGCAG >mm10_chr18:10866044-10866055(-)::chr18:10866043-10866055(-) TCCAGGAAGGAA >mm10_chr18:10866601-10866612(-)::chr18:10866600-10866612(-) ACCAGGAAGGCT >mm10_chr18:10903218-10903229(-)::chr18:10903217-10903229(-) AGAAGGAAGCTG >mm10_chr18:10903286-10903297(+)::chr18:10903285-10903297(+) ATAAGGAAAATA >mm10_chr18:10937928-10937939(+)::chr18:10937927-10937939(+) TCAAGGAAATCC >mm10_chr18:10984267-10984278(-)::chr18:10984266-10984278(-) ATCAGGAAGCAG >mm10_chr18:10999638-10999649(+)::chr18:10999637-10999649(+) ATAAGGAAGAGG >mm10_chr18:11000133-11000144(-)::chr18:11000132-11000144(-) ACAAGGAAGAGC >mm10_chr18:11017010-11017021(-)::chr18:11017009-11017021(-) agaaggaagtcc >mm10_chr18:11017023-11017034(-)::chr18:11017022-11017034(-) gagaggaagaga >mm10_chr18:11025590-11025601(+)::chr18:11025589-11025601(+) agtaggaagtct >mm10_chr18:11027514-11027525(-)::chr18:11027513-11027525(-) TCAAGGAAGTTC >mm10_chr18:11027535-11027546(-)::chr18:11027534-11027546(-) agaaggaagagg >mm10_chr18:11027568-11027579(-)::chr18:11027567-11027579(-) aagaggaagaag >mm10_chr18:11097048-11097059(+)::chr18:11097047-11097059(+) AACAGGAAGCCA >mm10_chr18:11097067-11097078(+)::chr18:11097066-11097078(+) GAAAGGAAGACA >mm10_chr18:11097078-11097089(+)::chr18:11097077-11097089(+) AGGAGGAAGAGA >mm10_chr18:11120435-11120446(-)::chr18:11120434-11120446(-) AAGAGGAAATAC >mm10_chr18:11120459-11120470(-)::chr18:11120458-11120470(-) AGAAGGAAGGGC >mm10_chr18:11129734-11129745(+)::chr18:11129733-11129745(+) TGCAGGAAGTCT >mm10_chr18:11129748-11129759(-)::chr18:11129747-11129759(-) GTGAGGAAGATG >mm10_chr18:11208308-11208319(+)::chr18:11208307-11208319(+) aggaggaagaca >mm10_chr18:11208320-11208331(+)::chr18:11208319-11208331(+) aggaggaagaac >mm10_chr18:11208344-11208355(+)::chr18:11208343-11208355(+) aaaaggaagagg >mm10_chr18:11208350-11208361(+)::chr18:11208349-11208361(+) aagaggaagacg >mm10_chr18:11222105-11222116(+)::chr18:11222104-11222116(+) GGGAGGAAGAGT >mm10_chr18:11222125-11222136(-)::chr18:11222124-11222136(-) AACAGGAAGAGA >mm10_chr18:11535278-11535289(+)::chr18:11535277-11535289(+) AGCAGGAAGCAC >mm10_chr18:11562142-11562153(+)::chr18:11562141-11562153(+) ACAAGGAAGCAG >mm10_chr18:11564225-11564236(-)::chr18:11564224-11564236(-) GGAAGGAGGTTA >mm10_chr18:11564243-11564254(-)::chr18:11564242-11564254(-) AGAAGGAAAATG >mm10_chr18:11564250-11564261(-)::chr18:11564249-11564261(-) AAAAGGAAGAAG >mm10_chr18:11632775-11632786(-)::chr18:11632774-11632786(-) AGAAGGAAACAT >mm10_chr18:11686959-11686970(+)::chr18:11686958-11686970(+) TACAGGAAGACA >mm10_chr18:11687033-11687044(-)::chr18:11687032-11687044(-) AAGGGGAAGTTA >mm10_chr18:11695653-11695664(+)::chr18:11695652-11695664(+) aacaggaaatga >mm10_chr18:11724760-11724771(+)::chr18:11724759-11724771(+) ttaaggaactac >mm10_chr18:11736724-11736735(-)::chr18:11736723-11736735(-) ACAAGGAAGCTG >mm10_chr18:11762877-11762888(-)::chr18:11762876-11762888(-) agaaggaagtca >mm10_chr18:11794310-11794321(+)::chr18:11794309-11794321(+) CCCAGGAAGCGG >mm10_chr18:11794702-11794713(-)::chr18:11794701-11794713(-) TGAAGGAAATGC >mm10_chr18:11839354-11839365(-)::chr18:11839353-11839365(-) GGAGGGAAGTAG >mm10_chr18:11844219-11844230(+)::chr18:11844218-11844230(+) AAGAGGAAGTTG >mm10_chr18:11855271-11855282(-)::chr18:11855270-11855282(-) ACAAGGAAGCAC >mm10_chr18:11855312-11855323(-)::chr18:11855311-11855323(-) TGAGGGAAGTCA >mm10_chr18:11862918-11862929(+)::chr18:11862917-11862929(+) ACCAGGAAGCAG >mm10_chr18:11862925-11862936(+)::chr18:11862924-11862936(+) AGCAGGAAGCTG >mm10_chr18:11865032-11865043(-)::chr18:11865031-11865043(-) GGCAGGAAGGGC >mm10_chr18:11865083-11865094(-)::chr18:11865082-11865094(-) GGAAGGAAGGCC >mm10_chr18:11897266-11897277(-)::chr18:11897265-11897277(-) ACCAGGAAATGA >mm10_chr18:11929179-11929190(-)::chr18:11929178-11929190(-) ATGAGGAAGCAA >mm10_chr18:11947171-11947182(-)::chr18:11947170-11947182(-) GGAAGGAACTTC >mm10_chr18:11947180-11947191(-)::chr18:11947179-11947191(-) ACAAGGAAGGGA >mm10_chr18:11947194-11947205(-)::chr18:11947193-11947205(-) AACAGGAAGGAG >mm10_chr18:11972748-11972759(+)::chr18:11972747-11972759(+) TAAAGGATGTTG >mm10_chr18:11996266-11996277(-)::chr18:11996265-11996277(-) aggaggaaggtg >mm10_chr18:12004592-12004603(-)::chr18:12004591-12004603(-) GCCAGGAAGGCA >mm10_chr18:12005338-12005349(+)::chr18:12005337-12005349(+) GGCAGGAAGCAC >mm10_chr18:12005386-12005397(+)::chr18:12005385-12005397(+) AGGAGGAAGTGT >mm10_chr18:12081154-12081165(+)::chr18:12081153-12081165(+) TCCAGGAAGAGA >mm10_chr18:12081212-12081223(-)::chr18:12081211-12081223(-) AGCAGGAAGCTG >mm10_chr18:12109869-12109880(+)::chr18:12109868-12109880(+) TCCAGGAAATAC >mm10_chr18:12121238-12121249(+)::chr18:12121237-12121249(+) TCCGGGAAGTGG >mm10_chr18:12121274-12121285(-)::chr18:12121273-12121285(-) TCGAGGAAACGG >mm10_chr18:12121717-12121728(-)::chr18:12121716-12121728(-) TAAAGGAAGGCT >mm10_chr18:12128548-12128559(+)::chr18:12128547-12128559(+) AGAAGGAACCGG >mm10_chr18:12132807-12132818(+)::chr18:12132806-12132818(+) TCAAGGAAGTTG >mm10_chr18:12132858-12132869(+)::chr18:12132857-12132869(+) ATAGGGAAGTAT >mm10_chr18:12145790-12145801(+)::chr18:12145789-12145801(+) aggaggaagaag >mm10_chr18:12145808-12145819(+)::chr18:12145807-12145819(+) aggaggaagagg >mm10_chr18:12145814-12145825(+)::chr18:12145813-12145825(+) aagaggaagaag >mm10_chr18:12145826-12145837(+)::chr18:12145825-12145837(+) gggaggaagagg >mm10_chr18:12145832-12145843(+)::chr18:12145831-12145843(+) aagaggaagagg >mm10_chr18:12145844-12145855(+)::chr18:12145843-12145855(+) gggaggaagagg >mm10_chr18:12145852-12145863(+)::chr18:12145851-12145863(+) gaggggaagtaa >mm10_chr18:12147564-12147575(+)::chr18:12147563-12147575(+) TCTAGGAAGTTA >mm10_chr18:12154314-12154325(+)::chr18:12154313-12154325(+) ATGAGGAAGTTG >mm10_chr18:12186777-12186788(-)::chr18:12186776-12186788(-) AGAAGGAAGGGA >mm10_chr18:12221670-12221681(+)::chr18:12221669-12221681(+) ACTAGGAAGAAA >mm10_chr18:12221694-12221705(-)::chr18:12221693-12221705(-) AGAAGGAAGTCA >mm10_chr18:12221701-12221712(-)::chr18:12221700-12221712(-) AGAAGGAAGAAG >mm10_chr18:12224104-12224115(+)::chr18:12224103-12224115(+) AGGAGGAAGTGT >mm10_chr18:12269817-12269828(+)::chr18:12269816-12269828(+) TAAAGGAAGACC >mm10_chr18:12273036-12273047(+)::chr18:12273035-12273047(+) TTAAGGAAGTTT >mm10_chr18:12273069-12273080(+)::chr18:12273068-12273080(+) GGAAGGATGTGT >mm10_chr18:12329425-12329436(+)::chr18:12329424-12329436(+) ggaaggaacgca >mm10_chr18:12333822-12333833(-)::chr18:12333821-12333833(-) ATCAGGAAATGT >mm10_chr18:12597188-12597199(+)::chr18:12597187-12597199(+) AGCAGGAAGTAG >mm10_chr18:12761341-12761352(+)::chr18:12761340-12761352(+) AGGAGGAAGGGC >mm10_chr18:12761360-12761371(+)::chr18:12761359-12761371(+) AACAGGAAATAG >mm10_chr18:12761367-12761378(+)::chr18:12761366-12761378(+) AATAGGAAGGAA >mm10_chr18:12782214-12782225(+)::chr18:12782213-12782225(+) ATAAGGAAACGT >mm10_chr18:12808410-12808421(-)::chr18:12808409-12808421(-) TTAAGGAAGTTA >mm10_chr18:12808951-12808962(+)::chr18:12808950-12808962(+) ACACGGAAGCAG >mm10_chr18:12824785-12824796(+)::chr18:12824784-12824796(+) TACAGGAAGGGG >mm10_chr18:12843259-12843270(+)::chr18:12843258-12843270(+) GTAAGGAAGCTC >mm10_chr18:12853940-12853951(-)::chr18:12853939-12853951(-) TGTAGGAAGGAA >mm10_chr18:12862515-12862526(-)::chr18:12862514-12862526(-) ACAAGGAAGGGA >mm10_chr18:12885893-12885904(+)::chr18:12885892-12885904(+) AGCAGGAAATTG >mm10_chr18:12953692-12953703(+)::chr18:12953691-12953703(+) accaggaagtcg >mm10_chr18:12953720-12953731(-)::chr18:12953719-12953731(-) ggcaggaagaga >mm10_chr18:12955775-12955786(+)::chr18:12955774-12955786(+) ACTCGGAAGTAG >mm10_chr18:12972207-12972218(+)::chr18:12972206-12972218(+) TTCAGGAAGTGG >mm10_chr18:13006918-13006929(+)::chr18:13006917-13006929(+) ACCAGGAAGTAG >mm10_chr18:13078326-13078337(-)::chr18:13078325-13078337(-) agaaggaaacta >mm10_chr18:13140452-13140463(+)::chr18:13140451-13140463(+) GGAAGGAAATGA >mm10_chr18:13140508-13140519(-)::chr18:13140507-13140519(-) ACAAGGATGTCT >mm10_chr18:13426814-13426825(+)::chr18:13426813-13426825(+) AGGCGGAAGTGA >mm10_chr18:13498365-13498376(-)::chr18:13498364-13498376(-) AAGAGGAAGTAA >mm10_chr18:13498379-13498390(-)::chr18:13498378-13498390(-) TACAGGAAGCTA >mm10_chr18:13498388-13498399(-)::chr18:13498387-13498399(-) AGGAGGAAGTAC >mm10_chr18:13512021-13512032(-)::chr18:13512020-13512032(-) GGAAGGAAGAGT >mm10_chr18:13529194-13529205(+)::chr18:13529193-13529205(+) ACCAGGAAGAAG >mm10_chr18:13529201-13529212(+)::chr18:13529200-13529212(+) AGAAGGAAGGGT >mm10_chr18:13530841-13530852(+)::chr18:13530840-13530852(+) tgagggaagtcg >mm10_chr18:13598291-13598302(-)::chr18:13598290-13598302(-) AGAAGGAAGAAG >mm10_chr18:13599111-13599122(+)::chr18:13599110-13599122(+) TGAAGGAAGGAA >mm10_chr18:13599115-13599126(+)::chr18:13599114-13599126(+) GGAAGGAAATTA >mm10_chr18:13603313-13603324(-)::chr18:13603312-13603324(-) aacaggaagagg >mm10_chr18:13654064-13654075(+)::chr18:13654063-13654075(+) AAAAGGAAGGGA >mm10_chr18:13671749-13671760(-)::chr18:13671748-13671760(-) AGAAGGAAATGC >mm10_chr18:13684009-13684020(-)::chr18:13684008-13684020(-) TCAAGGAAGAGG >mm10_chr18:13684047-13684058(-)::chr18:13684046-13684058(-) AGGAGGAAGTTT >mm10_chr18:13727612-13727623(-)::chr18:13727611-13727623(-) AGGAGGAAGAGG >mm10_chr18:13755311-13755322(-)::chr18:13755310-13755322(-) AAGAGGAAATGT >mm10_chr18:13847939-13847950(-)::chr18:13847938-13847950(-) AGAAGGAAGAGA >mm10_chr18:13847971-13847982(-)::chr18:13847970-13847982(-) CTAAGGAAGTCC >mm10_chr18:13850549-13850560(-)::chr18:13850548-13850560(-) ATTAGGAAATAA >mm10_chr18:13887712-13887723(-)::chr18:13887711-13887723(-) TGAGGGAAGTCC >mm10_chr18:13887746-13887757(+)::chr18:13887745-13887757(+) TGAAGGAAGTGT >mm10_chr18:13890673-13890684(-)::chr18:13890672-13890684(-) AGCAGGAAATTC >mm10_chr18:13895895-13895906(+)::chr18:13895894-13895906(+) GCAAGGAAGATG >mm10_chr18:13941721-13941732(+)::chr18:13941720-13941732(+) AGAAGGAAAAGG >mm10_chr18:13941757-13941768(+)::chr18:13941756-13941768(+) AAAAGGAAATAA >mm10_chr18:13968680-13968691(+)::chr18:13968679-13968691(+) ATCAGGAAGAAA >mm10_chr18:13970116-13970127(+)::chr18:13970115-13970127(+) AGGAGGAAGAGG >mm10_chr18:14084056-14084067(+)::chr18:14084055-14084067(+) ggaaggaagaac >mm10_chr18:14120326-14120337(+)::chr18:14120325-14120337(+) AGAAGGAAACCG >mm10_chr18:14379663-14379674(+)::chr18:14379662-14379674(+) CGTAGGAAGTAA >mm10_chr18:14386481-14386492(-)::chr18:14386480-14386492(-) AGAAGGAAATAG >mm10_chr18:14403011-14403022(-)::chr18:14403010-14403022(-) AGCAGGAAGCAG >mm10_chr18:14403018-14403029(-)::chr18:14403017-14403029(-) AACAGGAAGCAG >mm10_chr18:14403059-14403070(-)::chr18:14403058-14403070(-) AGGAGGAAATGC >mm10_chr18:14410881-14410892(+)::chr18:14410880-14410892(+) aggaggaagatc >mm10_chr18:14410902-14410913(+)::chr18:14410901-14410913(+) agacggaagcca >mm10_chr18:14410915-14410926(+)::chr18:14410914-14410926(+) aaaaggaaggga >mm10_chr18:14416252-14416263(-)::chr18:14416251-14416263(-) aagaggaagaga >mm10_chr18:14416258-14416269(-)::chr18:14416257-14416269(-) agaaggaagagg >mm10_chr18:14417488-14417499(+)::chr18:14417487-14417499(+) GCGAGGAAGCAG >mm10_chr18:14514513-14514524(+)::chr18:14514512-14514524(+) TAAAGGAAATGA >mm10_chr18:14562219-14562230(+)::chr18:14562218-14562230(+) aggaggaagaag >mm10_chr18:14562237-14562248(+)::chr18:14562236-14562248(+) aaggggaagtaa >mm10_chr18:14562249-14562260(+)::chr18:14562248-14562260(+) aggaggaagaga >mm10_chr18:14573216-14573227(+)::chr18:14573215-14573227(+) gcaaggcagtaa >mm10_chr18:14573252-14573263(-)::chr18:14573251-14573263(-) tgcgggaagcgg >mm10_chr18:14573265-14573276(+)::chr18:14573264-14573276(+) AGCAGGAAGATC >mm10_chr18:14674509-14674520(+)::chr18:14674508-14674520(+) AGAAGGAAGCAG >mm10_chr18:14674541-14674552(+)::chr18:14674540-14674552(+) ACCAGGAAGAAC >mm10_chr18:14680536-14680547(+)::chr18:14680535-14680547(+) AGAAGGAAACAA >mm10_chr18:14936865-14936876(-)::chr18:14936864-14936876(-) TCAAGGAAGTGT >mm10_chr18:15019928-15019939(+)::chr18:15019927-15019939(+) TAGAGGAAGAGG >mm10_chr18:15019941-15019952(+)::chr18:15019940-15019952(+) AAGAGGAAGCAG >mm10_chr18:15021232-15021243(+)::chr18:15021231-15021243(+) GCGAGGAAATGT >mm10_chr18:15023841-15023852(+)::chr18:15023840-15023852(+) AGAAGGAAGCCA >mm10_chr18:15034773-15034784(+)::chr18:15034772-15034784(+) agaaggaaggaa >mm10_chr18:15046340-15046351(-)::chr18:15046339-15046351(-) ACAAGGAAAATA >mm10_chr18:15064916-15064927(-)::chr18:15064915-15064927(-) GCGAGGAAGCAC >mm10_chr18:15074894-15074905(-)::chr18:15074893-15074905(-) aggaggaagaga >mm10_chr18:15074909-15074920(-)::chr18:15074908-15074920(-) agaaggaagagg >mm10_chr18:15074919-15074930(-)::chr18:15074918-15074930(-) ATAAGGAaagag >mm10_chr18:15076430-15076441(+)::chr18:15076429-15076441(+) CTCAGGAAGTGT >mm10_chr18:15091001-15091012(+)::chr18:15091000-15091012(+) ccaaggaaataa >mm10_chr18:15091034-15091045(+)::chr18:15091033-15091045(+) tagaggaagaca >mm10_chr18:15100816-15100827(-)::chr18:15100815-15100827(-) GCACGGAAGTGG >mm10_chr18:15107983-15107994(+)::chr18:15107982-15107994(+) AGGAGGAAGGGA >mm10_chr18:15107994-15108005(+)::chr18:15107993-15108005(+) ATAAGGAAATGT >mm10_chr18:15133616-15133627(-)::chr18:15133615-15133627(-) ATAGGGAAGTCC >mm10_chr18:15138582-15138593(-)::chr18:15138581-15138593(-) AGCAGGAAGAAC >mm10_chr18:15139045-15139056(+)::chr18:15139044-15139056(+) AGCAGGAAGGGT >mm10_chr18:15139056-15139067(-)::chr18:15139055-15139067(-) AAAAGGAAGTCA >mm10_chr18:15140313-15140324(-)::chr18:15140312-15140324(-) AACAGGAAGCGC >mm10_chr18:15263374-15263385(+)::chr18:15263373-15263385(+) aacaggaaggta >mm10_chr18:15281641-15281652(+)::chr18:15281640-15281652(+) ACCAGGAAGAGG >mm10_chr18:15281647-15281658(+)::chr18:15281646-15281658(+) AAGAGGAAGGAA >mm10_chr18:15356253-15356264(-)::chr18:15356252-15356264(-) ATGAGGAACTAT >mm10_chr18:15404807-15404818(-)::chr18:15404806-15404818(-) TGGAGGAAGTCC >mm10_chr18:15632228-15632239(-)::chr18:15632227-15632239(-) AACAGGAAGACC >mm10_chr18:15645464-15645475(-)::chr18:15645463-15645475(-) AGCAGGAAGTTT >mm10_chr18:15645514-15645525(-)::chr18:15645513-15645525(-) TTAAGGAAGTGT >mm10_chr18:15665129-15665140(+)::chr18:15665128-15665140(+) GGCAGGAAGGAA >mm10_chr18:15665133-15665144(+)::chr18:15665132-15665144(+) GGAAGGAAGCTC >mm10_chr18:15753485-15753496(-)::chr18:15753484-15753496(-) AGAAGGAAGTAG >mm10_chr18:15753492-15753503(-)::chr18:15753491-15753503(-) AGAAGGAAGAAG >mm10_chr18:15770973-15770984(+)::chr18:15770972-15770984(+) TTAAGGATGTAA >mm10_chr18:15771012-15771023(+)::chr18:15771011-15771023(+) ATCAGGAAGTAG >mm10_chr18:15896811-15896822(+)::chr18:15896810-15896822(+) gggaggaagaag >mm10_chr18:15896841-15896852(+)::chr18:15896840-15896852(+) aggaggaagaag >mm10_chr18:15896871-15896882(+)::chr18:15896870-15896882(+) aagaggaagagg >mm10_chr18:16277418-16277429(-)::chr18:16277417-16277429(-) TCAGGGAAGTAG >mm10_chr18:16291684-16291695(+)::chr18:16291683-16291695(+) aggaggaagaag >mm10_chr18:16291725-16291736(+)::chr18:16291724-16291736(+) tagaggaaggaa >mm10_chr18:16291729-16291740(+)::chr18:16291728-16291740(+) ggaaggaaaata >mm10_chr18:16291738-16291749(+)::chr18:16291737-16291749(+) ataaggaagcag >mm10_chr18:16523423-16523434(-)::chr18:16523422-16523434(-) aagaggaAATGT >mm10_chr18:16523429-16523440(-)::chr18:16523428-16523440(-) aagaggaagagg >mm10_chr18:17419185-17419196(+)::chr18:17419184-17419196(+) ATGAGGAAGTGC >mm10_chr18:18422500-18422511(+)::chr18:18422499-18422511(+) cacaggaaggta >mm10_chr18:18706039-18706050(-)::chr18:18706038-18706050(-) aacaggaaggat >mm10_chr18:18706046-18706057(-)::chr18:18706045-18706057(-) agaaggaaacag >mm10_chr18:18759569-18759580(+)::chr18:18759568-18759580(+) GGCAGGAAGGAG >mm10_chr18:18759590-18759601(-)::chr18:18759589-18759601(-) ACCAGGAAATGA >mm10_chr18:19060615-19060626(+)::chr18:19060614-19060626(+) TCAAGGAAGTAT >mm10_chr18:19145726-19145737(-)::chr18:19145725-19145737(-) ATAAGGAAGTGA >mm10_chr18:19951198-19951209(+)::chr18:19951197-19951209(+) GAAAGCAAGTAG >mm10_chr18:19951220-19951231(+)::chr18:19951219-19951231(+) TGAAGGAAGTAG >mm10_chr18:20003574-20003585(+)::chr18:20003573-20003585(+) AGAGGGAAGAAA >mm10_chr18:20062948-20062959(-)::chr18:20062947-20062959(-) AGCAGGAAGGCA >mm10_chr18:20062955-20062966(-)::chr18:20062954-20062966(-) AGCAGGAAGCAG >mm10_chr18:20063317-20063328(+)::chr18:20063316-20063328(+) ATAAGGAAGTTA >mm10_chr18:20190163-20190174(-)::chr18:20190162-20190174(-) ACAAGGAAAACA >mm10_chr18:20191349-20191360(-)::chr18:20191348-20191360(-) GAAAGGAAGTGT >mm10_chr18:20205496-20205507(-)::chr18:20205495-20205507(-) tacaggaagttg >mm10_chr18:20205517-20205528(+)::chr18:20205516-20205528(+) gcaaggaaatcc >mm10_chr18:20463161-20463172(+)::chr18:20463160-20463172(+) TGGAGGAAGTAG >mm10_chr18:20526151-20526162(-)::chr18:20526150-20526162(-) TACAGGAAATAG >mm10_chr18:20557988-20557999(+)::chr18:20557987-20557999(+) AGGAGGAAGGGA >mm10_chr18:20558679-20558690(+)::chr18:20558678-20558690(+) ACCAGGAAGAAC >mm10_chr18:20566718-20566729(-)::chr18:20566717-20566729(-) GTAAGGATGTTA >mm10_chr18:20651760-20651771(+)::chr18:20651759-20651771(+) ACCAGGAAGAAC >mm10_chr18:20652049-20652060(+)::chr18:20652048-20652060(+) AGGAGGAAGCTG >mm10_chr18:20652131-20652142(-)::chr18:20652130-20652142(-) AGAAGGAAGAAA >mm10_chr18:20738270-20738281(+)::chr18:20738269-20738281(+) GGAAGGAAGCAA >mm10_chr18:20741128-20741139(+)::chr18:20741127-20741139(+) ACCAGGAACTCG >mm10_chr18:20749196-20749207(-)::chr18:20749195-20749207(-) AACAGGAAGGGC >mm10_chr18:20766948-20766959(-)::chr18:20766947-20766959(-) agaaggaaaaaa >mm10_chr18:20770088-20770099(-)::chr18:20770087-20770099(-) AGAAGGAAGAAC >mm10_chr18:20773635-20773646(+)::chr18:20773634-20773646(+) GAGAGGAAGTAG >mm10_chr18:20838091-20838102(+)::chr18:20838090-20838102(+) tcaaggaagagg >mm10_chr18:20838104-20838115(+)::chr18:20838103-20838115(+) cgtaggaagaga >mm10_chr18:20838150-20838161(+)::chr18:20838149-20838161(+) acaaggaagaca >mm10_chr18:20932895-20932906(+)::chr18:20932894-20932906(+) accaggaagcag >mm10_chr18:20932937-20932948(-)::chr18:20932936-20932948(-) AGCAGGAAGACT >mm10_chr18:20932944-20932955(-)::chr18:20932943-20932955(-) ACCAGGAAGCAG >mm10_chr18:20937055-20937066(-)::chr18:20937054-20937066(-) GTGAGGAAGGGT >mm10_chr18:20961552-20961563(-)::chr18:20961551-20961563(-) GGAAGGAAGTCC >mm10_chr18:20961556-20961567(-)::chr18:20961555-20961567(-) GGGAGGAAGGAA >mm10_chr18:20970108-20970119(-)::chr18:20970107-20970119(-) ATAAGGAAATCC >mm10_chr18:21116322-21116333(+)::chr18:21116321-21116333(+) GAAAGGAAGGTC >mm10_chr18:21121980-21121991(-)::chr18:21121979-21121991(-) ACAAGGAATTAG >mm10_chr18:21193254-21193265(-)::chr18:21193253-21193265(-) TCCAGGAAGTGT >mm10_chr18:21210967-21210978(-)::chr18:21210966-21210978(-) ACAAGGAAATCA >mm10_chr18:21215794-21215805(-)::chr18:21215793-21215805(-) GAACGGAAGGTA >mm10_chr18:21215865-21215876(-)::chr18:21215864-21215876(-) AGAAGGAAGTGT >mm10_chr18:21216767-21216778(-)::chr18:21216766-21216778(-) ATAAGTAAGTCA >mm10_chr18:21224793-21224804(+)::chr18:21224792-21224804(+) TTCAGGAAGTTT >mm10_chr18:21238248-21238259(-)::chr18:21238247-21238259(-) ACGAGGAAGAAA >mm10_chr18:21249182-21249193(+)::chr18:21249181-21249193(+) ACAAGGAAATAA >mm10_chr18:21249203-21249214(+)::chr18:21249202-21249214(+) GACAGGAAGTAC >mm10_chr18:21284831-21284842(-)::chr18:21284830-21284842(-) aacaggaagcta >mm10_chr18:21284851-21284862(-)::chr18:21284850-21284862(-) tcaagtaagtat >mm10_chr18:21295817-21295828(+)::chr18:21295816-21295828(+) gagaggaaggaa >mm10_chr18:21295821-21295832(+)::chr18:21295820-21295832(+) ggaaggaaggga >mm10_chr18:21295829-21295840(+)::chr18:21295828-21295840(+) gggaggaaggag >mm10_chr18:21295842-21295853(+)::chr18:21295841-21295853(+) aggaggaagtgg >mm10_chr18:21295854-21295865(+)::chr18:21295853-21295865(+) ggagggaagATA >mm10_chr18:21322917-21322928(+)::chr18:21322916-21322928(+) TTGAGGAAGTCA >mm10_chr18:21372650-21372661(-)::chr18:21372649-21372661(-) AACAGGAAGAGC >mm10_chr18:21382731-21382742(-)::chr18:21382730-21382742(-) GCAAGGAAATCT >mm10_chr18:21535842-21535853(-)::chr18:21535841-21535853(-) ggcaggaagcag >mm10_chr18:21549095-21549106(+)::chr18:21549094-21549106(+) ATGAGGAAGAAG >mm10_chr18:21549324-21549335(+)::chr18:21549323-21549335(+) GTAAGGAAGAAA >mm10_chr18:21593980-21593991(-)::chr18:21593979-21593991(-) GGGGGGAAGTGA >mm10_chr18:21593990-21594001(+)::chr18:21593989-21594001(+) CCCAGGAAGCGG >mm10_chr18:22433770-22433781(-)::chr18:22433769-22433781(-) TAGAGGAAGGAG >mm10_chr18:22526929-22526940(+)::chr18:22526928-22526940(+) GAAAGGAAGGAG >mm10_chr18:22656246-22656257(-)::chr18:22656245-22656257(-) aggaggaagagg >mm10_chr18:22656265-22656276(-)::chr18:22656264-22656276(-) aagaggaagaga >mm10_chr18:22656271-22656282(-)::chr18:22656270-22656282(-) agaaggaagagg >mm10_chr18:22656299-22656310(-)::chr18:22656298-22656310(-) AAGAGGAagaag >mm10_chr18:22674440-22674451(+)::chr18:22674439-22674451(+) GGCAGGATGTAG >mm10_chr18:22821201-22821212(+)::chr18:22821200-22821212(+) CAAAGGAAGTTG >mm10_chr18:23227327-23227338(+)::chr18:23227326-23227338(+) AAGAGGAAATGA >mm10_chr18:23415231-23415242(-)::chr18:23415230-23415242(-) AAAAGGAACTGC >mm10_chr18:23415720-23415731(-)::chr18:23415719-23415731(-) ACAAGGAAATCT >mm10_chr18:23457360-23457371(-)::chr18:23457359-23457371(-) TGAAGGAAGGAA >mm10_chr18:23458345-23458356(-)::chr18:23458344-23458356(-) TTCAGGAAGTGG >mm10_chr18:23498224-23498235(-)::chr18:23498223-23498235(-) AGAGGGAAGAAA >mm10_chr18:23542392-23542403(+)::chr18:23542391-23542403(+) agaaggaagaaa >mm10_chr18:23566344-23566355(-)::chr18:23566343-23566355(-) GAGAGGAAGTTG >mm10_chr18:23576095-23576106(+)::chr18:23576094-23576106(+) TCAAGGAAGTGT >mm10_chr18:23576128-23576139(+)::chr18:23576127-23576139(+) AGAAGGAGGTGA >mm10_chr18:23678170-23678181(+)::chr18:23678169-23678181(+) GAAATGAAGTAA >mm10_chr18:23696949-23696960(+)::chr18:23696948-23696960(+) GGGAGGAAGGAG >mm10_chr18:23696956-23696967(+)::chr18:23696955-23696967(+) AGGAGGAAGCAA >mm10_chr18:23766100-23766111(-)::chr18:23766099-23766111(-) AGGAGGAAGTCC >mm10_chr18:23803731-23803742(+)::chr18:23803730-23803742(+) AAGAGGAAGCAG >mm10_chr18:23803744-23803755(+)::chr18:23803743-23803755(+) TAGAGGAAGAGC >mm10_chr18:23873115-23873126(-)::chr18:23873114-23873126(-) TGAAGGAAATGT >mm10_chr18:23873354-23873365(-)::chr18:23873353-23873365(-) CAAAGGAAGACA >mm10_chr18:23874754-23874765(-)::chr18:23874753-23874765(-) ACCAGGAAGTCA >mm10_chr18:23879845-23879856(+)::chr18:23879844-23879856(+) AGGAGGAAGGCC >mm10_chr18:23879906-23879917(+)::chr18:23879905-23879917(+) AAAAGGAAGAGC >mm10_chr18:23880159-23880170(+)::chr18:23880158-23880170(+) AGCAGGAAGTAG >mm10_chr18:23885299-23885310(+)::chr18:23885298-23885310(+) GGCAGGAAGGAA >mm10_chr18:23889852-23889863(+)::chr18:23889851-23889863(+) AGTAGGAAGAGA >mm10_chr18:23903114-23903125(-)::chr18:23903113-23903125(-) AGGAGGAAGTTT >mm10_chr18:23903131-23903142(-)::chr18:23903130-23903142(-) ACAAGGAAGGCG >mm10_chr18:23961931-23961942(+)::chr18:23961930-23961942(+) TAAAGGAAATTG >mm10_chr18:23961993-23962004(+)::chr18:23961992-23962004(+) GAAAGGAAGAGA >mm10_chr18:23989533-23989544(-)::chr18:23989532-23989544(-) AACAGGAAGTTC >mm10_chr18:24020385-24020396(-)::chr18:24020384-24020396(-) GGGCGGAAGTGC >mm10_chr18:24052180-24052191(+)::chr18:24052179-24052191(+) acaaggaagaga >mm10_chr18:24055002-24055013(-)::chr18:24055001-24055013(-) AGCAGGAAGACA >mm10_chr18:24055028-24055039(+)::chr18:24055027-24055039(+) AGTAGGAAGCAG >mm10_chr18:24058374-24058385(+)::chr18:24058373-24058385(+) CCTAGGAAGTGA >mm10_chr18:24112607-24112618(+)::chr18:24112606-24112618(+) GTAAGGAAGTTC >mm10_chr18:24121875-24121886(-)::chr18:24121874-24121886(-) ACACGGAAGTAA >mm10_chr18:24166854-24166865(-)::chr18:24166853-24166865(-) aggaggaagagg >mm10_chr18:24172864-24172875(+)::chr18:24172863-24172875(+) AGGAGGAAGAAC >mm10_chr18:24172875-24172886(+)::chr18:24172874-24172886(+) CCAAGGAAGACC >mm10_chr18:24183205-24183216(+)::chr18:24183204-24183216(+) AGTAGGAAATGG >mm10_chr18:24298757-24298768(+)::chr18:24298756-24298768(+) GGGAGGAAGTGT >mm10_chr18:24333594-24333605(-)::chr18:24333593-24333605(-) CTAAGGAAGGGA >mm10_chr18:24363639-24363650(+)::chr18:24363638-24363650(+) AAACGGAAGTGA >mm10_chr18:24363657-24363668(+)::chr18:24363656-24363668(+) AAACGGAAGAGA >mm10_chr18:24404168-24404179(+)::chr18:24404167-24404179(+) GGAAGGAAGGAC >mm10_chr18:24407068-24407079(-)::chr18:24407067-24407079(-) aggaggaagaAG >mm10_chr18:24407093-24407104(-)::chr18:24407092-24407104(-) aagaggaagggg >mm10_chr18:24407099-24407110(-)::chr18:24407098-24407110(-) aggaggaagagg >mm10_chr18:24407120-24407131(-)::chr18:24407119-24407131(-) aggaggaagagg >mm10_chr18:24500071-24500082(+)::chr18:24500070-24500082(+) ggaaggaagggg >mm10_chr18:24528366-24528377(-)::chr18:24528365-24528377(-) aagaggaagagg >mm10_chr18:24528372-24528383(-)::chr18:24528371-24528383(-) aggaggaagagg >mm10_chr18:24528388-24528399(-)::chr18:24528387-24528399(-) aagaggaagaag >mm10_chr18:24529573-24529584(+)::chr18:24529572-24529584(+) GAAAGGACGCGG >mm10_chr18:24588798-24588809(+)::chr18:24588797-24588809(+) AAGAGGAAGTTT >mm10_chr18:24588831-24588842(+)::chr18:24588830-24588842(+) GGAAGGAAGTAC >mm10_chr18:24598345-24598356(+)::chr18:24598344-24598356(+) AGAGGGAAGAAA >mm10_chr18:24598357-24598368(+)::chr18:24598356-24598368(+) ACAAGGAAAAAC >mm10_chr18:24598369-24598380(-)::chr18:24598368-24598380(-) ACAAGGAAATTA >mm10_chr18:24603949-24603960(+)::chr18:24603948-24603960(+) GGGCGGAAGTGC >mm10_chr18:24653390-24653401(+)::chr18:24653389-24653401(+) TGCAGGAAGTCA >mm10_chr18:24692503-24692514(-)::chr18:24692502-24692514(-) AAAAGGAAGCAA >mm10_chr18:24779718-24779729(-)::chr18:24779717-24779729(-) aaaaggaggtga >mm10_chr18:24779777-24779788(+)::chr18:24779776-24779788(+) atgaggaagtta >mm10_chr18:24970760-24970771(+)::chr18:24970759-24970771(+) TACAGGAAGTAG >mm10_chr18:24977097-24977108(-)::chr18:24977096-24977108(-) TCCAGGAAGTGA >mm10_chr18:25024917-25024928(-)::chr18:25024916-25024928(-) AAGAGGAAGGAG >mm10_chr18:25024926-25024937(-)::chr18:25024925-25024937(-) AGGAGGAAGAAG >mm10_chr18:25037837-25037848(-)::chr18:25037836-25037848(-) AGCAGGAAGGAC >mm10_chr18:25079116-25079127(+)::chr18:25079115-25079127(+) AGAAGGAAGAAG >mm10_chr18:25079123-25079134(+)::chr18:25079122-25079134(+) AGAAGGAAGAAG >mm10_chr18:25285171-25285182(+)::chr18:25285170-25285182(+) AGCAGGAAGAGG >mm10_chr18:25334813-25334824(+)::chr18:25334812-25334824(+) agaaagaagtca >mm10_chr18:25516116-25516127(+)::chr18:25516115-25516127(+) AGAAGGAAATCT >mm10_chr18:25516162-25516173(+)::chr18:25516161-25516173(+) ACAAGGAACTAG >mm10_chr18:25516188-25516199(+)::chr18:25516187-25516199(+) AAGAGGAAGGTA >mm10_chr18:25538956-25538967(+)::chr18:25538955-25538967(+) ACAAGGAAGGAC >mm10_chr18:25539001-25539012(+)::chr18:25539000-25539012(+) ACAAGGAAGGAG >mm10_chr18:25540538-25540549(-)::chr18:25540537-25540549(-) ATAAGGAAGTCT >mm10_chr18:25549524-25549535(-)::chr18:25549523-25549535(-) AAGAGGAAGAAG >mm10_chr18:25556776-25556787(+)::chr18:25556775-25556787(+) CAAAGGAAGAGG >mm10_chr18:25556782-25556793(+)::chr18:25556781-25556793(+) AAGAGGAAATGC >mm10_chr18:25563422-25563433(+)::chr18:25563421-25563433(+) AGAAGGATGTGT >mm10_chr18:25563432-25563443(+)::chr18:25563431-25563443(+) GTCAGGAAGGAA >mm10_chr18:25563436-25563447(+)::chr18:25563435-25563447(+) GGAAGGAAGAAG >mm10_chr18:25637098-25637109(-)::chr18:25637097-25637109(-) AACAGGAAGCAG >mm10_chr18:25716094-25716105(+)::chr18:25716093-25716105(+) ATCAGGAAGCAG >mm10_chr18:25716101-25716112(+)::chr18:25716100-25716112(+) AGCAGGAAGCCC >mm10_chr18:26673414-26673425(-)::chr18:26673413-26673425(-) AAGAGGAAGCAA >mm10_chr18:27368390-27368401(+)::chr18:27368389-27368401(+) TAGAGGAAGCGC >mm10_chr18:27368452-27368463(-)::chr18:27368451-27368463(-) ATCAGGAAGAAG >mm10_chr18:28216329-28216340(+)::chr18:28216328-28216340(+) ATAGGGAAATGA >mm10_chr18:28324638-28324649(+)::chr18:28324637-28324649(+) ATGAGGAAGGAG >mm10_chr18:28324645-28324656(+)::chr18:28324644-28324656(+) AGGAGGAAGCCA >mm10_chr18:28327175-28327186(+)::chr18:28327174-28327186(+) cacaggaagtga >mm10_chr18:28373327-28373338(+)::chr18:28373326-28373338(+) AGAAGGAAGATG >mm10_chr18:28527508-28527519(+)::chr18:28527507-28527519(+) AACAGGAAATAA >mm10_chr18:28863507-28863518(+)::chr18:28863506-28863518(+) AGCAGGAAATGA >mm10_chr18:28863522-28863533(-)::chr18:28863521-28863533(-) ATCAGGAAGTCA >mm10_chr18:29307666-29307677(-)::chr18:29307665-29307677(-) aacaggaagtgg >mm10_chr18:29451628-29451639(-)::chr18:29451627-29451639(-) ACAAGGAAGAAT >mm10_chr18:29630209-29630220(+)::chr18:29630208-29630220(+) agaaggaagaag >mm10_chr18:29630216-29630227(+)::chr18:29630215-29630227(+) agaaggaagaag >mm10_chr18:29630223-29630234(+)::chr18:29630222-29630234(+) agaaggaaggaa >mm10_chr18:30228130-30228141(+)::chr18:30228129-30228141(+) TGTAGGAAGAAC >mm10_chr18:30272856-30272867(+)::chr18:30272855-30272867(+) AACAGGAAGTTT >mm10_chr18:30272890-30272901(-)::chr18:30272889-30272901(-) TCCAGGAAGAAA >mm10_chr18:30272901-30272912(-)::chr18:30272900-30272912(-) AGGAGGAAGACT >mm10_chr18:30323990-30324001(+)::chr18:30323989-30324001(+) GGAAGAAAGTAG >mm10_chr18:31189833-31189844(+)::chr18:31189832-31189844(+) ATAGGGAAGGAG >mm10_chr18:31513187-31513198(-)::chr18:31513186-31513198(-) GGAAGGAAGCAG >mm10_chr18:31609906-31609917(+)::chr18:31609905-31609917(+) AACCGGAAGTCA >mm10_chr18:31609926-31609937(+)::chr18:31609925-31609937(+) ACCCGGAAGTCC >mm10_chr18:31760695-31760706(-)::chr18:31760694-31760706(-) AGAAGGAAAAGG >mm10_chr18:31760760-31760771(-)::chr18:31760759-31760771(-) TTAAGGAAGAAA >mm10_chr18:31766152-31766163(-)::chr18:31766151-31766163(-) aggaggaagaaa >mm10_chr18:31766167-31766178(-)::chr18:31766166-31766178(-) aggaggaagaag >mm10_chr18:31789100-31789111(-)::chr18:31789099-31789111(-) ACAAGGAAAGGA >mm10_chr18:31789127-31789138(-)::chr18:31789126-31789138(-) AGACGGAAGCCG >mm10_chr18:31789127-31789138(-)::chr18:31789126-31789138(-) AGACGGAAGCCG >mm10_chr18:31862969-31862980(-)::chr18:31862968-31862980(-) GGAAGGAagtct >mm10_chr18:31911297-31911308(+)::chr18:31911296-31911308(+) GACCGGAAGTAG >mm10_chr18:31918825-31918836(+)::chr18:31918824-31918836(+) ATGAGGAAGTCT >mm10_chr18:31940490-31940501(+)::chr18:31940489-31940501(+) tgagggaagtca >mm10_chr18:32051733-32051744(-)::chr18:32051732-32051744(-) TTCAGGAAGTTC >mm10_chr18:32153030-32153041(+)::chr18:32153029-32153041(+) ctgaggaagtta >mm10_chr18:32168482-32168493(-)::chr18:32168481-32168493(-) gcaaggaagtca >mm10_chr18:32311983-32311994(-)::chr18:32311982-32311994(-) AAGAGGAAATGA >mm10_chr18:32377110-32377121(+)::chr18:32377109-32377121(+) AAAAGGCAGTGT >mm10_chr18:32398739-32398750(-)::chr18:32398738-32398750(-) GGAAGGAAGTCC >mm10_chr18:32398743-32398754(-)::chr18:32398742-32398754(-) GGAAGGAAGGAA >mm10_chr18:32416294-32416305(-)::chr18:32416293-32416305(-) GGAAGGAACGCC >mm10_chr18:32422038-32422049(-)::chr18:32422037-32422049(-) ACAAGGAAGGGT >mm10_chr18:32422226-32422237(-)::chr18:32422225-32422237(-) GGCAGGAAGGCC >mm10_chr18:32425637-32425648(+)::chr18:32425636-32425648(+) CCCAGGAAGTGC >mm10_chr18:32477017-32477028(-)::chr18:32477016-32477028(-) TGCAGGAAGAAA >mm10_chr18:32538368-32538379(+)::chr18:32538367-32538379(+) GGAAGGAAGGGC >mm10_chr18:32538385-32538396(+)::chr18:32538384-32538396(+) AGCAGGAAGTGG >mm10_chr18:32538399-32538410(-)::chr18:32538398-32538410(-) AGCAGGAAGGAC >mm10_chr18:32552636-32552647(+)::chr18:32552635-32552647(+) aggaggaagaaa >mm10_chr18:32552655-32552666(+)::chr18:32552654-32552666(+) agtaggaagaag >mm10_chr18:32619827-32619838(+)::chr18:32619826-32619838(+) GACAGGAAGAAA >mm10_chr18:32729081-32729092(-)::chr18:32729080-32729092(-) gccaggaaatgg >mm10_chr18:32745575-32745586(-)::chr18:32745574-32745586(-) agaaggaaacac >mm10_chr18:32816937-32816948(-)::chr18:32816936-32816948(-) ACCAGGAAGGTG >mm10_chr18:32817930-32817941(-)::chr18:32817929-32817941(-) ttgaggaagtat >mm10_chr18:32880676-32880687(+)::chr18:32880675-32880687(+) CCCAGGAAGTGG >mm10_chr18:32904146-32904157(+)::chr18:32904145-32904157(+) TTCAGGAAGTTG >mm10_chr18:32904161-32904172(+)::chr18:32904160-32904172(+) AACAGGAAGACA >mm10_chr18:32955687-32955698(-)::chr18:32955686-32955698(-) CCGAGGAAGTAA >mm10_chr18:33040390-33040401(+)::chr18:33040389-33040401(+) GAAAGGAAGCCA >mm10_chr18:33213388-33213399(+)::chr18:33213387-33213399(+) GAAAGGAAATCC >mm10_chr18:33213443-33213454(+)::chr18:33213442-33213454(+) CTGAGGAAGTGT >mm10_chr18:33260557-33260568(+)::chr18:33260556-33260568(+) AGAAGGAAGGAA >mm10_chr18:33260561-33260572(+)::chr18:33260560-33260572(+) GGAAGGAAGTGA >mm10_chr18:33290103-33290114(+)::chr18:33290102-33290114(+) agcaggaagttt >mm10_chr18:33322086-33322097(+)::chr18:33322085-33322097(+) AAAAGGAAGAAC >mm10_chr18:33339047-33339058(-)::chr18:33339046-33339058(-) agaaggaagctg >mm10_chr18:33386759-33386770(-)::chr18:33386758-33386770(-) AGAATGAAGTAC >mm10_chr18:33386824-33386835(-)::chr18:33386823-33386835(-) TGAAGCAAGTAG >mm10_chr18:33491953-33491964(+)::chr18:33491952-33491964(+) tgtaggaagttg >mm10_chr18:33492735-33492746(-)::chr18:33492734-33492746(-) ATGAGGAAGTTC >mm10_chr18:33492735-33492746(-)::chr18:33492734-33492746(-) ATGAGGAAGTTC >mm10_chr18:33531682-33531693(-)::chr18:33531681-33531693(-) gagaggaagaga >mm10_chr18:33531703-33531714(-)::chr18:33531702-33531714(-) agaaggaagata >mm10_chr18:33531720-33531731(-)::chr18:33531719-33531731(-) aggaggaagagg >mm10_chr18:33531727-33531738(-)::chr18:33531726-33531738(-) agaaggaaggag >mm10_chr18:33541753-33541764(-)::chr18:33541752-33541764(-) ACAAGGAAAGGG >mm10_chr18:33735642-33735653(-)::chr18:33735641-33735653(-) agaaggcagtag >mm10_chr18:33757352-33757363(+)::chr18:33757351-33757363(+) aggaggaagagg >mm10_chr18:33757364-33757375(+)::chr18:33757363-33757375(+) aggaggaagagg >mm10_chr18:33795404-33795415(-)::chr18:33795403-33795415(-) TTCAGGAAATAT >mm10_chr18:33849575-33849586(-)::chr18:33849574-33849586(-) ATGAGGAAGTAC >mm10_chr18:33890608-33890619(-)::chr18:33890607-33890619(-) GGAAGGAACTAG >mm10_chr18:33920721-33920732(+)::chr18:33920720-33920732(+) AAGAGGAAGAAC >mm10_chr18:33962415-33962426(-)::chr18:33962414-33962426(-) aaaaggaagctg >mm10_chr18:33963870-33963881(-)::chr18:33963869-33963881(-) agaaggaactag >mm10_chr18:33969078-33969089(-)::chr18:33969077-33969089(-) ACGAGGAAGCAT >mm10_chr18:33981571-33981582(+)::chr18:33981570-33981582(+) ACAAGGAAGTAC >mm10_chr18:33981602-33981613(+)::chr18:33981601-33981613(+) TGCAGGAAGTGG >mm10_chr18:33996381-33996392(+)::chr18:33996380-33996392(+) ATGAGGAAGTAG >mm10_chr18:33998430-33998441(-)::chr18:33998429-33998441(-) ACAAGGAAGATG >mm10_chr18:33998444-33998455(-)::chr18:33998443-33998455(-) AAGAGGAAGTCA >mm10_chr18:34011251-34011262(-)::chr18:34011250-34011262(-) AGGAGGAAGAGA >mm10_chr18:34109064-34109075(+)::chr18:34109063-34109075(+) GGAAGGAGGTGG >mm10_chr18:34109126-34109137(-)::chr18:34109125-34109137(-) AACAGGAAATAG >mm10_chr18:34109138-34109149(-)::chr18:34109137-34109149(-) AAGAGGAAGAAA >mm10_chr18:34111914-34111925(+)::chr18:34111913-34111925(+) ACACGGAAGGGG >mm10_chr18:34111946-34111957(-)::chr18:34111945-34111957(-) TCCAGGAAGATG >mm10_chr18:34118157-34118168(-)::chr18:34118156-34118168(-) ggcaggaaggaT >mm10_chr18:34132718-34132729(-)::chr18:34132717-34132729(-) AGAGGGAAGTTC >mm10_chr18:34193222-34193233(-)::chr18:34193221-34193233(-) GGGAGGAAATAC >mm10_chr18:34220907-34220918(-)::chr18:34220906-34220918(-) GGCGGGAAGCGG >mm10_chr18:34223798-34223809(-)::chr18:34223797-34223809(-) ACCAGGAAGTAC >mm10_chr18:34223859-34223870(-)::chr18:34223858-34223870(-) ATAAGGAAAGAA >mm10_chr18:34261888-34261899(-)::chr18:34261887-34261899(-) ATAGGGAAGTCT >mm10_chr18:34268293-34268304(+)::chr18:34268292-34268304(+) AATAGGAAGTAC >mm10_chr18:34268315-34268326(+)::chr18:34268314-34268326(+) ACAGGGAAGTAT >mm10_chr18:34286777-34286788(-)::chr18:34286776-34286788(-) atagggaagggg >mm10_chr18:34327054-34327065(+)::chr18:34327053-34327065(+) AGTAGGAAGTAG >mm10_chr18:34327064-34327075(+)::chr18:34327063-34327075(+) AGCAGGAAGTAA >mm10_chr18:34331115-34331126(-)::chr18:34331114-34331126(-) CGGCGGAAGTCC >mm10_chr18:34331156-34331167(-)::chr18:34331155-34331167(-) AGCCGGAAGTTG >mm10_chr18:34337272-34337283(-)::chr18:34337271-34337283(-) TCCAGGAAGTGC >mm10_chr18:34373955-34373966(-)::chr18:34373954-34373966(-) TTAGGGAAGTGA >mm10_chr18:34380114-34380125(-)::chr18:34380113-34380125(-) AGCAGGAAGTCT >mm10_chr18:34399094-34399105(+)::chr18:34399093-34399105(+) acacggaagggg >mm10_chr18:34416016-34416027(-)::chr18:34416015-34416027(-) ACCAGGAAGCAC >mm10_chr18:34416070-34416081(-)::chr18:34416069-34416081(-) AACAGGAAATAG >mm10_chr18:34426899-34426910(+)::chr18:34426898-34426910(+) AGAAGGAAACCG >mm10_chr18:34426936-34426947(-)::chr18:34426935-34426947(-) TGAAGGAAATGG >mm10_chr18:34457839-34457850(-)::chr18:34457838-34457850(-) GGCAGGAAGACT >mm10_chr18:34498097-34498108(+)::chr18:34498096-34498108(+) TCAAGGAAGGGG >mm10_chr18:34498113-34498124(-)::chr18:34498112-34498124(-) ATGAGGAAGAGC >mm10_chr18:34506038-34506049(+)::chr18:34506037-34506049(+) TTAAGGAAGTCA >mm10_chr18:34520100-34520111(+)::chr18:34520099-34520111(+) GCCAGGAAGCCG >mm10_chr18:34520140-34520151(-)::chr18:34520139-34520151(-) AGGAGGAAGGAG >mm10_chr18:34525030-34525041(-)::chr18:34525029-34525041(-) GGAAGGAAGGAA >mm10_chr18:34525034-34525045(-)::chr18:34525033-34525045(-) ACCAGGAAGGAA >mm10_chr18:34538981-34538992(+)::chr18:34538980-34538992(+) AGCAGGAAGTTG >mm10_chr18:34553115-34553126(+)::chr18:34553114-34553126(+) acgaggaagagg >mm10_chr18:34553136-34553147(+)::chr18:34553135-34553147(+) aagaggaagaga >mm10_chr18:34553151-34553162(+)::chr18:34553150-34553162(+) aagaggaagagg >mm10_chr18:34553160-34553171(+)::chr18:34553159-34553171(+) aggaggaagagg >mm10_chr18:34553173-34553184(+)::chr18:34553172-34553184(+) AAAAGAAAGTAA >mm10_chr18:34580212-34580223(-)::chr18:34580211-34580223(-) AAAAGGAAGGAC >mm10_chr18:34580290-34580301(+)::chr18:34580289-34580301(+) AGGAGGAAGTGT >mm10_chr18:34624798-34624809(+)::chr18:34624797-34624809(+) ACATGGAAGTGT >mm10_chr18:34631282-34631293(+)::chr18:34631281-34631293(+) TCCAGGAAGTTA >mm10_chr18:34632502-34632513(-)::chr18:34632501-34632513(-) TCGGGGAAGTAA >mm10_chr18:34651611-34651622(-)::chr18:34651610-34651622(-) TGCAGGAAATTA >mm10_chr18:34664265-34664276(-)::chr18:34664264-34664276(-) ATGAGGAAATCA >mm10_chr18:34664782-34664793(-)::chr18:34664781-34664793(-) CCAAGGAAGGGC >mm10_chr18:34664813-34664824(-)::chr18:34664812-34664824(-) tcaaggaagcct >mm10_chr18:34671107-34671118(+)::chr18:34671106-34671118(+) ACACGGAAGTAT >mm10_chr18:34673730-34673741(+)::chr18:34673729-34673741(+) ACAGGGAAGTGG >mm10_chr18:34676329-34676340(+)::chr18:34676328-34676340(+) tggaggaagagg >mm10_chr18:34676335-34676346(+)::chr18:34676334-34676346(+) aagaggaagaag >mm10_chr18:34676350-34676361(+)::chr18:34676349-34676361(+) agaaggaagggg >mm10_chr18:34676371-34676382(+)::chr18:34676370-34676382(+) aggaggaagggg >mm10_chr18:34676384-34676395(+)::chr18:34676383-34676395(+) agaaggaaaaga >mm10_chr18:34677238-34677249(+)::chr18:34677237-34677249(+) tgaaggaagtca >mm10_chr18:34677274-34677285(+)::chr18:34677273-34677285(+) aacaggaagaaa >mm10_chr18:34744384-34744395(+)::chr18:34744383-34744395(+) aggaggaagaag >mm10_chr18:34744408-34744419(+)::chr18:34744407-34744419(+) aggaggaagagg >mm10_chr18:34744417-34744428(+)::chr18:34744416-34744428(+) aggaggaagagg >mm10_chr18:34744423-34744434(+)::chr18:34744422-34744434(+) aagaggaagagg >mm10_chr18:34744429-34744440(+)::chr18:34744428-34744440(+) aagaggaagaag >mm10_chr18:34758657-34758668(+)::chr18:34758656-34758668(+) AAGAGGAAATAC >mm10_chr18:34761721-34761732(-)::chr18:34761720-34761732(-) AGAAGGAAGGGA >mm10_chr18:34804425-34804436(-)::chr18:34804424-34804436(-) AGAAGGAAGTGA >mm10_chr18:34848854-34848865(-)::chr18:34848853-34848865(-) ttcaggaagtgc >mm10_chr18:34850222-34850233(-)::chr18:34850221-34850233(-) AAACGGAAGCCG >mm10_chr18:34887531-34887542(-)::chr18:34887530-34887542(-) GGAAGGAAGCGC >mm10_chr18:34922000-34922011(+)::chr18:34921999-34922011(+) GAAAGGAAATAT >mm10_chr18:34922049-34922060(+)::chr18:34922048-34922060(+) ACAGGGAAGTTT >mm10_chr18:34929851-34929862(+)::chr18:34929850-34929862(+) GTAAGGAATTCA >mm10_chr18:34932166-34932177(-)::chr18:34932165-34932177(-) GGAAGGAAGACC >mm10_chr18:34932194-34932205(+)::chr18:34932193-34932205(+) AGGAGGAAGCGG >mm10_chr18:34958549-34958560(-)::chr18:34958548-34958560(-) TCCGGGAAGTTA >mm10_chr18:34972992-34973003(+)::chr18:34972991-34973003(+) ACAAGGAAGCTG >mm10_chr18:35019248-35019259(+)::chr18:35019247-35019259(+) ACCAGGAAGTAA >mm10_chr18:35030623-35030634(-)::chr18:35030622-35030634(-) GAAAGGAAGGCA >mm10_chr18:35031007-35031018(+)::chr18:35031006-35031018(+) AACAGGAAATGT >mm10_chr18:35031029-35031040(+)::chr18:35031028-35031040(+) AGAAGGAAGAAG >mm10_chr18:35036204-35036215(-)::chr18:35036203-35036215(-) CCAAGGAAATGA >mm10_chr18:35036225-35036236(-)::chr18:35036224-35036236(-) AGAAGGAAGCTG >mm10_chr18:35036232-35036243(-)::chr18:35036231-35036243(-) AAGAGGAAGAAG >mm10_chr18:35041266-35041277(+)::chr18:35041265-35041277(+) aggaggaAGTGC >mm10_chr18:35046344-35046355(-)::chr18:35046343-35046355(-) AGGAGGAAGTGC >mm10_chr18:35093563-35093574(+)::chr18:35093562-35093574(+) agaaggaagcgt >mm10_chr18:35093592-35093603(+)::chr18:35093591-35093603(+) ctcaggaagtag >mm10_chr18:35098789-35098800(+)::chr18:35098788-35098800(+) GGCAGGAAGAGC >mm10_chr18:35098832-35098843(-)::chr18:35098831-35098843(-) tggaggaagtgg >mm10_chr18:35104821-35104832(+)::chr18:35104820-35104832(+) TTAAGGAAATAA >mm10_chr18:35119736-35119747(+)::chr18:35119735-35119747(+) AAAAGGAACTTG >mm10_chr18:35121547-35121558(+)::chr18:35121546-35121558(+) TGAAGGAAGGAG >mm10_chr18:35121558-35121569(+)::chr18:35121557-35121569(+) GGCAGGAAGTCT >mm10_chr18:35156953-35156964(+)::chr18:35156952-35156964(+) ataaggaagtta >mm10_chr18:35157025-35157036(+)::chr18:35157024-35157036(+) ggcaggaagagg >mm10_chr18:35169918-35169929(+)::chr18:35169917-35169929(+) ctaaggaagcac >mm10_chr18:35172160-35172171(+)::chr18:35172159-35172171(+) TGCAGGAAGTAA >mm10_chr18:35172164-35172175(+)::chr18:35172163-35172175(+) GGAAGTAAGTAG >mm10_chr18:35172196-35172207(-)::chr18:35172195-35172207(-) CTCAGGAAGTTA >mm10_chr18:35192362-35192373(+)::chr18:35192361-35192373(+) CGAAGGAAGAGA >mm10_chr18:35212910-35212921(-)::chr18:35212909-35212921(-) TCCAGGAAGTGC >mm10_chr18:35264463-35264474(-)::chr18:35264462-35264474(-) ACAAGGAGGTAA >mm10_chr18:35264482-35264493(-)::chr18:35264481-35264493(-) GGCAGGAAGTAT >mm10_chr18:35296615-35296626(-)::chr18:35296614-35296626(-) AGAAGGAAGCCC >mm10_chr18:35296871-35296882(+)::chr18:35296870-35296882(+) GGGAGGAAATGT >mm10_chr18:35296882-35296893(-)::chr18:35296881-35296893(-) AGAAGGAAGCCA >mm10_chr18:35372512-35372523(-)::chr18:35372511-35372523(-) TTCAGGAAGTCC >mm10_chr18:35372550-35372561(+)::chr18:35372549-35372561(+) AACAGGAAGGAA >mm10_chr18:35372554-35372565(+)::chr18:35372553-35372565(+) GGAAGGAAGGAA >mm10_chr18:35372558-35372569(+)::chr18:35372557-35372569(+) GGAAGGAAGGCT >mm10_chr18:35424539-35424550(-)::chr18:35424538-35424550(-) TCCAGGAAGTAC >mm10_chr18:35424615-35424626(+)::chr18:35424614-35424626(+) TGGAGGAAATGG >mm10_chr18:35440870-35440881(+)::chr18:35440869-35440881(+) gaaaGGAAGGGA >mm10_chr18:35451191-35451202(-)::chr18:35451190-35451202(-) gcaaggaagcac >mm10_chr18:35456689-35456700(-)::chr18:35456688-35456700(-) CTGAGGAAGTTG >mm10_chr18:35467980-35467991(+)::chr18:35467979-35467991(+) ttgaggaagtct >mm10_chr18:35470806-35470817(+)::chr18:35470805-35470817(+) ACAAGGAAGTCC >mm10_chr18:35483119-35483130(+)::chr18:35483118-35483130(+) AGGAGGAAATCC >mm10_chr18:35506955-35506966(-)::chr18:35506954-35506966(-) TTAAGGAAGTGT >mm10_chr18:35512039-35512050(-)::chr18:35512038-35512050(-) GAAAGGAAATGT >mm10_chr18:35554664-35554675(+)::chr18:35554663-35554675(+) TGCAGGAAGAAA >mm10_chr18:35598601-35598612(+)::chr18:35598600-35598612(+) TGACGGAAGTGA >mm10_chr18:35598615-35598626(-)::chr18:35598614-35598626(-) GCCCGGAAGTGA >mm10_chr18:35604322-35604333(+)::chr18:35604321-35604333(+) TGTAGGAAGTGC >mm10_chr18:35643659-35643670(-)::chr18:35643658-35643670(-) AAGAGGAAGAAC >mm10_chr18:35707858-35707869(-)::chr18:35707857-35707869(-) AGCAGGAAGctc >mm10_chr18:35707897-35707908(+)::chr18:35707896-35707908(+) GGGAGGAAGTTG >mm10_chr18:35740110-35740121(+)::chr18:35740109-35740121(+) GGGAGGAAGCTA >mm10_chr18:35740175-35740186(-)::chr18:35740174-35740186(-) GGTAGGAAGGGG >mm10_chr18:35740516-35740527(-)::chr18:35740515-35740527(-) TTCAGGAAGTAA >mm10_chr18:35740534-35740545(+)::chr18:35740533-35740545(+) GCAAGGAATTTA >mm10_chr18:35808203-35808214(-)::chr18:35808202-35808214(-) AAGAGGAAGTAC >mm10_chr18:35815665-35815676(+)::chr18:35815664-35815676(+) GCCGGGAAGCGG >mm10_chr18:35851452-35851463(+)::chr18:35851451-35851463(+) ACAAGGAAATGT >mm10_chr18:35918484-35918495(+)::chr18:35918483-35918495(+) AAGAGGAAGTGA >mm10_chr18:35942057-35942068(+)::chr18:35942056-35942068(+) AGCAGGAAGGAA >mm10_chr18:35942061-35942072(+)::chr18:35942060-35942072(+) GGAAGGAAGTAA >mm10_chr18:35942089-35942100(+)::chr18:35942088-35942100(+) GGGAGGAAGGAA >mm10_chr18:35942093-35942104(+)::chr18:35942092-35942104(+) GGAAGGAAGGAC >mm10_chr18:35942325-35942336(+)::chr18:35942324-35942336(+) AAGAGGAAGTGA >mm10_chr18:36033519-36033530(-)::chr18:36033518-36033530(-) AGAAGGAAGTGT >mm10_chr18:36074619-36074630(-)::chr18:36074618-36074630(-) ACAAGGAAGAGC >mm10_chr18:36131347-36131358(+)::chr18:36131346-36131358(+) AGGAGGAAGCAC >mm10_chr18:36194157-36194168(+)::chr18:36194156-36194168(+) ACAAGGAAGGTG >mm10_chr18:36223683-36223694(+)::chr18:36223682-36223694(+) AAGAGGAAGCAG >mm10_chr18:36229101-36229112(-)::chr18:36229100-36229112(-) aggaggaaggag >mm10_chr18:36319119-36319130(-)::chr18:36319118-36319130(-) GAAAGGAAGACC >mm10_chr18:36344097-36344108(+)::chr18:36344096-36344108(+) TCAAGGAAGTTG >mm10_chr18:36346548-36346559(-)::chr18:36346547-36346559(-) GAAAGGAAGAAG >mm10_chr18:36375319-36375330(-)::chr18:36375318-36375330(-) AGTAGGAAGGCA >mm10_chr18:36375377-36375388(-)::chr18:36375376-36375388(-) AGGAGGAAGCAG >mm10_chr18:36386545-36386556(+)::chr18:36386544-36386556(+) ACAAGGAACTCA >mm10_chr18:36389665-36389676(+)::chr18:36389664-36389676(+) ACAAGGAAGAAC >mm10_chr18:36396279-36396290(+)::chr18:36396278-36396290(+) AGCAGGAAACGG >mm10_chr18:36415576-36415587(+)::chr18:36415575-36415587(+) GGCAGGAAGAGG >mm10_chr18:36415582-36415593(+)::chr18:36415581-36415593(+) AAGAGGAAGCAA >mm10_chr18:36416849-36416860(+)::chr18:36416848-36416860(+) GAAAGGAAGGAA >mm10_chr18:36416853-36416864(+)::chr18:36416852-36416864(+) GGAAGGAAGCTC >mm10_chr18:36472486-36472497(+)::chr18:36472485-36472497(+) GAGAGGAAGTGA >mm10_chr18:36492680-36492691(-)::chr18:36492679-36492691(-) ACAAGGAAAGTA >mm10_chr18:36506118-36506129(+)::chr18:36506117-36506129(+) ACCAGGAAGGCC >mm10_chr18:36513135-36513146(-)::chr18:36513134-36513146(-) ACCAGGAAATGA >mm10_chr18:36517558-36517569(+)::chr18:36517557-36517569(+) ACAAGGAAGGGC >mm10_chr18:36541417-36541428(+)::chr18:36541416-36541428(+) TGGAGGAAGTAG >mm10_chr18:36552184-36552195(+)::chr18:36552183-36552195(+) TTAAGGAAGAAC >mm10_chr18:36559926-36559937(+)::chr18:36559925-36559937(+) ACCAGGAAATGG >mm10_chr18:36626191-36626202(-)::chr18:36626190-36626202(-) ATAAGGAAAAAA >mm10_chr18:36670275-36670286(-)::chr18:36670274-36670286(-) GTGCGGAAGTGG >mm10_chr18:36694796-36694807(-)::chr18:36694795-36694807(-) AGAAGGATGTTT >mm10_chr18:36695653-36695664(-)::chr18:36695652-36695664(-) TACAGGAAGCAG >mm10_chr18:36699731-36699742(+)::chr18:36699730-36699742(+) ACCAGGAAGTGC >mm10_chr18:36703966-36703977(-)::chr18:36703965-36703977(-) tgcaggaagtac >mm10_chr18:36708359-36708370(-)::chr18:36708358-36708370(-) AAAAGGAAGCAG >mm10_chr18:36726181-36726192(-)::chr18:36726180-36726192(-) ACACGGAAGCAG >mm10_chr18:36726690-36726701(-)::chr18:36726689-36726701(-) AGGAGGAAGAGA >mm10_chr18:36726731-36726742(+)::chr18:36726730-36726742(+) GCAACGAAGTGT >mm10_chr18:36726762-36726773(+)::chr18:36726761-36726773(+) AACAGGAAGAAT >mm10_chr18:36729889-36729900(-)::chr18:36729888-36729900(-) GGAAGGAAGCAT >mm10_chr18:36729917-36729928(+)::chr18:36729916-36729928(+) agaaggaagagg >mm10_chr18:36729941-36729952(+)::chr18:36729940-36729952(+) aaaaggaagagt >mm10_chr18:36735267-36735278(+)::chr18:36735266-36735278(+) ACGAGGAAGCCA >mm10_chr18:36735306-36735317(+)::chr18:36735305-36735317(+) ATAGGGAAGAGG >mm10_chr18:36749178-36749189(-)::chr18:36749177-36749189(-) GGAAGGAAGGAT >mm10_chr18:36749182-36749193(-)::chr18:36749181-36749193(-) AAAAGGAAGGAA >mm10_chr18:36749242-36749253(+)::chr18:36749241-36749253(+) AGGAGGAAGAGG >mm10_chr18:36766983-36766994(-)::chr18:36766982-36766994(-) AAGAGGAAGATA >mm10_chr18:36767348-36767359(+)::chr18:36767347-36767359(+) CCAAGGAAGAAA >mm10_chr18:36783111-36783122(+)::chr18:36783110-36783122(+) AGCAGGAACTGA >mm10_chr18:36783167-36783178(-)::chr18:36783166-36783178(-) AACCGGAAGTCA >mm10_chr18:36783229-36783240(-)::chr18:36783228-36783240(-) ACCCGGAAGTGT >mm10_chr18:36801849-36801860(+)::chr18:36801848-36801860(+) TCCAGGAAGAGA >mm10_chr18:37814746-37814757(-)::chr18:37814745-37814757(-) AACAGGAAGCTA >mm10_chr18:37847757-37847768(+)::chr18:37847756-37847768(+) AGCAGGAAGAGT >mm10_chr18:37849021-37849032(+)::chr18:37849020-37849032(+) AAGAGGAAGTGT >mm10_chr18:37880247-37880258(-)::chr18:37880246-37880258(-) AGAAGGAAAAAA >mm10_chr18:37935505-37935516(-)::chr18:37935504-37935516(-) GGGCGGAAGTGG >mm10_chr18:37953316-37953327(+)::chr18:37953315-37953327(+) GCCAGGAAGGGG >mm10_chr18:37956434-37956445(-)::chr18:37956433-37956445(-) AGCAGGAAGAGC >mm10_chr18:37956455-37956466(-)::chr18:37956454-37956466(-) ATGAGGAAGAAG >mm10_chr18:37984507-37984518(+)::chr18:37984506-37984518(+) CCAAGGAAGCAA >mm10_chr18:37984586-37984597(-)::chr18:37984585-37984597(-) GACAGGAAGGTA >mm10_chr18:38013850-38013861(+)::chr18:38013849-38013861(+) TGCAGGAAGGGG >mm10_chr18:38091602-38091613(-)::chr18:38091601-38091613(-) agcaggaagctg >mm10_chr18:38091609-38091620(-)::chr18:38091608-38091620(-) acgaggaagcag >mm10_chr18:38091618-38091629(+)::chr18:38091617-38091629(+) cgtaggaagtgc >mm10_chr18:38109102-38109113(+)::chr18:38109101-38109113(+) ATGAGGAAGACG >mm10_chr18:38127235-38127246(-)::chr18:38127234-38127246(-) ACACGGAAGTGC >mm10_chr18:38144823-38144834(+)::chr18:38144822-38144834(+) ATGAGGAAATGA >mm10_chr18:38145760-38145771(+)::chr18:38145759-38145771(+) gacaggaagtgg >mm10_chr18:38171768-38171779(-)::chr18:38171767-38171779(-) AGGAGGAAGGTC >mm10_chr18:38172131-38172142(-)::chr18:38172130-38172142(-) ACAAGGAAGGAC >mm10_chr18:38174709-38174720(-)::chr18:38174708-38174720(-) aggaggaagcta >mm10_chr18:38185555-38185566(+)::chr18:38185554-38185566(+) AGCGGGAAGTGA >mm10_chr18:38201351-38201362(-)::chr18:38201350-38201362(-) GGAAGGAAGTAG >mm10_chr18:38208471-38208482(+)::chr18:38208470-38208482(+) GTGAGGAAGCCG >mm10_chr18:38222754-38222765(+)::chr18:38222753-38222765(+) AGAAGGAAGTTC >mm10_chr18:38240969-38240980(+)::chr18:38240968-38240980(+) agaagaaagtta >mm10_chr18:38250210-38250221(-)::chr18:38250209-38250221(-) GGAAGGAAGTGG >mm10_chr18:38250227-38250238(-)::chr18:38250226-38250238(-) GGAAGGATGACG >mm10_chr18:38252928-38252939(-)::chr18:38252927-38252939(-) GAGAGGAAGCGG >mm10_chr18:38252939-38252950(-)::chr18:38252938-38252950(-) ACCAGGAAGAGG >mm10_chr18:38277858-38277869(-)::chr18:38277857-38277869(-) CCGAGGAAATAA >mm10_chr18:38283950-38283961(-)::chr18:38283949-38283961(-) CAGAGGAAGTGC >mm10_chr18:38289231-38289242(-)::chr18:38289230-38289242(-) TGGAGGAAGCCG >mm10_chr18:38326845-38326856(-)::chr18:38326844-38326856(-) TTCAGGAAGTGG >mm10_chr18:38350107-38350118(+)::chr18:38350106-38350118(+) AAGAGGAAGTGT >mm10_chr18:38366483-38366494(-)::chr18:38366482-38366494(-) GAAAGGAAGATA >mm10_chr18:38366524-38366535(-)::chr18:38366523-38366535(-) CACAGGAAGTGG >mm10_chr18:38372090-38372101(-)::chr18:38372089-38372101(-) AAGAGGAAATGT >mm10_chr18:38372121-38372132(-)::chr18:38372120-38372132(-) ATCAGGAAGATG >mm10_chr18:38409975-38409986(+)::chr18:38409974-38409986(+) AACAGGAAATCA >mm10_chr18:38483425-38483436(-)::chr18:38483424-38483436(-) GTAAAGAAGTGG >mm10_chr18:38496063-38496074(-)::chr18:38496062-38496074(-) tgaatgaagtcg >mm10_chr18:38521471-38521482(-)::chr18:38521470-38521482(-) acaaggaaatgg >mm10_chr18:38524265-38524276(+)::chr18:38524264-38524276(+) GGTAGGAAGTGT >mm10_chr18:38524284-38524295(-)::chr18:38524283-38524295(-) TGAAGGAAGAGG >mm10_chr18:38542388-38542399(-)::chr18:38542387-38542399(-) ACAAGGAAGGGA >mm10_chr18:38545010-38545021(+)::chr18:38545009-38545021(+) TTAAGGAAGTGA >mm10_chr18:38545304-38545315(+)::chr18:38545303-38545315(+) ACAGGGAAGTTA >mm10_chr18:38573753-38573764(+)::chr18:38573752-38573764(+) AGTCGGAAGTGG >mm10_chr18:38576440-38576451(-)::chr18:38576439-38576451(-) GACAGGAAGGGA >mm10_chr18:38592444-38592455(+)::chr18:38592443-38592455(+) CCCAGGAAGTCA >mm10_chr18:38593190-38593201(-)::chr18:38593189-38593201(-) ACAGGGAAGTAG >mm10_chr18:38603288-38603299(+)::chr18:38603287-38603299(+) TGGAGGAAGGAG >mm10_chr18:38603306-38603317(-)::chr18:38603305-38603317(-) ATCAGGAAGCAT >mm10_chr18:38604682-38604693(+)::chr18:38604681-38604693(+) gccaggaagggt >mm10_chr18:38604730-38604741(-)::chr18:38604729-38604741(-) acaaggaagcag >mm10_chr18:38628910-38628921(-)::chr18:38628909-38628921(-) AAAAGGAAGCCG >mm10_chr18:38628945-38628956(-)::chr18:38628944-38628956(-) GGAAGGAAATGT >mm10_chr18:38631742-38631753(-)::chr18:38631741-38631753(-) TAAAGGAAATTT >mm10_chr18:38631781-38631792(-)::chr18:38631780-38631792(-) aggcggaagcgg >mm10_chr18:38637472-38637483(-)::chr18:38637471-38637483(-) gaaaggaaggaa >mm10_chr18:38637480-38637491(-)::chr18:38637479-38637491(-) agaaggaagaaa >mm10_chr18:38637487-38637498(-)::chr18:38637486-38637498(-) ggaaggaagaag >mm10_chr18:38742908-38742919(+)::chr18:38742907-38742919(+) CGGaggaaggaa >mm10_chr18:38742912-38742923(+)::chr18:38742911-38742923(+) ggaaggaaggaa >mm10_chr18:38754787-38754798(+)::chr18:38754786-38754798(+) TCAAGGAAGTTG >mm10_chr18:38755004-38755015(+)::chr18:38755003-38755015(+) CCAGGGAAGTGT >mm10_chr18:38755042-38755053(-)::chr18:38755041-38755053(-) AAGAGGAAGAGT >mm10_chr18:38755057-38755068(+)::chr18:38755056-38755068(+) GGGAGGAAATAG >mm10_chr18:38756439-38756450(+)::chr18:38756438-38756450(+) cccaggaagtag >mm10_chr18:38763190-38763201(-)::chr18:38763189-38763201(-) AGGAGGAAATCC >mm10_chr18:38763236-38763247(+)::chr18:38763235-38763247(+) AGCAGGAACTGA >mm10_chr18:38771375-38771386(+)::chr18:38771374-38771386(+) tcgaggaagtca >mm10_chr18:38780696-38780707(+)::chr18:38780695-38780707(+) AGAATGAAGTCA >mm10_chr18:38780722-38780733(-)::chr18:38780721-38780733(-) ACAAGGATGTCC >mm10_chr18:38792134-38792145(+)::chr18:38792133-38792145(+) gccaggaagaag >mm10_chr18:38792162-38792173(-)::chr18:38792161-38792173(-) tccaggaagaaa >mm10_chr18:38812418-38812429(-)::chr18:38812417-38812429(-) GGAAGGAAGTAG >mm10_chr18:38818789-38818800(-)::chr18:38818788-38818800(-) TGCAGGAAATGG >mm10_chr18:38844354-38844365(-)::chr18:38844353-38844365(-) AGCAGGAAGAAA >mm10_chr18:38847411-38847422(-)::chr18:38847410-38847422(-) GAGAGGAAGTGA >mm10_chr18:38851711-38851722(-)::chr18:38851710-38851722(-) TAGAGGAAGTAC >mm10_chr18:38851728-38851739(-)::chr18:38851727-38851739(-) TAGAGGAAGTAC >mm10_chr18:38882284-38882295(-)::chr18:38882283-38882295(-) TGCAGGAAGACC >mm10_chr18:38888337-38888348(+)::chr18:38888336-38888348(+) aagaggaagtgg >mm10_chr18:38888337-38888348(+)::chr18:38888336-38888348(+) aagaggaagtgg >mm10_chr18:38897764-38897775(+)::chr18:38897763-38897775(+) GTAAGGACGTGA >mm10_chr18:38897840-38897851(+)::chr18:38897839-38897851(+) AGGAGGAAGAAC >mm10_chr18:38906521-38906532(+)::chr18:38906520-38906532(+) AGCAGGATGTGA >mm10_chr18:38906538-38906549(+)::chr18:38906537-38906549(+) GCCAGGAAGAAA >mm10_chr18:38911395-38911406(-)::chr18:38911394-38911406(-) gaCAGGAAGTAG >mm10_chr18:38923230-38923241(-)::chr18:38923229-38923241(-) AGGAGGAAATGC >mm10_chr18:38924485-38924496(-)::chr18:38924484-38924496(-) ccaaggaagggt >mm10_chr18:38924517-38924528(-)::chr18:38924516-38924528(-) AGAAGTAAGTGG >mm10_chr18:38928858-38928869(-)::chr18:38928857-38928869(-) TACAGGAAATTG >mm10_chr18:38929631-38929642(-)::chr18:38929630-38929642(-) ATAGGGAAGAAG >mm10_chr18:38944101-38944112(-)::chr18:38944100-38944112(-) ACACGGAAGGTT >mm10_chr18:38968138-38968149(-)::chr18:38968137-38968149(-) gaaaggaagggg >mm10_chr18:38968154-38968165(-)::chr18:38968153-38968165(-) AAaaggaagggg >mm10_chr18:38973497-38973508(+)::chr18:38973496-38973508(+) AGAAGGAAAAAG >mm10_chr18:38976725-38976736(-)::chr18:38976724-38976736(-) agcaggaagcag >mm10_chr18:38976732-38976743(-)::chr18:38976731-38976743(-) agcaggaagcag >mm10_chr18:38976739-38976750(-)::chr18:38976738-38976750(-) agcaggaagcag >mm10_chr18:38976746-38976757(-)::chr18:38976745-38976757(-) agcaggaagcag >mm10_chr18:38976753-38976764(-)::chr18:38976752-38976764(-) agcaggaagcag >mm10_chr18:38976760-38976771(-)::chr18:38976759-38976771(-) agcaggaagcag >mm10_chr18:38978181-38978192(-)::chr18:38978180-38978192(-) GTAAAGAAGTAA >mm10_chr18:39004661-39004672(+)::chr18:39004660-39004672(+) GAGAGGAAATAG >mm10_chr18:39004672-39004683(+)::chr18:39004671-39004683(+) GTAAGGAAACGA >mm10_chr18:39031312-39031323(-)::chr18:39031311-39031323(-) AGGAGGAAGCCA >mm10_chr18:39039198-39039209(+)::chr18:39039197-39039209(+) GGAAGGAACTCT >mm10_chr18:39039812-39039823(+)::chr18:39039811-39039823(+) ACAAGGAACTGG >mm10_chr18:39045664-39045675(+)::chr18:39045663-39045675(+) TTCAGGAAGTAT >mm10_chr18:39051285-39051296(+)::chr18:39051284-39051296(+) GGGAGGAAGGGC >mm10_chr18:39051304-39051315(+)::chr18:39051303-39051315(+) ATGAGGAAATAA >mm10_chr18:39066803-39066814(-)::chr18:39066802-39066814(-) AAAAGGAAGCAC >mm10_chr18:39066815-39066826(-)::chr18:39066814-39066826(-) AGGAGGAAGACG >mm10_chr18:39067339-39067350(+)::chr18:39067338-39067350(+) GAAAGGAAGTGA >mm10_chr18:39081674-39081685(-)::chr18:39081673-39081685(-) AGCAGGAAGCGG >mm10_chr18:39081913-39081924(-)::chr18:39081912-39081924(-) ataagaaagtca >mm10_chr18:39111857-39111868(+)::chr18:39111856-39111868(+) TGCAGGAAGTGC >mm10_chr18:39138043-39138054(-)::chr18:39138042-39138054(-) GGCAGGAAGGCA >mm10_chr18:39138096-39138107(+)::chr18:39138095-39138107(+) GGCAGGAAATGG >mm10_chr18:39140739-39140750(-)::chr18:39140738-39140750(-) ACAAGGAAACGC >mm10_chr18:39140753-39140764(+)::chr18:39140752-39140764(+) AATAGGAAGTGG >mm10_chr18:39141189-39141200(-)::chr18:39141188-39141200(-) gaaaggaagcac >mm10_chr18:39141240-39141251(-)::chr18:39141239-39141251(-) ATGAGGaaggag >mm10_chr18:39187828-39187839(-)::chr18:39187827-39187839(-) GAAGGGAAGTCC >mm10_chr18:39196722-39196733(+)::chr18:39196721-39196733(+) GCAAGGAAATTG >mm10_chr18:39197348-39197359(-)::chr18:39197347-39197359(-) AGGAGGAAATGG >mm10_chr18:39317696-39317707(-)::chr18:39317695-39317707(-) GAGAGGAAGGGa >mm10_chr18:39317712-39317723(-)::chr18:39317711-39317723(-) GGAAGGAAGTTA >mm10_chr18:39317716-39317727(-)::chr18:39317715-39317727(-) AAGAGGAAGGAA >mm10_chr18:39317746-39317757(-)::chr18:39317745-39317757(-) ATGAGGAAGGAG >mm10_chr18:39317769-39317780(-)::chr18:39317768-39317780(-) agaaggaaggag >mm10_chr18:39319387-39319398(+)::chr18:39319386-39319398(+) TGTAGGAAGAGA >mm10_chr18:39326063-39326074(+)::chr18:39326062-39326074(+) GGCAGGAAATAG >mm10_chr18:39326110-39326121(+)::chr18:39326109-39326121(+) AGGAGGAAATGA >mm10_chr18:39334341-39334352(-)::chr18:39334340-39334352(-) TACAGGAAGTTG >mm10_chr18:39334666-39334677(-)::chr18:39334665-39334677(-) ACAAGGTAGTGG >mm10_chr18:39334679-39334690(-)::chr18:39334678-39334690(-) TGGAGGAAGTGA >mm10_chr18:39336149-39336160(+)::chr18:39336148-39336160(+) aggaggaaggga >mm10_chr18:39336160-39336171(+)::chr18:39336159-39336171(+) aggaggaagagg >mm10_chr18:39336172-39336183(+)::chr18:39336171-39336183(+) aggaggaagaga >mm10_chr18:39369451-39369462(+)::chr18:39369450-39369462(+) GGGAGGAAGTTT >mm10_chr18:39376839-39376850(+)::chr18:39376838-39376850(+) AGGAGGAAGTTA >mm10_chr18:39446057-39446068(+)::chr18:39446056-39446068(+) AACCGGAAGTTC >mm10_chr18:39460928-39460939(+)::chr18:39460927-39460939(+) AAACGGAAATGA >mm10_chr18:39471260-39471271(+)::chr18:39471259-39471271(+) tgaaggaaggaa >mm10_chr18:39471264-39471275(+)::chr18:39471263-39471275(+) ggaaggaagggg >mm10_chr18:39471278-39471289(+)::chr18:39471277-39471289(+) agaaggaaggag >mm10_chr18:39543971-39543982(+)::chr18:39543970-39543982(+) AGGAGGAAGTGC >mm10_chr18:39544412-39544423(-)::chr18:39544411-39544423(-) ACAGGGAAGTTC >mm10_chr18:39553311-39553322(+)::chr18:39553310-39553322(+) AGCAGGAAGGAA >mm10_chr18:39558675-39558686(+)::chr18:39558674-39558686(+) ATGAGGAAGTGC >mm10_chr18:39592636-39592647(+)::chr18:39592635-39592647(+) aggaggaagagt >mm10_chr18:39592679-39592690(-)::chr18:39592678-39592690(-) agcaggaagctg >mm10_chr18:39593201-39593212(-)::chr18:39593200-39593212(-) GACAGGAAGAGA >mm10_chr18:39632908-39632919(+)::chr18:39632907-39632919(+) TAGAGGAAGAAA >mm10_chr18:39753693-39753704(-)::chr18:39753692-39753704(-) ACCAGGAAATGT >mm10_chr18:39851489-39851500(-)::chr18:39851488-39851500(-) aggaggaagaga >mm10_chr18:39851510-39851521(-)::chr18:39851509-39851521(-) aagaggaagaaa >mm10_chr18:39851516-39851527(-)::chr18:39851515-39851527(-) aggaggaagagg >mm10_chr18:39859031-39859042(+)::chr18:39859030-39859042(+) GACAGGAAGTTG >mm10_chr18:39859068-39859079(+)::chr18:39859067-39859079(+) AGAAGGAAGCTA >mm10_chr18:39866583-39866594(+)::chr18:39866582-39866594(+) AGGAGGAAGACT >mm10_chr18:39866595-39866606(+)::chr18:39866594-39866606(+) TTAAGGAAGGAA >mm10_chr18:39866882-39866893(+)::chr18:39866881-39866893(+) CAGAGGAAGTGC >mm10_chr18:39866899-39866910(+)::chr18:39866898-39866910(+) TGCAGGAAGTCC >mm10_chr18:39891045-39891056(-)::chr18:39891044-39891056(-) aagaggaagtac >mm10_chr18:39891065-39891076(-)::chr18:39891064-39891076(-) gcaaggaaggct >mm10_chr18:39902389-39902400(+)::chr18:39902388-39902400(+) AGAAGGAAATGC >mm10_chr18:39902414-39902425(-)::chr18:39902413-39902425(-) TCTAGGAAGTAT >mm10_chr18:39939455-39939466(+)::chr18:39939454-39939466(+) TGGAGGAAGTGG >mm10_chr18:40008038-40008049(+)::chr18:40008037-40008049(+) TGTAGGAAGGAA >mm10_chr18:40008042-40008053(+)::chr18:40008041-40008053(+) GGAAGGAAGTAA >mm10_chr18:40024364-40024375(+)::chr18:40024363-40024375(+) ACCAGGAAGTAA >mm10_chr18:40024368-40024379(+)::chr18:40024367-40024379(+) GGAAGTAAGTGA >mm10_chr18:40030706-40030717(+)::chr18:40030705-40030717(+) AGAAGGAAATGA >mm10_chr18:40030769-40030780(+)::chr18:40030768-40030780(+) ACAAGGAAGAAT >mm10_chr18:40044437-40044448(-)::chr18:40044436-40044448(-) GGAAGGAAATGA >mm10_chr18:40044469-40044480(+)::chr18:40044468-40044480(+) AGGAGGAAATCC >mm10_chr18:40048109-40048120(+)::chr18:40048108-40048120(+) aataggaagata >mm10_chr18:40177355-40177366(-)::chr18:40177354-40177366(-) aagaggaaggac >mm10_chr18:40208575-40208586(+)::chr18:40208574-40208586(+) AGCAGGAAGCCA >mm10_chr18:40246617-40246628(+)::chr18:40246616-40246628(+) tcaaggaaggaa >mm10_chr18:40246640-40246651(+)::chr18:40246639-40246651(+) atgaggaagttc >mm10_chr18:40519239-40519250(+)::chr18:40519238-40519250(+) agaaggaggtga >mm10_chr18:40519273-40519284(+)::chr18:40519272-40519284(+) acaaggaaggaa >mm10_chr18:40519277-40519288(+)::chr18:40519276-40519288(+) ggaaggaactgt >mm10_chr18:40630415-40630426(+)::chr18:40630414-40630426(+) CTAAGGAAGGGA >mm10_chr18:40630647-40630658(-)::chr18:40630646-40630658(-) ACCAGGAAGAGA >mm10_chr18:40643000-40643011(+)::chr18:40642999-40643011(+) ggcaggaagaga >mm10_chr18:40644942-40644953(+)::chr18:40644941-40644953(+) ACAGGGAAGTAG >mm10_chr18:40822981-40822992(-)::chr18:40822980-40822992(-) tgtaggaagtct >mm10_chr18:41012547-41012558(+)::chr18:41012546-41012558(+) TAAAGGAAGAGT >mm10_chr18:41317567-41317578(+)::chr18:41317566-41317578(+) ATAAGGAAATCA >mm10_chr18:41475926-41475937(-)::chr18:41475925-41475937(-) ACAAGGAAGTGC >mm10_chr18:41723686-41723697(+)::chr18:41723685-41723697(+) AAGAGGAAGGAA >mm10_chr18:41723690-41723701(+)::chr18:41723689-41723701(+) GGAAGGAAGCTG >mm10_chr18:42093397-42093408(-)::chr18:42093396-42093408(-) ATGAGGAAGTGG >mm10_chr18:42093415-42093426(-)::chr18:42093414-42093426(-) GTCAGGAAATAG >mm10_chr18:42134367-42134378(+)::chr18:42134366-42134378(+) ATAAGGAAGGAA >mm10_chr18:42134371-42134382(+)::chr18:42134370-42134382(+) GGAAGGAAGGGA >mm10_chr18:42134438-42134449(+)::chr18:42134437-42134449(+) ACAAGGAAGTGT >mm10_chr18:42146119-42146130(-)::chr18:42146118-42146130(-) GCCAGGAAGTGA >mm10_chr18:42146173-42146184(-)::chr18:42146172-42146184(-) AGGAGGAAGGTT >mm10_chr18:42158545-42158556(-)::chr18:42158544-42158556(-) TGCAGGAAGTGC >mm10_chr18:42158860-42158871(+)::chr18:42158859-42158871(+) ACCAGGAAGGTA >mm10_chr18:42229914-42229925(-)::chr18:42229913-42229925(-) ACAAGGAAATTG >mm10_chr18:42260571-42260582(+)::chr18:42260570-42260582(+) ggaaggaaggga >mm10_chr18:42260591-42260602(+)::chr18:42260590-42260602(+) aagaggaaggga >mm10_chr18:42260603-42260614(+)::chr18:42260602-42260614(+) aggaggaagaaa >mm10_chr18:42261036-42261047(+)::chr18:42261035-42261047(+) AGCAGGAAGAAA >mm10_chr18:42262228-42262239(+)::chr18:42262227-42262239(+) TACCGGAAGTTA >mm10_chr18:42275034-42275045(+)::chr18:42275033-42275045(+) ACCAGGAAGAGG >mm10_chr18:42275375-42275386(-)::chr18:42275374-42275386(-) AACAGGAACTAA >mm10_chr18:42281528-42281539(-)::chr18:42281527-42281539(-) AGAAGGAAGTCA >mm10_chr18:42281535-42281546(-)::chr18:42281534-42281546(-) ACCAGGAAGAAG >mm10_chr18:42302013-42302024(+)::chr18:42302012-42302024(+) CCAAGTAAGTAT >mm10_chr18:42470132-42470143(+)::chr18:42470131-42470143(+) ACGAGGCAGTGC >mm10_chr18:42505937-42505948(+)::chr18:42505936-42505948(+) ggcaggaagaca >mm10_chr18:42505989-42506000(+)::chr18:42505988-42506000(+) acaaggaagctt >mm10_chr18:42513444-42513455(+)::chr18:42513443-42513455(+) ATGAGGAAATAA >mm10_chr18:42561160-42561171(+)::chr18:42561159-42561171(+) TAAAGAAAGTAA >mm10_chr18:42561172-42561183(+)::chr18:42561171-42561183(+) GCAAGGAAATGA >mm10_chr18:42573972-42573983(-)::chr18:42573971-42573983(-) AGGAGGAAATGC >mm10_chr18:42584566-42584577(+)::chr18:42584565-42584577(+) agcaggaagagg >mm10_chr18:42584578-42584589(+)::chr18:42584577-42584589(+) gggaggaaggag >mm10_chr18:42584599-42584610(+)::chr18:42584598-42584610(+) aggaggaagaAA >mm10_chr18:42714808-42714819(+)::chr18:42714807-42714819(+) ATGAGGAAGTAG >mm10_chr18:42715169-42715180(-)::chr18:42715168-42715180(-) ACAAGGAAGGGT >mm10_chr18:42732759-42732770(-)::chr18:42732758-42732770(-) AGAaggaaaagt >mm10_chr18:42732773-42732784(-)::chr18:42732772-42732784(-) ATCAGGAAGCAG >mm10_chr18:42753281-42753292(+)::chr18:42753280-42753292(+) TCCAGGAAGTCT >mm10_chr18:42760391-42760402(+)::chr18:42760390-42760402(+) ATAAGGAAAACA >mm10_chr18:42760893-42760904(-)::chr18:42760892-42760904(-) AGCAGGAAGTGA >mm10_chr18:42909648-42909659(+)::chr18:42909647-42909659(+) aacaggaaggca >mm10_chr18:43250506-43250517(-)::chr18:43250505-43250517(-) GTTAGGAAATCG >mm10_chr18:43255667-43255678(+)::chr18:43255666-43255678(+) ggaaggaagtaa >mm10_chr18:43255695-43255706(+)::chr18:43255694-43255706(+) atcaggaagtca >mm10_chr18:43292531-43292542(-)::chr18:43292530-43292542(-) AGGAGGAAGTAA >mm10_chr18:43292578-43292589(+)::chr18:43292577-43292589(+) ATGAGGAAGAAA >mm10_chr18:43292582-43292593(+)::chr18:43292581-43292593(+) GGAAGAAAGTGA >mm10_chr18:43296019-43296030(+)::chr18:43296018-43296030(+) CCGAGGAAGGCA >mm10_chr18:43374785-43374796(+)::chr18:43374784-43374796(+) aggaggaagtgg >mm10_chr18:43587002-43587013(+)::chr18:43587001-43587013(+) GAAAGGAAGAAT >mm10_chr18:43650366-43650377(+)::chr18:43650365-43650377(+) ATAAGGAAACGT >mm10_chr18:43706463-43706474(+)::chr18:43706462-43706474(+) gtaaggaagttg >mm10_chr18:43708087-43708098(-)::chr18:43708086-43708098(-) ATGAGGAAGACG >mm10_chr18:43725103-43725114(-)::chr18:43725102-43725114(-) ACAAGGAAATTA >mm10_chr18:43857980-43857991(+)::chr18:43857979-43857991(+) TGGAGGAAGTGT >mm10_chr18:43858015-43858026(+)::chr18:43858014-43858026(+) TTAAGGAAATGT >mm10_chr18:43983367-43983378(-)::chr18:43983366-43983378(-) ATAAGGAAGGGC >mm10_chr18:44110427-44110438(-)::chr18:44110426-44110438(-) TACAGGAAGCAA >mm10_chr18:44311257-44311268(+)::chr18:44311256-44311268(+) AGCAGGATGTAG >mm10_chr18:44344728-44344739(+)::chr18:44344727-44344739(+) ACAAGGAAATCC >mm10_chr18:44344772-44344783(+)::chr18:44344771-44344783(+) TTAAGGAAGTAT >mm10_chr18:44380342-44380353(+)::chr18:44380341-44380353(+) GGCGGGAAGTTG >mm10_chr18:44380362-44380373(+)::chr18:44380361-44380373(+) AGCAGGAACTAG >mm10_chr18:44387291-44387302(-)::chr18:44387290-44387302(-) AGCAGGAAGCAG >mm10_chr18:44392904-44392915(+)::chr18:44392903-44392915(+) TCTAGGAAGTTC >mm10_chr18:44393478-44393489(+)::chr18:44393477-44393489(+) AGAAGGAAGTAG >mm10_chr18:44428485-44428496(+)::chr18:44428484-44428496(+) AGAAGGAAGAGC >mm10_chr18:44502257-44502268(-)::chr18:44502256-44502268(-) GGAAGGAACGTG >mm10_chr18:44502261-44502272(-)::chr18:44502260-44502272(-) AACAGGAAGGAA >mm10_chr18:44552335-44552346(+)::chr18:44552334-44552346(+) aagaggaagagg >mm10_chr18:44552363-44552374(+)::chr18:44552362-44552374(+) tgaaggaagaga >mm10_chr18:44552392-44552403(+)::chr18:44552391-44552403(+) gggaggaaggag >mm10_chr18:44552407-44552418(+)::chr18:44552406-44552418(+) aaaaggaagaag >mm10_chr18:44561901-44561912(+)::chr18:44561900-44561912(+) ACCAGGAAGGAC >mm10_chr18:44573574-44573585(+)::chr18:44573573-44573585(+) AAAAGGAAGCAT >mm10_chr18:44573588-44573599(+)::chr18:44573587-44573599(+) GGGAGGAAGCAG >mm10_chr18:44586572-44586583(+)::chr18:44586571-44586583(+) GAGAGGAAGTAG >mm10_chr18:44600770-44600781(-)::chr18:44600769-44600781(-) aggaggaagtcc >mm10_chr18:44601787-44601798(-)::chr18:44601786-44601798(-) AAGAGGAAGGCG >mm10_chr18:44604109-44604120(-)::chr18:44604108-44604120(-) tgcaggaagtgt >mm10_chr18:44604158-44604169(-)::chr18:44604157-44604169(-) tggaggaagtat >mm10_chr18:44610091-44610102(+)::chr18:44610090-44610102(+) TGAAGGAAATAC >mm10_chr18:44611975-44611986(+)::chr18:44611974-44611986(+) AAAAGGAAGCAG >mm10_chr18:44612015-44612026(+)::chr18:44612014-44612026(+) CCAAGGAAATGA >mm10_chr18:44617664-44617675(+)::chr18:44617663-44617675(+) AACAGGAACTAG >mm10_chr18:44618462-44618473(-)::chr18:44618461-44618473(-) GACAGGAAGTAT >mm10_chr18:44618481-44618492(+)::chr18:44618480-44618492(+) AATAGGAAGATC >mm10_chr18:44618522-44618533(-)::chr18:44618521-44618533(-) TGAAGGAAGCAG >mm10_chr18:44630266-44630277(-)::chr18:44630265-44630277(-) AAGGGGAAGTAT >mm10_chr18:44630287-44630298(-)::chr18:44630286-44630298(-) AGCAGGAAATAG >mm10_chr18:44631475-44631486(+)::chr18:44631474-44631486(+) aaaaggaagaca >mm10_chr18:44631817-44631828(+)::chr18:44631816-44631828(+) AGGAGGAAGGAG >mm10_chr18:44631832-44631843(+)::chr18:44631831-44631843(+) GGGAGGAAGAGA >mm10_chr18:44631850-44631861(+)::chr18:44631849-44631861(+) TTCAGGAAGTGA >mm10_chr18:44643438-44643449(-)::chr18:44643437-44643449(-) tacaggaaggga >mm10_chr18:44655278-44655289(+)::chr18:44655277-44655289(+) AGGAGGAAGTCT >mm10_chr18:44655285-44655296(-)::chr18:44655284-44655296(-) ACAAGGAAGACT >mm10_chr18:44657227-44657238(+)::chr18:44657226-44657238(+) ATACGGAAGAGA >mm10_chr18:44661190-44661201(-)::chr18:44661189-44661201(-) GGGAGGAAGCAC >mm10_chr18:44663389-44663400(-)::chr18:44663388-44663400(-) TTCAGGAAGCGA >mm10_chr18:44665484-44665495(+)::chr18:44665483-44665495(+) AGGAGGAAATGC >mm10_chr18:44669216-44669227(+)::chr18:44669215-44669227(+) ACAAAGAAGTAA >mm10_chr18:44671021-44671032(-)::chr18:44671020-44671032(-) AACAGGAAATTG >mm10_chr18:44714627-44714638(+)::chr18:44714626-44714638(+) ACAAGGAAGAAA >mm10_chr18:44725061-44725072(+)::chr18:44725060-44725072(+) AGAAGGAAAGAA >mm10_chr18:44725107-44725118(-)::chr18:44725106-44725118(-) ACTAGGAAGTCC >mm10_chr18:44732931-44732942(-)::chr18:44732930-44732942(-) ACAGGGAAGTCT >mm10_chr18:44732977-44732988(+)::chr18:44732976-44732988(+) AGCAGGAAGGTG >mm10_chr18:44734644-44734655(-)::chr18:44734643-44734655(-) aaaaggaaggaa >mm10_chr18:44785280-44785291(+)::chr18:44785279-44785291(+) TCAAGGAACTGA >mm10_chr18:44785300-44785311(+)::chr18:44785299-44785311(+) CTAAGGAAGGGA >mm10_chr18:44795079-44795090(-)::chr18:44795078-44795090(-) AGAAGGAAGGGT >mm10_chr18:44810447-44810458(+)::chr18:44810446-44810458(+) GAAAGGAAGGAG >mm10_chr18:44810454-44810465(+)::chr18:44810453-44810465(+) AGGAGGAAGCTG >mm10_chr18:44877125-44877136(+)::chr18:44877124-44877136(+) aacaggaagtta >mm10_chr18:44969001-44969012(-)::chr18:44969000-44969012(-) AACAGGAAGCAG >mm10_chr18:44969019-44969030(-)::chr18:44969018-44969030(-) gggaggaagagC >mm10_chr18:44969065-44969076(-)::chr18:44969064-44969076(-) tggaggaagagg >mm10_chr18:44974090-44974101(-)::chr18:44974089-44974101(-) ttaaggaaatgc >mm10_chr18:45128874-45128885(-)::chr18:45128873-45128885(-) acaaggaaaaga >mm10_chr18:45128889-45128900(+)::chr18:45128888-45128900(+) aagaggaaggaa >mm10_chr18:45251454-45251465(+)::chr18:45251453-45251465(+) agaaggaaacat >mm10_chr18:45497360-45497371(+)::chr18:45497359-45497371(+) ACAAGGAAGGAA >mm10_chr18:45497364-45497375(+)::chr18:45497363-45497375(+) GGAAGGAAGAAA >mm10_chr18:45501821-45501832(-)::chr18:45501820-45501832(-) AGGAGGAAGAGC >mm10_chr18:45502204-45502215(-)::chr18:45502203-45502215(-) AGCAGGAAGAGA >mm10_chr18:45615095-45615106(-)::chr18:45615094-45615106(-) atcaggaaggat >mm10_chr18:45615120-45615131(+)::chr18:45615119-45615131(+) ACAAGGAAGATG >mm10_chr18:45659581-45659592(-)::chr18:45659580-45659592(-) AGAAGGAAATGA >mm10_chr18:45660738-45660749(-)::chr18:45660737-45660749(-) ACAAGGAAGTAT >mm10_chr18:45678476-45678487(-)::chr18:45678475-45678487(-) TCAAGGAAGCAA >mm10_chr18:45678523-45678534(-)::chr18:45678522-45678534(-) GAAAGAAAGTAC >mm10_chr18:45680166-45680177(-)::chr18:45680165-45680177(-) AATAGGAAATAA >mm10_chr18:45680225-45680236(-)::chr18:45680224-45680236(-) AGAAGGAAGAAC >mm10_chr18:45881736-45881747(-)::chr18:45881735-45881747(-) AAGAGGAAGTGT >mm10_chr18:45881742-45881753(-)::chr18:45881741-45881753(-) GTAAGGAAGAGG >mm10_chr18:46132028-46132039(-)::chr18:46132027-46132039(-) ACAAGGAAACAA >mm10_chr18:46139179-46139190(-)::chr18:46139178-46139190(-) TTAAGGAAGTCA >mm10_chr18:46142137-46142148(+)::chr18:46142136-46142148(+) CACAGGAAGTCC >mm10_chr18:46152582-46152593(-)::chr18:46152581-46152593(-) aagagGAAGAGC >mm10_chr18:46185021-46185032(+)::chr18:46185020-46185032(+) aagaggaaataa >mm10_chr18:46209628-46209639(+)::chr18:46209627-46209639(+) GGAAGGAAGCCA >mm10_chr18:46235255-46235266(-)::chr18:46235254-46235266(-) ACTAGGAAGATA >mm10_chr18:46235276-46235287(-)::chr18:46235275-46235287(-) ACAAGGATGTGC >mm10_chr18:46280371-46280382(+)::chr18:46280370-46280382(+) GAAAGGAAGCTT >mm10_chr18:46280755-46280766(+)::chr18:46280754-46280766(+) CGCAGGAAATCC >mm10_chr18:46328617-46328628(-)::chr18:46328616-46328628(-) ATAAGGAAGTTG >mm10_chr18:46360034-46360045(-)::chr18:46360033-46360045(-) AGCAGGAAGCTC >mm10_chr18:46360854-46360865(+)::chr18:46360853-46360865(+) TCAAGgaagagc >mm10_chr18:46372724-46372735(+)::chr18:46372723-46372735(+) GAGAGGAAGAGG >mm10_chr18:46372730-46372741(+)::chr18:46372729-46372741(+) AAGAGGAAGAAG >mm10_chr18:46372737-46372748(+)::chr18:46372736-46372748(+) AGAAGGAAGAAG >mm10_chr18:46372744-46372755(+)::chr18:46372743-46372755(+) AGAAGGAAGGAA >mm10_chr18:46372748-46372759(+)::chr18:46372747-46372759(+) GGAAGGAAGGGT >mm10_chr18:46380851-46380862(+)::chr18:46380850-46380862(+) aggaggaagtga >mm10_chr18:46452366-46452377(+)::chr18:46452365-46452377(+) ACGAGGAAGTGT >mm10_chr18:46456984-46456995(+)::chr18:46456983-46456995(+) AGAAGGAAACAG >mm10_chr18:46496287-46496298(+)::chr18:46496286-46496298(+) GAAAGGAAGAGA >mm10_chr18:46496343-46496354(-)::chr18:46496342-46496354(-) ACAAGGAAGCAT >mm10_chr18:46553809-46553820(-)::chr18:46553808-46553820(-) AAGAGGAAGTTT >mm10_chr18:46559965-46559976(+)::chr18:46559964-46559976(+) AGCAGGAAGGGA >mm10_chr18:46559970-46559981(+)::chr18:46559969-46559981(+) GAAGGGAAGTGG >mm10_chr18:46597486-46597497(+)::chr18:46597485-46597497(+) CGGAGGAAGAGG >mm10_chr18:46597505-46597516(+)::chr18:46597504-46597516(+) GACCGGAAGTAC >mm10_chr18:46597528-46597539(+)::chr18:46597527-46597539(+) ACGCGGAAGGGG >mm10_chr18:46621662-46621673(-)::chr18:46621661-46621673(-) TACAGGAAATGC >mm10_chr18:46655584-46655595(+)::chr18:46655583-46655595(+) ATGAGGAAATGC >mm10_chr18:46721936-46721947(+)::chr18:46721935-46721947(+) ACAAGGAAACAA >mm10_chr18:46721976-46721987(-)::chr18:46721975-46721987(-) ACCAGGAAGGGA >mm10_chr18:46723363-46723374(-)::chr18:46723362-46723374(-) TCAAGGAAATGG >mm10_chr18:46742552-46742563(+)::chr18:46742551-46742563(+) ATACGGAAGCAT >mm10_chr18:46742577-46742588(-)::chr18:46742576-46742588(-) ACCGGGAAGTCA >mm10_chr18:46859494-46859505(+)::chr18:46859493-46859505(+) GGAAGGAATTTA >mm10_chr18:46937024-46937035(+)::chr18:46937023-46937035(+) AACAGGAAATGA >mm10_chr18:47149486-47149497(+)::chr18:47149485-47149497(+) ATAAGGAAGGAT >mm10_chr18:47150459-47150470(-)::chr18:47150458-47150470(-) AGGAGGAAGCAT >mm10_chr18:47159230-47159241(+)::chr18:47159229-47159241(+) AGGAGGAAGTAG >mm10_chr18:47159258-47159269(+)::chr18:47159257-47159269(+) TCCAGGAAGTGA >mm10_chr18:47165017-47165028(+)::chr18:47165016-47165028(+) AGGAGGAAGGAA >mm10_chr18:47165021-47165032(+)::chr18:47165020-47165032(+) GGAAGGAAGTAC >mm10_chr18:47180044-47180055(+)::chr18:47180043-47180055(+) AGGAGGAAGAGG >mm10_chr18:47180110-47180121(+)::chr18:47180109-47180121(+) GGCAGGAAATTG >mm10_chr18:47180131-47180142(-)::chr18:47180130-47180142(-) AGGAGGAAGAGA >mm10_chr18:47219551-47219562(+)::chr18:47219550-47219562(+) ACAGGGAAGTGG >mm10_chr18:47220130-47220141(+)::chr18:47220129-47220141(+) ATGAGGAAGAGG >mm10_chr18:47220150-47220161(-)::chr18:47220149-47220161(-) CCAAGGAAGGAA >mm10_chr18:47230864-47230875(+)::chr18:47230863-47230875(+) GACAGGAAGGGA >mm10_chr18:47276729-47276740(-)::chr18:47276728-47276740(-) AGCAGGAAGTGA >mm10_chr18:47276752-47276763(-)::chr18:47276751-47276763(-) AGGAGGAAGCAC >mm10_chr18:47290224-47290235(-)::chr18:47290223-47290235(-) AGAAGGAAATGA >mm10_chr18:47324827-47324838(-)::chr18:47324826-47324838(-) ggcaggaactcg >mm10_chr18:47371188-47371199(+)::chr18:47371187-47371199(+) ATCAGGAAGAGC >mm10_chr18:47376383-47376394(-)::chr18:47376382-47376394(-) ACTAGGAAATGA >mm10_chr18:47405315-47405326(+)::chr18:47405314-47405326(+) aggaggaagagg >mm10_chr18:47405321-47405332(+)::chr18:47405320-47405332(+) aagaggaagagg >mm10_chr18:47405327-47405338(+)::chr18:47405326-47405338(+) aagaggaagagg >mm10_chr18:47405333-47405344(+)::chr18:47405332-47405344(+) aagaggaagagc >mm10_chr18:47439494-47439505(+)::chr18:47439493-47439505(+) GCGAGGAAGTCT >mm10_chr18:47439501-47439512(-)::chr18:47439500-47439512(-) ACACGGAAGACT >mm10_chr18:47439517-47439528(-)::chr18:47439516-47439528(-) TCCAGGAAGGTA >mm10_chr18:47472727-47472738(-)::chr18:47472726-47472738(-) TCAAGGAAGGAA >mm10_chr18:47857535-47857546(+)::chr18:47857534-47857546(+) AAAAGGAAGTAA >mm10_chr18:47931695-47931706(-)::chr18:47931694-47931706(-) GCCAGGAAATAC >mm10_chr18:47931710-47931721(-)::chr18:47931709-47931721(-) GCAAGGAAGTCT >mm10_chr18:47931730-47931741(-)::chr18:47931729-47931741(-) CTGAGGAAGTGC >mm10_chr18:47931761-47931772(+)::chr18:47931760-47931772(+) TGAAGGAACCGT >mm10_chr18:47944859-47944870(-)::chr18:47944858-47944870(-) CCAAGGAAATCA >mm10_chr18:47944882-47944893(-)::chr18:47944881-47944893(-) GGAAGGATGTTG >mm10_chr18:47974981-47974992(-)::chr18:47974980-47974992(-) tggaggaaatac >mm10_chr18:47975042-47975053(-)::chr18:47975041-47975053(-) agaaggaagacc >mm10_chr18:48037213-48037224(+)::chr18:48037212-48037224(+) GCAAGGAAATGC >mm10_chr18:48037224-48037235(-)::chr18:48037223-48037235(-) ATCAGGAAATTG >mm10_chr18:48603623-48603634(-)::chr18:48603622-48603634(-) GCAAGGAAGGAA >mm10_chr18:48873305-48873316(-)::chr18:48873304-48873316(-) AAAAGGAAGTTT >mm10_chr18:48873322-48873333(+)::chr18:48873321-48873333(+) AACAGGAAGAAA >mm10_chr18:49270075-49270086(-)::chr18:49270074-49270086(-) agcaggaagttc >mm10_chr18:49270108-49270119(-)::chr18:49270107-49270119(-) agtaggaagagg >mm10_chr18:49488551-49488562(+)::chr18:49488550-49488562(+) GAAAGGAAGTCG >mm10_chr18:49501245-49501256(-)::chr18:49501244-49501256(-) AAAAGGAAATCG >mm10_chr18:49551003-49551014(+)::chr18:49551002-49551014(+) tgcaggaagcgg >mm10_chr18:49642252-49642263(-)::chr18:49642251-49642263(-) AGCAGGAAATGG >mm10_chr18:49642340-49642351(+)::chr18:49642339-49642351(+) GAAAGGAAATGA >mm10_chr18:49747199-49747210(+)::chr18:49747198-49747210(+) TTAAGGAAATCC >mm10_chr18:49747228-49747239(+)::chr18:49747227-49747239(+) aggaggaagaga >mm10_chr18:49747240-49747251(+)::chr18:49747239-49747251(+) agcaggaagaga >mm10_chr18:49818760-49818771(+)::chr18:49818759-49818771(+) AAGAGGAAGGGT >mm10_chr18:49825086-49825097(+)::chr18:49825085-49825097(+) ctaaggaagcag >mm10_chr18:49847987-49847998(-)::chr18:49847986-49847998(-) ggaaggaagaag >mm10_chr18:49847991-49848002(-)::chr18:49847990-49848002(-) aggaggaaggaa >mm10_chr18:49848006-49848017(-)::chr18:49848005-49848017(-) gggaggaagaaa >mm10_chr18:49848017-49848028(-)::chr18:49848016-49848028(-) gggaggaagaag >mm10_chr18:49848029-49848040(-)::chr18:49848028-49848040(-) aagaggaaggga >mm10_chr18:49848036-49848047(-)::chr18:49848035-49848047(-) agaaggaaagag >mm10_chr18:49850493-49850504(+)::chr18:49850492-49850504(+) TAGAGGAAGTTG >mm10_chr18:49945644-49945655(-)::chr18:49945643-49945655(-) TAAAGGAAAATA >mm10_chr18:49945703-49945714(+)::chr18:49945702-49945714(+) ACGAGGAAGTAT >mm10_chr18:49985423-49985434(+)::chr18:49985422-49985434(+) GCAGGGAAGTCC >mm10_chr18:50000397-50000408(-)::chr18:50000396-50000408(-) GGGAGGAAGCAA >mm10_chr18:50019912-50019923(+)::chr18:50019911-50019923(+) GTAAGGAAGTAC >mm10_chr18:50038527-50038538(-)::chr18:50038526-50038538(-) AACAGGAAATAC >mm10_chr18:50062792-50062803(+)::chr18:50062791-50062803(+) TTAAGGAAGCCT >mm10_chr18:50067761-50067772(-)::chr18:50067760-50067772(-) ATAAGGAAAGCA >mm10_chr18:50067790-50067801(+)::chr18:50067789-50067801(+) ACACGGAAGCAG >mm10_chr18:50097775-50097786(-)::chr18:50097774-50097786(-) GGCAGGAAATAA >mm10_chr18:50125319-50125330(-)::chr18:50125318-50125330(-) ATAAGGAAATGC >mm10_chr18:50152009-50152020(-)::chr18:50152008-50152020(-) gacaggaagtac >mm10_chr18:50152026-50152037(-)::chr18:50152025-50152037(-) gacaggaagtag >mm10_chr18:50203139-50203150(-)::chr18:50203138-50203150(-) ATAAGGAAAGAG >mm10_chr18:50472370-50472381(+)::chr18:50472369-50472381(+) aaaatgaagtag >mm10_chr18:51082035-51082046(+)::chr18:51082034-51082046(+) acaaggaaatac >mm10_chr18:51296321-51296332(+)::chr18:51296320-51296332(+) agaaggaagcca >mm10_chr18:51301692-51301703(+)::chr18:51301691-51301703(+) ggcaggaagcca >mm10_chr18:51476708-51476719(+)::chr18:51476707-51476719(+) TGCAGGAAGTTG >mm10_chr18:51476726-51476737(+)::chr18:51476725-51476737(+) ACCAGGAACTAG >mm10_chr18:51476753-51476764(+)::chr18:51476752-51476764(+) TACCGGAAGTAG >mm10_chr18:51476783-51476794(+)::chr18:51476782-51476794(+) TAAGGGAAGTTC >mm10_chr18:51574814-51574825(+)::chr18:51574813-51574825(+) GAGAGGAAGTAG >mm10_chr18:51883505-51883516(+)::chr18:51883504-51883516(+) ATAAGGAAGAGG >mm10_chr18:51883550-51883561(+)::chr18:51883549-51883561(+) AGAAGGAAGTTT >mm10_chr18:51908900-51908911(-)::chr18:51908899-51908911(-) agaaggaagtag >mm10_chr18:51911236-51911247(+)::chr18:51911235-51911247(+) ACAAGGAAGTCA >mm10_chr18:51946399-51946410(+)::chr18:51946398-51946410(+) aaaaggaagaag >mm10_chr18:52056246-52056257(+)::chr18:52056245-52056257(+) tacaggaaatgg >mm10_chr18:52085803-52085814(+)::chr18:52085802-52085814(+) gacaggaagagg >mm10_chr18:52085854-52085865(+)::chr18:52085853-52085865(+) ataaggatgtgg >mm10_chr18:52085867-52085878(+)::chr18:52085866-52085878(+) agaaggaagctc >mm10_chr18:52164994-52165005(-)::chr18:52164993-52165005(-) TTAAGGAAGTAC >mm10_chr18:52586930-52586941(-)::chr18:52586929-52586941(-) AGAAAGAAGTCA >mm10_chr18:52754098-52754109(+)::chr18:52754097-52754109(+) TCAAGGAAGAGC >mm10_chr18:52810079-52810090(+)::chr18:52810078-52810090(+) GTCAGGAAATAC >mm10_chr18:52828760-52828771(-)::chr18:52828759-52828771(-) aagaggaagaag >mm10_chr18:52828766-52828777(-)::chr18:52828765-52828777(-) agaaggaagagg >mm10_chr18:52828776-52828787(-)::chr18:52828775-52828787(-) aagaggaagaag >mm10_chr18:52828818-52828829(-)::chr18:52828817-52828829(-) aggaggaaggga >mm10_chr18:52828825-52828836(-)::chr18:52828824-52828836(-) aagaggaaggag >mm10_chr18:52828831-52828842(-)::chr18:52828830-52828842(-) aggaggaagagg >mm10_chr18:52828844-52828855(-)::chr18:52828843-52828855(-) aagaggaagaaa >mm10_chr18:52909978-52909989(-)::chr18:52909977-52909989(-) ACCAGGAAGACA >mm10_chr18:52910019-52910030(+)::chr18:52910018-52910030(+) ACAAGGAAGCAC >mm10_chr18:52962323-52962334(+)::chr18:52962322-52962334(+) AGCCGGAAGTAG >mm10_chr18:53175934-53175945(+)::chr18:53175933-53175945(+) tacaggaagaga >mm10_chr18:53215799-53215810(-)::chr18:53215798-53215810(-) AACAGGAAGTGA >mm10_chr18:53229421-53229432(+)::chr18:53229420-53229432(+) ACGGGGAAGTTG >mm10_chr18:53229478-53229489(-)::chr18:53229477-53229489(-) AGAAGGAAACTA >mm10_chr18:53250342-53250353(+)::chr18:53250341-53250353(+) GGAAGGAAGTAA >mm10_chr18:53647793-53647804(+)::chr18:53647792-53647804(+) aggaggaagagg >mm10_chr18:53647799-53647810(+)::chr18:53647798-53647810(+) aagaggaagagg >mm10_chr18:53647805-53647816(+)::chr18:53647804-53647816(+) aagaggaagagg >mm10_chr18:53647823-53647834(+)::chr18:53647822-53647834(+) aggaggaagagg >mm10_chr18:53706265-53706276(+)::chr18:53706264-53706276(+) ACAAGGAAAGAA >mm10_chr18:53744552-53744563(-)::chr18:53744551-53744563(-) AGGCGGAAGCGG >mm10_chr18:53744817-53744828(-)::chr18:53744816-53744828(-) ACCAGGAAGCGT >mm10_chr18:53868997-53869008(+)::chr18:53868996-53869008(+) CTGAGGAAGTAA >mm10_chr18:54364556-54364567(+)::chr18:54364555-54364567(+) AGAAGGAAAAAT >mm10_chr18:54482652-54482663(-)::chr18:54482651-54482663(-) AACAGGAAGTCT >mm10_chr18:54545035-54545046(-)::chr18:54545034-54545046(-) aggaggaagATT >mm10_chr18:54545045-54545056(-)::chr18:54545044-54545056(-) aggaggaagtag >mm10_chr18:54545055-54545066(-)::chr18:54545054-54545066(-) aggaggaagtag >mm10_chr18:54545065-54545076(-)::chr18:54545064-54545076(-) aggaggaagtag >mm10_chr18:54604023-54604034(-)::chr18:54604022-54604034(-) TGAAGGGAGTCG >mm10_chr18:54604060-54604071(+)::chr18:54604059-54604071(+) GTGAGGAAGTTA >mm10_chr18:54710434-54710445(-)::chr18:54710433-54710445(-) GCCAGGAAGTCA >mm10_chr18:54722110-54722121(-)::chr18:54722109-54722121(-) Tggaggaagggg >mm10_chr18:54784590-54784601(-)::chr18:54784589-54784601(-) AGTAGGAAGAAT >mm10_chr18:54785604-54785615(-)::chr18:54785603-54785615(-) AGCCGGAAGTTA >mm10_chr18:54839209-54839220(+)::chr18:54839208-54839220(+) accaggaagcaa >mm10_chr18:54847158-54847169(-)::chr18:54847157-54847169(-) ACAAGGAAGTCT >mm10_chr18:54876679-54876690(-)::chr18:54876678-54876690(-) cgagggaagaat >mm10_chr18:54877813-54877824(+)::chr18:54877812-54877824(+) AGGAGGAAGCCA >mm10_chr18:54902736-54902747(-)::chr18:54902735-54902747(-) ATGAGGAAGTTG >mm10_chr18:54921928-54921939(-)::chr18:54921927-54921939(-) AGTAGGAAGGTG >mm10_chr18:54937566-54937577(-)::chr18:54937565-54937577(-) AAGAGGAAATAA >mm10_chr18:54937580-54937591(+)::chr18:54937579-54937591(+) CCAAGGAAATAC >mm10_chr18:54937860-54937871(-)::chr18:54937859-54937871(-) GCAAGGAAGTGA >mm10_chr18:54961590-54961601(-)::chr18:54961589-54961601(-) AAGAGGAAGAAG >mm10_chr18:54961612-54961623(+)::chr18:54961611-54961623(+) AGGAGGAAGTCA >mm10_chr18:54970916-54970927(+)::chr18:54970915-54970927(+) AAGAGGAAGAAA >mm10_chr18:54970937-54970948(+)::chr18:54970936-54970948(+) AGGAGGAAGAAA >mm10_chr18:55010410-55010421(+)::chr18:55010409-55010421(+) AGCAGGAAGACT >mm10_chr18:55050251-55050262(-)::chr18:55050250-55050262(-) AGAAGGAAGGAA >mm10_chr18:55055806-55055817(-)::chr18:55055805-55055817(-) agtaggaagtga >mm10_chr18:55055813-55055824(-)::chr18:55055812-55055824(-) ctcaggaagtag >mm10_chr18:55095930-55095941(-)::chr18:55095929-55095941(-) AGTAGGAAATAA >mm10_chr18:55095937-55095948(-)::chr18:55095936-55095948(-) AGACGGAAGTAG >mm10_chr18:55095978-55095989(-)::chr18:55095977-55095989(-) TACAGGAAGACA >mm10_chr18:55099802-55099813(+)::chr18:55099801-55099813(+) AGCAGGAAGTCC >mm10_chr18:55099810-55099821(-)::chr18:55099809-55099821(-) ACAAGGAAGGAC >mm10_chr18:55133620-55133631(-)::chr18:55133619-55133631(-) AATAGGAAGAGG >mm10_chr18:55133645-55133656(+)::chr18:55133644-55133656(+) TGCAGGAAGAAG >mm10_chr18:55133652-55133663(+)::chr18:55133651-55133663(+) AGAAGGAAGGCA >mm10_chr18:55133978-55133989(-)::chr18:55133977-55133989(-) GGAAGGAAATAG >mm10_chr18:55146869-55146880(-)::chr18:55146868-55146880(-) GGACGGAAGCAG >mm10_chr18:55146904-55146915(+)::chr18:55146903-55146915(+) ACAAGGAAGATG >mm10_chr18:55171294-55171305(-)::chr18:55171293-55171305(-) GCCAGGAAGTGA >mm10_chr18:55176175-55176186(+)::chr18:55176174-55176186(+) agaaggaaggct >mm10_chr18:55176224-55176235(+)::chr18:55176223-55176235(+) gacaggaagtcc >mm10_chr18:55185186-55185197(+)::chr18:55185185-55185197(+) ATAAGGAAGTTG >mm10_chr18:55203438-55203449(-)::chr18:55203437-55203449(-) GACAGGAAGTGG >mm10_chr18:55203490-55203501(-)::chr18:55203489-55203501(-) AACAGGAAGTAA >mm10_chr18:55203502-55203513(-)::chr18:55203501-55203513(-) ACAAGGAAGACC >mm10_chr18:55289435-55289446(-)::chr18:55289434-55289446(-) TGCAGGAAGTGC >mm10_chr18:55289452-55289463(-)::chr18:55289451-55289463(-) ACAAGGAAAGGA >mm10_chr18:55289463-55289474(-)::chr18:55289462-55289474(-) AGAAGGAAGGAA >mm10_chr18:55289486-55289497(-)::chr18:55289485-55289497(-) ACCAGGAAGCAG >mm10_chr18:55389756-55389767(+)::chr18:55389755-55389767(+) ACAAGGAAGTGG >mm10_chr18:55409429-55409440(+)::chr18:55409428-55409440(+) AACAGGAAGTTC >mm10_chr18:55453656-55453667(-)::chr18:55453655-55453667(-) CTAAGGAAATGT >mm10_chr18:55470599-55470610(-)::chr18:55470598-55470610(-) ATCAGGAAGATC >mm10_chr18:55470662-55470673(-)::chr18:55470661-55470673(-) AACAGGAAGTCT >mm10_chr18:55548583-55548594(+)::chr18:55548582-55548594(+) TGCAGGAAGAGA >mm10_chr18:55549001-55549012(-)::chr18:55549000-55549012(-) AAAAGGAAGAGG >mm10_chr18:55614178-55614189(+)::chr18:55614177-55614189(+) CCAAGGAAGAAA >mm10_chr18:55694896-55694907(-)::chr18:55694895-55694907(-) ATCAGGAAGAAA >mm10_chr18:55910316-55910327(+)::chr18:55910315-55910327(+) GACAGGAAGAAG >mm10_chr18:55929147-55929158(+)::chr18:55929146-55929158(+) ATGAGGAAGTGC >mm10_chr18:55931169-55931180(-)::chr18:55931168-55931180(-) gccaggaaatgt >mm10_chr18:56178642-56178653(+)::chr18:56178641-56178653(+) GGAAGAAAGTGA >mm10_chr18:56439963-56439974(+)::chr18:56439962-56439974(+) AAGAGGAAGTGA >mm10_chr18:56558942-56558953(+)::chr18:56558941-56558953(+) AGGAGGAAGAGA >mm10_chr18:56573031-56573042(+)::chr18:56573030-56573042(+) CGCGGGAAGATG >mm10_chr18:56687908-56687919(-)::chr18:56687907-56687919(-) TGCAGGAAGTAA >mm10_chr18:56688623-56688634(+)::chr18:56688622-56688634(+) AGCAGGAAGAGG >mm10_chr18:56716244-56716255(-)::chr18:56716243-56716255(-) CAAAGGAAGCGA >mm10_chr18:56745043-56745054(-)::chr18:56745042-56745054(-) TCAAGGAAGAAG >mm10_chr18:56745052-56745063(+)::chr18:56745051-56745063(+) TGAAGGATGTAC >mm10_chr18:56745104-56745115(+)::chr18:56745103-56745115(+) agaagcaagtgc >mm10_chr18:56755004-56755015(-)::chr18:56755003-56755015(-) TCAGGGAAGTGG >mm10_chr18:56756287-56756298(-)::chr18:56756286-56756298(-) AGGAGGAAGTGG >mm10_chr18:56820001-56820012(-)::chr18:56820000-56820012(-) ATTAGGAAGTTC >mm10_chr18:56820066-56820077(+)::chr18:56820065-56820077(+) TTGAGGAAGTTG >mm10_chr18:56907772-56907783(-)::chr18:56907771-56907783(-) AGAAGGAAGGCT >mm10_chr18:56907788-56907799(+)::chr18:56907787-56907799(+) TACAGGAAGGAA >mm10_chr18:56907792-56907803(+)::chr18:56907791-56907803(+) GGAAGGAAGTCA >mm10_chr18:56916060-56916071(-)::chr18:56916059-56916071(-) AACAGGAAGTCT >mm10_chr18:56927047-56927058(+)::chr18:56927046-56927058(+) AGAAGGAAGGTA >mm10_chr18:56963121-56963132(+)::chr18:56963120-56963132(+) AAAGGGAAGTGC >mm10_chr18:56975376-56975387(-)::chr18:56975375-56975387(-) CGGCGGAAGTGC >mm10_chr18:56975394-56975405(-)::chr18:56975393-56975405(-) GGCCGGAAGTGC >mm10_chr18:56975431-56975442(-)::chr18:56975430-56975442(-) GGAAGGAAGGAG >mm10_chr18:56975435-56975446(-)::chr18:56975434-56975446(-) GGGAGGAAGGAA >mm10_chr18:56975692-56975703(-)::chr18:56975691-56975703(-) aggaggaagtca >mm10_chr18:56975730-56975741(+)::chr18:56975729-56975741(+) ataaggaacagg >mm10_chr18:56979759-56979770(+)::chr18:56979758-56979770(+) AACAGGAAGAGC >mm10_chr18:56989718-56989729(+)::chr18:56989717-56989729(+) AGAAGGAAGCGA >mm10_chr18:56997550-56997561(+)::chr18:56997549-56997561(+) ACAAGGAAAAAA >mm10_chr18:57030499-57030510(+)::chr18:57030498-57030510(+) AGAAGGAAGGAC >mm10_chr18:57056950-57056961(+)::chr18:57056949-57056961(+) caaaggaaggag >mm10_chr18:57128609-57128620(-)::chr18:57128608-57128620(-) AAGAGGAAATGA >mm10_chr18:57141588-57141599(+)::chr18:57141587-57141599(+) CCAAGGAAGCAG >mm10_chr18:57180116-57180127(+)::chr18:57180115-57180127(+) AGAAGGAAATAT >mm10_chr18:57209247-57209258(-)::chr18:57209246-57209258(-) aggaggaaggag >mm10_chr18:57209259-57209270(-)::chr18:57209258-57209270(-) aggaggaagaag >mm10_chr18:57209274-57209285(-)::chr18:57209273-57209285(-) aggaggaagaag >mm10_chr18:57209289-57209300(-)::chr18:57209288-57209300(-) ggaaggaagagg >mm10_chr18:57209301-57209312(-)::chr18:57209300-57209312(-) aggaggaagcgg >mm10_chr18:57209324-57209335(-)::chr18:57209323-57209335(-) aggaggaagggg >mm10_chr18:57551267-57551278(-)::chr18:57551266-57551278(-) GTCAGGAAGGGA >mm10_chr18:57563098-57563109(+)::chr18:57563097-57563109(+) ACCAGGAAATGC >mm10_chr18:57571146-57571157(+)::chr18:57571145-57571157(+) ACTAGGAAGTCT >mm10_chr18:57593911-57593922(+)::chr18:57593910-57593922(+) AAGAGGAAGAGG >mm10_chr18:57617944-57617955(+)::chr18:57617943-57617955(+) TCCAGGAAGGAA >mm10_chr18:57617948-57617959(+)::chr18:57617947-57617959(+) GGAAGGAAATGA >mm10_chr18:57617962-57617973(+)::chr18:57617961-57617973(+) ATAAGGAAAAGA >mm10_chr18:57638807-57638818(+)::chr18:57638806-57638818(+) AGGAGGAAGTTA >mm10_chr18:57656315-57656326(-)::chr18:57656314-57656326(-) actaggaagtac >mm10_chr18:57660135-57660146(-)::chr18:57660134-57660146(-) GGAAGGAAGGGA >mm10_chr18:57660139-57660150(-)::chr18:57660138-57660150(-) ATGAGGAAGGAA >mm10_chr18:57673799-57673810(+)::chr18:57673798-57673810(+) GAACGGAAATAA >mm10_chr18:57673821-57673832(+)::chr18:57673820-57673832(+) AGCAGGAAGCTA >mm10_chr18:57675350-57675361(+)::chr18:57675349-57675361(+) AGCAGGAAGGAG >mm10_chr18:57738807-57738818(+)::chr18:57738806-57738818(+) AGGAGGAAGAGG >mm10_chr18:57744504-57744515(+)::chr18:57744503-57744515(+) aggaggaagagg >mm10_chr18:57744516-57744527(+)::chr18:57744515-57744527(+) aaaaggaagagg >mm10_chr18:57744522-57744533(+)::chr18:57744521-57744533(+) aagaggaaggag >mm10_chr18:57744552-57744563(+)::chr18:57744551-57744563(+) aggaggaagagg >mm10_chr18:57744558-57744569(+)::chr18:57744557-57744569(+) aagaggaagaag >mm10_chr18:57744567-57744578(+)::chr18:57744566-57744578(+) aagaggaagagg >mm10_chr18:57744588-57744599(+)::chr18:57744587-57744599(+) aggaggaagagg >mm10_chr18:57747596-57747607(-)::chr18:57747595-57747607(-) AGCAGGAAATAG >mm10_chr18:57767325-57767336(-)::chr18:57767324-57767336(-) GAGAGGAAGGAA >mm10_chr18:57767339-57767350(-)::chr18:57767338-57767350(-) GGAAGGAAGTCC >mm10_chr18:57767343-57767354(-)::chr18:57767342-57767354(-) TGGAGGAAGGAA >mm10_chr18:57781618-57781629(+)::chr18:57781617-57781629(+) AACAGGACGTGC >mm10_chr18:57844571-57844582(-)::chr18:57844570-57844582(-) atgaggaagagg >mm10_chr18:57856269-57856280(+)::chr18:57856268-57856280(+) ACGAGGAAGTTT >mm10_chr18:57858358-57858369(-)::chr18:57858357-57858369(-) AGCAGGAAGATA >mm10_chr18:57896927-57896938(+)::chr18:57896926-57896938(+) CAAAGGAAGATT >mm10_chr18:57962895-57962906(-)::chr18:57962894-57962906(-) TCCAGGAAATAA >mm10_chr18:57990130-57990141(+)::chr18:57990129-57990141(+) AGAAGGAAGTCC >mm10_chr18:57990165-57990176(-)::chr18:57990164-57990176(-) AACAGGAAGGGC >mm10_chr18:58071369-58071380(+)::chr18:58071368-58071380(+) ACGAGGAAATGC >mm10_chr18:58260882-58260893(+)::chr18:58260881-58260893(+) atgaggaaatga >mm10_chr18:58260887-58260898(+)::chr18:58260886-58260898(+) gaaatgaagtac >mm10_chr18:58466899-58466910(-)::chr18:58466898-58466910(-) AGGAGGAAGCAC >mm10_chr18:58612379-58612390(-)::chr18:58612378-58612390(-) CCCAGGAAGTCA >mm10_chr18:58612396-58612407(+)::chr18:58612395-58612407(+) TGAAGGAAGATT >mm10_chr18:58612411-58612422(-)::chr18:58612410-58612422(-) ACAAGGAAGCTC >mm10_chr18:58662940-58662951(+)::chr18:58662939-58662951(+) ATCAGGAAGGAG >mm10_chr18:58667655-58667666(+)::chr18:58667654-58667666(+) ATGAGGAAGTGT >mm10_chr18:58669056-58669067(+)::chr18:58669055-58669067(+) AAGAGGAAGGAG >mm10_chr18:58759815-58759826(+)::chr18:58759814-58759826(+) AAGAGGAAGGGA >mm10_chr18:58989092-58989103(+)::chr18:58989091-58989103(+) AGAAGGAAGTCA >mm10_chr18:59000687-59000698(-)::chr18:59000686-59000698(-) AGGAGGAAGGTA >mm10_chr18:59000694-59000705(-)::chr18:59000693-59000705(-) AGACGGAAGGAG >mm10_chr18:59026357-59026368(-)::chr18:59026356-59026368(-) ATGAGGAAGAAA >mm10_chr18:59058557-59058568(+)::chr18:59058556-59058568(+) agaaggaaacgc >mm10_chr18:59076080-59076091(-)::chr18:59076079-59076091(-) aggaggaaggag >mm10_chr18:59076100-59076111(-)::chr18:59076099-59076111(-) aggaggaaggag >mm10_chr18:59076115-59076126(-)::chr18:59076114-59076126(-) aggaggaagagg >mm10_chr18:59076127-59076138(-)::chr18:59076126-59076138(-) agaaggaaaaga >mm10_chr18:60213901-60213912(-)::chr18:60213900-60213912(-) AGGAGGAAGTCA >mm10_chr18:60381936-60381947(+)::chr18:60381935-60381947(+) TGGAGGAAGTTG >mm10_chr18:60493600-60493611(-)::chr18:60493599-60493611(-) AGCAGGAAGTAA >mm10_chr18:60523619-60523630(+)::chr18:60523618-60523630(+) GAGAGGAAGTCA >mm10_chr18:60525389-60525400(+)::chr18:60525388-60525400(+) AACAGGAAgcaa >mm10_chr18:60526167-60526178(+)::chr18:60526166-60526178(+) GGAGGGAAGCGC >mm10_chr18:60536144-60536155(+)::chr18:60536143-60536155(+) AGGAGGAAGCAG >mm10_chr18:60537945-60537956(-)::chr18:60537944-60537956(-) acaaggaagtag >mm10_chr18:60543988-60543999(-)::chr18:60543987-60543999(-) CTAAGGAAGCCA >mm10_chr18:60560627-60560638(+)::chr18:60560626-60560638(+) GCAAGGACGTAC >mm10_chr18:60596088-60596099(+)::chr18:60596087-60596099(+) CCACGGAAGTTA >mm10_chr18:60614810-60614821(-)::chr18:60614809-60614821(-) AGAAGGAAGGAC >mm10_chr18:60626806-60626817(+)::chr18:60626805-60626817(+) AGTAGGAAGCAG >mm10_chr18:60638517-60638528(-)::chr18:60638516-60638528(-) GCCAGGAAGAAC >mm10_chr18:60667158-60667169(-)::chr18:60667157-60667169(-) ggcaggaagtgg >mm10_chr18:60688950-60688961(+)::chr18:60688949-60688961(+) ACCAGGAAATGA >mm10_chr18:60688971-60688982(+)::chr18:60688970-60688982(+) AACAGGAAGTGC >mm10_chr18:60694725-60694736(+)::chr18:60694724-60694736(+) GCCAGGAAGTAG >mm10_chr18:60710027-60710038(-)::chr18:60710026-60710038(-) AGTAGGAAGGAG >mm10_chr18:60710076-60710087(+)::chr18:60710075-60710087(+) GTAAGGAAATGG >mm10_chr18:60716882-60716893(+)::chr18:60716881-60716893(+) AGAAGGAAGGGC >mm10_chr18:60716912-60716923(+)::chr18:60716911-60716923(+) AAAAGGAACTAT >mm10_chr18:60723337-60723348(-)::chr18:60723336-60723348(-) AAAGGGAAGTGG >mm10_chr18:60727619-60727630(+)::chr18:60727618-60727630(+) AGGAGGAAGAAA >mm10_chr18:60729352-60729363(+)::chr18:60729351-60729363(+) AGGAGGAAGCTG >mm10_chr18:60735266-60735277(+)::chr18:60735265-60735277(+) GGCAGGAAGACA >mm10_chr18:60752232-60752243(-)::chr18:60752231-60752243(-) AATAGGAAGTGT >mm10_chr18:60752242-60752253(-)::chr18:60752241-60752253(-) ATGAGGAAGGAA >mm10_chr18:60767431-60767442(-)::chr18:60767430-60767442(-) GAAAGGAAGCTA >mm10_chr18:60774613-60774624(+)::chr18:60774612-60774624(+) AGACGGAAGTGA >mm10_chr18:60775763-60775774(-)::chr18:60775762-60775774(-) TCCAGGAAGTCT >mm10_chr18:60783214-60783225(-)::chr18:60783213-60783225(-) CTCAGGAAGTAA >mm10_chr18:60784768-60784779(+)::chr18:60784767-60784779(+) tagaggaagaag >mm10_chr18:60784777-60784788(+)::chr18:60784776-60784788(+) aagaggaagggg >mm10_chr18:60784792-60784803(+)::chr18:60784791-60784803(+) agaaggaaggag >mm10_chr18:60784819-60784830(+)::chr18:60784818-60784830(+) aagaggaagaag >mm10_chr18:60789431-60789442(+)::chr18:60789430-60789442(+) GAAAGGAAGAGA >mm10_chr18:60789448-60789459(+)::chr18:60789447-60789459(+) AGGAGGAAGTTC >mm10_chr18:60805897-60805908(+)::chr18:60805896-60805908(+) AGGAGGAAGTAC >mm10_chr18:60805911-60805922(+)::chr18:60805910-60805922(+) AGGAGGAAGTAA >mm10_chr18:60830931-60830942(+)::chr18:60830930-60830942(+) GCCAGGAAGGCA >mm10_chr18:60859050-60859061(-)::chr18:60859049-60859061(-) TGATGGAAGTGA >mm10_chr18:60914866-60914877(+)::chr18:60914865-60914877(+) ATAAGGAAGCAG >mm10_chr18:60974920-60974931(+)::chr18:60974919-60974931(+) TCCAGGAAGCGT >mm10_chr18:61083208-61083219(-)::chr18:61083207-61083219(-) TAGAGGAAGGGA >mm10_chr18:61083241-61083252(-)::chr18:61083240-61083252(-) TGGAGGAAGTGT >mm10_chr18:61107805-61107816(+)::chr18:61107804-61107816(+) AGAAGGAAGCTT >mm10_chr18:61119417-61119428(+)::chr18:61119416-61119428(+) ATAAGGAAGGGG >mm10_chr18:61119447-61119458(-)::chr18:61119446-61119458(-) GCAAGGAAGCCC >mm10_chr18:61121091-61121102(-)::chr18:61121090-61121102(-) ATAAGGAAGGAC >mm10_chr18:61121555-61121566(-)::chr18:61121554-61121566(-) CTGAGGAAGTGC >mm10_chr18:61203804-61203815(-)::chr18:61203803-61203815(-) AGCAGGAAGTGA >mm10_chr18:61203834-61203845(-)::chr18:61203833-61203845(-) GACAGGAAGTAA >mm10_chr18:61211588-61211599(+)::chr18:61211587-61211599(+) GTGCGGAAGTAA >mm10_chr18:61224479-61224490(+)::chr18:61224478-61224490(+) ACCAGGAAGTCC >mm10_chr18:61238757-61238768(+)::chr18:61238756-61238768(+) AGCAGGAAGGTA >mm10_chr18:61238782-61238793(+)::chr18:61238781-61238793(+) ACTAGGAAGAGG >mm10_chr18:61248262-61248273(+)::chr18:61248261-61248273(+) ACAGGGAAGTAA >mm10_chr18:61248286-61248297(+)::chr18:61248285-61248297(+) AGTAGGAAGTCT >mm10_chr18:61248681-61248692(-)::chr18:61248680-61248692(-) agaaggaattat >mm10_chr18:61248692-61248703(+)::chr18:61248691-61248703(+) taaaggaagttg >mm10_chr18:61282253-61282264(-)::chr18:61282252-61282264(-) AGAAGGAAGCCA >mm10_chr18:61305632-61305643(+)::chr18:61305631-61305643(+) AACAGGAAGTAG >mm10_chr18:61331335-61331346(+)::chr18:61331334-61331346(+) ACGAGGATGTCC >mm10_chr18:61351540-61351551(+)::chr18:61351539-61351551(+) TAAAGGAAGGGT >mm10_chr18:61351574-61351585(+)::chr18:61351573-61351585(+) AAAAGGAACTTA >mm10_chr18:61361382-61361393(+)::chr18:61361381-61361393(+) AAACGGAAGAGG >mm10_chr18:61382001-61382012(+)::chr18:61382000-61382012(+) TGAGGGAAGTAA >mm10_chr18:61401838-61401849(-)::chr18:61401837-61401849(-) AGAAGGAAGCTG >mm10_chr18:61425327-61425338(-)::chr18:61425326-61425338(-) gggaggaagagg >mm10_chr18:61425357-61425368(-)::chr18:61425356-61425368(-) aggaggaagaag >mm10_chr18:61425372-61425383(-)::chr18:61425371-61425383(-) aggaggaagagg >mm10_chr18:61448016-61448027(+)::chr18:61448015-61448027(+) AGGAGGAAGAGA >mm10_chr18:61466999-61467010(-)::chr18:61466998-61467010(-) aaaaggaagagg >mm10_chr18:61467021-61467032(-)::chr18:61467020-61467032(-) aggaggaagggg >mm10_chr18:61532142-61532153(-)::chr18:61532141-61532153(-) gtaaggatgtcc >mm10_chr18:61542549-61542560(-)::chr18:61542548-61542560(-) acacggaagact >mm10_chr18:61555370-61555381(-)::chr18:61555369-61555381(-) GGAGGGAAGCCG >mm10_chr18:61556498-61556509(+)::chr18:61556497-61556509(+) TGCAGGAAGTGG >mm10_chr18:61560867-61560878(-)::chr18:61560866-61560878(-) aggaggaaggaa >mm10_chr18:61570850-61570861(-)::chr18:61570849-61570861(-) AACAGGAAGGAG >mm10_chr18:61620557-61620568(-)::chr18:61620556-61620568(-) AGAAGGAAGGGA >mm10_chr18:61628531-61628542(-)::chr18:61628530-61628542(-) ACAAGGATGTCC >mm10_chr18:61628541-61628552(-)::chr18:61628540-61628552(-) AAGAGGAAGTAC >mm10_chr18:61632536-61632547(+)::chr18:61632535-61632547(+) AAGAGGAAGTCT >mm10_chr18:61632549-61632560(+)::chr18:61632548-61632560(+) AACAGGAAATTC >mm10_chr18:61635020-61635031(-)::chr18:61635019-61635031(-) AAGAGGAAGAGA >mm10_chr18:61643956-61643967(-)::chr18:61643955-61643967(-) AGGAGGAAGGGC >mm10_chr18:61647270-61647281(+)::chr18:61647269-61647281(+) ACAAGGAAGGAT >mm10_chr18:61666036-61666047(+)::chr18:61666035-61666047(+) ACACGGAAGGCC >mm10_chr18:61671742-61671753(+)::chr18:61671741-61671753(+) GACAGGAAATGG >mm10_chr18:61685044-61685055(+)::chr18:61685043-61685055(+) AGGAGGAAGGAA >mm10_chr18:61688718-61688729(+)::chr18:61688717-61688729(+) gggaggaaggaa >mm10_chr18:61688722-61688733(+)::chr18:61688721-61688733(+) ggaaggaagagg >mm10_chr18:61688742-61688753(+)::chr18:61688741-61688753(+) aggaggaagagg >mm10_chr18:61688751-61688762(+)::chr18:61688750-61688762(+) aggaggaagaag >mm10_chr18:61707095-61707106(+)::chr18:61707094-61707106(+) CTAAGGAAGGGC >mm10_chr18:61730476-61730487(+)::chr18:61730475-61730487(+) ATAAGGAAACAG >mm10_chr18:61745869-61745880(-)::chr18:61745868-61745880(-) CTACGGAAGTGA >mm10_chr18:61745911-61745922(-)::chr18:61745910-61745922(-) GTCAGGAAGACA >mm10_chr18:61746275-61746286(-)::chr18:61746274-61746286(-) tgaaggaagagc >mm10_chr18:61759092-61759103(-)::chr18:61759091-61759103(-) AACAGGAAGGCT >mm10_chr18:61768007-61768018(+)::chr18:61768006-61768018(+) AGGAGGAAGTGG >mm10_chr18:61768396-61768407(-)::chr18:61768395-61768407(-) TTCAGGAAGTGC >mm10_chr18:61781933-61781944(+)::chr18:61781932-61781944(+) aagaggaagtta >mm10_chr18:61851346-61851357(-)::chr18:61851345-61851357(-) agaaggaagaca >mm10_chr18:61851381-61851392(-)::chr18:61851380-61851392(-) ataaggaaagtg >mm10_chr18:61851391-61851402(-)::chr18:61851390-61851402(-) atacggaagaat >mm10_chr18:61856356-61856367(+)::chr18:61856355-61856367(+) GCAGGGAAGCGG >mm10_chr18:61859488-61859499(-)::chr18:61859487-61859499(-) TGAAGGAACTGA >mm10_chr18:61859497-61859508(-)::chr18:61859496-61859508(-) TGGAGGAAATGA >mm10_chr18:61859518-61859529(+)::chr18:61859517-61859529(+) AGGAGGAAGTTT >mm10_chr18:61859536-61859547(+)::chr18:61859535-61859547(+) GGAAGGATGTAA >mm10_chr18:61904747-61904758(+)::chr18:61904746-61904758(+) ACAGGGAAGTTG >mm10_chr18:61904777-61904788(-)::chr18:61904776-61904788(-) GGAAGGAAGCGA >mm10_chr18:61904781-61904792(-)::chr18:61904780-61904792(-) TGTAGGAAGGAA >mm10_chr18:61926874-61926885(-)::chr18:61926873-61926885(-) ACACGGAAGTAC >mm10_chr18:61950975-61950986(-)::chr18:61950974-61950986(-) TACAGGAAGCAC >mm10_chr18:61989712-61989723(+)::chr18:61989711-61989723(+) TCAAGGAAGTGG >mm10_chr18:61989762-61989773(-)::chr18:61989761-61989773(-) AGGAGGAAGCAG >mm10_chr18:62016106-62016117(+)::chr18:62016105-62016117(+) acaaggaagaga >mm10_chr18:62046559-62046570(+)::chr18:62046558-62046570(+) aagaggaaatgg >mm10_chr18:62047256-62047267(+)::chr18:62047255-62047267(+) GGGAGGAAGTAC >mm10_chr18:62093436-62093447(-)::chr18:62093435-62093447(-) agaaggaagaac >mm10_chr18:62131010-62131021(-)::chr18:62131009-62131021(-) AGAAGGAAAGAA >mm10_chr18:62131061-62131072(+)::chr18:62131060-62131072(+) TGCAGGAAGGAG >mm10_chr18:62135980-62135991(-)::chr18:62135979-62135991(-) CCCAGGAAGTGT >mm10_chr18:62142335-62142346(+)::chr18:62142334-62142346(+) AGCAGGAAGGTC >mm10_chr18:62146449-62146460(+)::chr18:62146448-62146460(+) TCAGGGAAGTTG >mm10_chr18:62152166-62152177(-)::chr18:62152165-62152177(-) aggaggaagaAA >mm10_chr18:62152193-62152204(-)::chr18:62152192-62152204(-) aggaggaagagg >mm10_chr18:62164583-62164594(-)::chr18:62164582-62164594(-) gacaggaagtgt >mm10_chr18:62167486-62167497(+)::chr18:62167485-62167497(+) AGGAGGAAGAGC >mm10_chr18:62173395-62173406(-)::chr18:62173394-62173406(-) AGGAGGAAGCAC >mm10_chr18:62173412-62173423(-)::chr18:62173411-62173423(-) AAGAGGAAGCAC >mm10_chr18:62174839-62174850(-)::chr18:62174838-62174850(-) AGCAGGAAGCAG >mm10_chr18:62177360-62177371(-)::chr18:62177359-62177371(-) ATGAGGAAGATG >mm10_chr18:62189485-62189496(-)::chr18:62189484-62189496(-) GGAAGGAAACGT >mm10_chr18:62189489-62189500(-)::chr18:62189488-62189500(-) ATGAGGAAGGAA >mm10_chr18:62192284-62192295(-)::chr18:62192283-62192295(-) CACAGGAAGTTC >mm10_chr18:62192320-62192331(-)::chr18:62192319-62192331(-) AGAAGGAAGCGG >mm10_chr18:62214443-62214454(+)::chr18:62214442-62214454(+) ACAAGGAAGTTT >mm10_chr18:62224892-62224903(-)::chr18:62224891-62224903(-) ATAAGGAACAGG >mm10_chr18:62224956-62224967(+)::chr18:62224955-62224967(+) GGAAGGAAGAGT >mm10_chr18:62225307-62225318(-)::chr18:62225306-62225318(-) ATGAGGAAGAAA >mm10_chr18:62231455-62231466(-)::chr18:62231454-62231466(-) AGGAGGAAATGA >mm10_chr18:62231643-62231654(-)::chr18:62231642-62231654(-) CTAGGGAAGTGT >mm10_chr18:62232786-62232797(+)::chr18:62232785-62232797(+) TAGGGGAAGTAA >mm10_chr18:62232798-62232809(-)::chr18:62232797-62232809(-) TGGAGGAAGTTG >mm10_chr18:62293885-62293896(+)::chr18:62293884-62293896(+) AAAGGGAAGTCA >mm10_chr18:62293903-62293914(-)::chr18:62293902-62293914(-) ACAAGGAAATGG >mm10_chr18:62293933-62293944(-)::chr18:62293932-62293944(-) CTAAGGAAATCG >mm10_chr18:62311059-62311070(-)::chr18:62311058-62311070(-) GCAAGGAAGCAT >mm10_chr18:62323691-62323702(+)::chr18:62323690-62323702(+) AGGAGGAAGTAG >mm10_chr18:62464692-62464703(+)::chr18:62464691-62464703(+) TTGAGGAAGTCA >mm10_chr18:62466032-62466043(+)::chr18:62466031-62466043(+) GGCAGGAAATAG >mm10_chr18:62466052-62466063(+)::chr18:62466051-62466063(+) ATGAGGAAGAGA >mm10_chr18:62542007-62542018(-)::chr18:62542006-62542018(-) ACAAGGAAATAC >mm10_chr18:62548929-62548940(-)::chr18:62548928-62548940(-) TCCAGGAAATAG >mm10_chr18:62554141-62554152(+)::chr18:62554140-62554152(+) atccggaagtgt >mm10_chr18:62649468-62649479(-)::chr18:62649467-62649479(-) ATCAGGAAGAAA >mm10_chr18:62659102-62659113(-)::chr18:62659101-62659113(-) agaaggaaatga >mm10_chr18:62720297-62720308(+)::chr18:62720296-62720308(+) acaAGGAAGGCA >mm10_chr18:62733572-62733583(+)::chr18:62733571-62733583(+) atcaggaaggag >mm10_chr18:62733585-62733596(-)::chr18:62733584-62733596(-) ggaaggaagctt >mm10_chr18:62733589-62733600(-)::chr18:62733588-62733600(-) gccaggaaggaa >mm10_chr18:62772280-62772291(+)::chr18:62772279-62772291(+) ggcaggaaatag >mm10_chr18:62772356-62772367(+)::chr18:62772355-62772367(+) gagaggaagagg >mm10_chr18:62772362-62772373(+)::chr18:62772361-62772373(+) aagaggaagaaa >mm10_chr18:62841456-62841467(+)::chr18:62841455-62841467(+) AGGAGGAAGATA >mm10_chr18:62977833-62977844(+)::chr18:62977832-62977844(+) CACAGGAAGTTT >mm10_chr18:62977852-62977863(-)::chr18:62977851-62977863(-) TACGGGAAGCGA >mm10_chr18:62977875-62977886(-)::chr18:62977874-62977886(-) CGGAGGAAGCCG >mm10_chr18:63108166-63108177(-)::chr18:63108165-63108177(-) agaaggaagagg >mm10_chr18:63108190-63108201(-)::chr18:63108189-63108201(-) taaaggaagaaa >mm10_chr18:63108199-63108210(-)::chr18:63108198-63108210(-) aagaggaaataa >mm10_chr18:63108205-63108216(-)::chr18:63108204-63108216(-) aagaggaagagg >mm10_chr18:63108217-63108228(-)::chr18:63108216-63108228(-) agaaggaagaag >mm10_chr18:63207401-63207412(+)::chr18:63207400-63207412(+) AAAAGGAAATGT >mm10_chr18:63207431-63207442(-)::chr18:63207430-63207442(-) ACCAGGAAGGCG >mm10_chr18:63241463-63241474(+)::chr18:63241462-63241474(+) ACAAGGAAAAGA >mm10_chr18:63241484-63241495(+)::chr18:63241483-63241495(+) GGAAGGAAGTAG >mm10_chr18:63392122-63392133(+)::chr18:63392121-63392133(+) ACCAGGAAGAGT >mm10_chr18:63392176-63392187(-)::chr18:63392175-63392187(-) GTAGGGAAGTAA >mm10_chr18:63409976-63409987(-)::chr18:63409975-63409987(-) CCAAGGAAGAAG >mm10_chr18:63415681-63415692(-)::chr18:63415680-63415692(-) ACAAGGAAGTAG >mm10_chr18:63415704-63415715(-)::chr18:63415703-63415715(-) AGAAGGAAGCAG >mm10_chr18:63513083-63513094(-)::chr18:63513082-63513094(-) GAAAGGAAGGAA >mm10_chr18:63597877-63597888(-)::chr18:63597876-63597888(-) AAGAGGAAGTGT >mm10_chr18:63597968-63597979(+)::chr18:63597967-63597979(+) CACAGGAAGTGA >mm10_chr18:63623970-63623981(+)::chr18:63623969-63623981(+) ACAAGGAAGGAG >mm10_chr18:63624042-63624053(+)::chr18:63624041-63624053(+) AAAAGGCAGTGT >mm10_chr18:63692466-63692477(-)::chr18:63692465-63692477(-) AAAAGGAAGTGG >mm10_chr18:63771422-63771433(-)::chr18:63771421-63771433(-) GCAAGGAAATGA >mm10_chr18:63893815-63893826(+)::chr18:63893814-63893826(+) ACAAGGAAGGCT >mm10_chr18:63893843-63893854(-)::chr18:63893842-63893854(-) AGCAGGAAGAAA >mm10_chr18:63942055-63942066(+)::chr18:63942054-63942066(+) AGTAGGAAGACA >mm10_chr18:63944659-63944670(+)::chr18:63944658-63944670(+) GAGAGGAAGAAA >mm10_chr18:64516641-64516652(-)::chr18:64516640-64516652(-) AACCGGAAGTGT >mm10_chr18:64618261-64618272(-)::chr18:64618260-64618272(-) GAGAGGAAATAA >mm10_chr18:64619025-64619036(+)::chr18:64619024-64619036(+) ATGAGGAAGAAC >mm10_chr18:64619054-64619065(+)::chr18:64619053-64619065(+) GGCAGGAAATTA >mm10_chr18:64637297-64637308(+)::chr18:64637296-64637308(+) AGGAGGAAATGA >mm10_chr18:64648642-64648653(+)::chr18:64648641-64648653(+) TACAGGAAGTGA >mm10_chr18:64648673-64648684(+)::chr18:64648672-64648684(+) ACTAGGAAGAGG >mm10_chr18:64648680-64648691(+)::chr18:64648679-64648691(+) AGAGGGAAGGGA >mm10_chr18:64682319-64682330(+)::chr18:64682318-64682330(+) GGAAGGAAGGAA >mm10_chr18:64682323-64682334(+)::chr18:64682322-64682334(+) GGAAGGAAGGTG >mm10_chr18:64751719-64751730(-)::chr18:64751718-64751730(-) Accaggaaataa >mm10_chr18:64763838-64763849(+)::chr18:64763837-64763849(+) ATCAGGAAGTAA >mm10_chr18:64763877-64763888(+)::chr18:64763876-64763888(+) AGGAGGAAGAAG >mm10_chr18:64769439-64769450(-)::chr18:64769438-64769450(-) AAGAGGAAGGAA >mm10_chr18:64844655-64844666(+)::chr18:64844654-64844666(+) AACAGGAAGagc >mm10_chr18:64850398-64850409(-)::chr18:64850397-64850409(-) AGAAGGAAACAG >mm10_chr18:64876365-64876376(-)::chr18:64876364-64876376(-) tagaggaagtgt >mm10_chr18:64940301-64940312(-)::chr18:64940300-64940312(-) tacaggaagagg >mm10_chr18:64940310-64940321(+)::chr18:64940309-64940321(+) gtaaggaagtcc >mm10_chr18:64949779-64949790(-)::chr18:64949778-64949790(-) TCCAGGAAGTAA >mm10_chr18:64949850-64949861(-)::chr18:64949849-64949861(-) ACAAGGAAGCAA >mm10_chr18:64958309-64958320(-)::chr18:64958308-64958320(-) ATGAGGAAGAAG >mm10_chr18:64958350-64958361(+)::chr18:64958349-64958361(+) AGAAGGAACTTC >mm10_chr18:64965644-64965655(+)::chr18:64965643-64965655(+) TTGAGGAAGTTT >mm10_chr18:64973077-64973088(+)::chr18:64973076-64973088(+) agaaggaaacag >mm10_chr18:64973121-64973132(+)::chr18:64973120-64973132(+) agaaggaaacag >mm10_chr18:64994573-64994584(-)::chr18:64994572-64994584(-) TACAGGAAGTCG >mm10_chr18:65033081-65033092(-)::chr18:65033080-65033092(-) AAAAGGAAGGAA >mm10_chr18:65040807-65040818(+)::chr18:65040806-65040818(+) ccaaggaaatac >mm10_chr18:65050280-65050291(+)::chr18:65050279-65050291(+) agcaggaagtgt >mm10_chr18:65050291-65050302(+)::chr18:65050290-65050302(+) taagggaagtag >mm10_chr18:65070774-65070785(-)::chr18:65070773-65070785(-) TTGAGGAAGTGT >mm10_chr18:65070819-65070830(-)::chr18:65070818-65070830(-) ataaggaagctg >mm10_chr18:65099936-65099947(-)::chr18:65099935-65099947(-) TGAAGTAAGTAT >mm10_chr18:65099967-65099978(+)::chr18:65099966-65099978(+) ACAAGGAAGAAA >mm10_chr18:65106673-65106684(+)::chr18:65106672-65106684(+) ACCAGGAAGTCC >mm10_chr18:65134680-65134691(-)::chr18:65134679-65134691(-) ATAAGGAAAAAT >mm10_chr18:65207870-65207881(-)::chr18:65207869-65207881(-) TACAGGACGTGA >mm10_chr18:65278169-65278180(-)::chr18:65278168-65278180(-) ACAAGGAAGTGA >mm10_chr18:65278178-65278189(+)::chr18:65278177-65278189(+) TGTAGGAAGTAC >mm10_chr18:65423668-65423679(+)::chr18:65423667-65423679(+) taaaggaagaag >mm10_chr18:65423703-65423714(+)::chr18:65423702-65423714(+) agaaggaaacgt >mm10_chr18:65430631-65430642(-)::chr18:65430630-65430642(-) TGGAGGAAGCGG >mm10_chr18:65430661-65430672(-)::chr18:65430660-65430672(-) AGCAGGAAGAGA >mm10_chr18:65445544-65445555(+)::chr18:65445543-65445555(+) ATGAGGAAGCAG >mm10_chr18:65445572-65445583(-)::chr18:65445571-65445583(-) AGTAGGAAGTCC >mm10_chr18:65474676-65474687(+)::chr18:65474675-65474687(+) aggaggaagaag >mm10_chr18:65474696-65474707(+)::chr18:65474695-65474707(+) aggaggaagaag >mm10_chr18:65503294-65503305(+)::chr18:65503293-65503305(+) ATAAGGAAGTAT >mm10_chr18:65503326-65503337(+)::chr18:65503325-65503337(+) GCCAGGAAGTTT >mm10_chr18:65513023-65513034(-)::chr18:65513022-65513034(-) AGAAGGAAGTTG >mm10_chr18:65522475-65522486(+)::chr18:65522474-65522486(+) acgaggaaggag >mm10_chr18:65555064-65555075(+)::chr18:65555063-65555075(+) atgaggaagtga >mm10_chr18:65582823-65582834(+)::chr18:65582822-65582834(+) TCGAGGAAGTGA >mm10_chr18:65585023-65585034(-)::chr18:65585022-65585034(-) ACCAGGAAGGAG >mm10_chr18:65585038-65585049(+)::chr18:65585037-65585049(+) GCCAGGAAGGAA >mm10_chr18:65587331-65587342(+)::chr18:65587330-65587342(+) AGTAGGAAGTAG >mm10_chr18:65587360-65587371(+)::chr18:65587359-65587371(+) GAAAGGAACTGG >mm10_chr18:65597846-65597857(-)::chr18:65597845-65597857(-) TAAAGGAAGCAG >mm10_chr18:65621840-65621851(-)::chr18:65621839-65621851(-) AGAAGGAAAATA >mm10_chr18:65627573-65627584(-)::chr18:65627572-65627584(-) AGCAGGAAGCAG >mm10_chr18:65632057-65632068(-)::chr18:65632056-65632068(-) GGCAGGAAGGAG >mm10_chr18:65632069-65632080(-)::chr18:65632068-65632080(-) AGGAGGAAGTGG >mm10_chr18:65632086-65632097(-)::chr18:65632085-65632097(-) AGAAGGAAGTAT >mm10_chr18:65671366-65671377(-)::chr18:65671365-65671377(-) ACCAGGAAATTG >mm10_chr18:65671377-65671388(-)::chr18:65671376-65671388(-) TCAAGGAAGTCA >mm10_chr18:65692337-65692348(+)::chr18:65692336-65692348(+) ACTAGGAAGTTG >mm10_chr18:65693470-65693481(+)::chr18:65693469-65693481(+) ACGAGGAAGCCA >mm10_chr18:65693510-65693521(+)::chr18:65693509-65693521(+) AGAAGGAAGGTG >mm10_chr18:65712905-65712916(+)::chr18:65712904-65712916(+) acgaggaactgt >mm10_chr18:65714025-65714036(-)::chr18:65714024-65714036(-) CCAAGGAAGAGT >mm10_chr18:65714060-65714071(-)::chr18:65714059-65714071(-) AGGAGGAAGTTA >mm10_chr18:65737980-65737991(-)::chr18:65737979-65737991(-) GACAGGAAGTTA >mm10_chr18:65738292-65738303(+)::chr18:65738291-65738303(+) CACAGGAAGTCC >mm10_chr18:65744710-65744721(-)::chr18:65744709-65744721(-) aagaggaagaCA >mm10_chr18:65744716-65744727(-)::chr18:65744715-65744727(-) aggaggaagagg >mm10_chr18:65744728-65744739(-)::chr18:65744727-65744739(-) atgaggaagagg >mm10_chr18:65744754-65744765(-)::chr18:65744753-65744765(-) aggaggaagtcg >mm10_chr18:65748907-65748918(-)::chr18:65748906-65748918(-) CCAAGGAAGTTT >mm10_chr18:65748945-65748956(+)::chr18:65748944-65748956(+) AGGAGGAAGTAA >mm10_chr18:65756747-65756758(+)::chr18:65756746-65756758(+) aggcggaagtct >mm10_chr18:65756754-65756765(-)::chr18:65756753-65756765(-) gaaaggaagact >mm10_chr18:65780690-65780701(-)::chr18:65780689-65780701(-) TCCAGGAAGTTC >mm10_chr18:65802322-65802333(-)::chr18:65802321-65802333(-) TAGAGGAAGGGG >mm10_chr18:65802399-65802410(+)::chr18:65802398-65802410(+) AAGAGGAAGTAA >mm10_chr18:65804197-65804208(+)::chr18:65804196-65804208(+) tacaggaagaca >mm10_chr18:65811870-65811881(-)::chr18:65811869-65811881(-) AGGAGGAAGGAG >mm10_chr18:65811877-65811888(-)::chr18:65811876-65811888(-) ATCAGGAAGGAG >mm10_chr18:65919434-65919445(-)::chr18:65919433-65919445(-) gccaggaagttt >mm10_chr18:65919522-65919533(+)::chr18:65919521-65919533(+) aaaaggaacgtt >mm10_chr18:65925096-65925107(+)::chr18:65925095-65925107(+) TCAAGGAAGAGA >mm10_chr18:65960266-65960277(-)::chr18:65960265-65960277(-) ACGAGGAAGGAA >mm10_chr18:65985676-65985687(-)::chr18:65985675-65985687(-) GGAAGGAAGGCC >mm10_chr18:66039277-66039288(-)::chr18:66039276-66039288(-) ATAaggaagagg >mm10_chr18:66039301-66039312(-)::chr18:66039300-66039312(-) AAGAGGAAGATA >mm10_chr18:66039307-66039318(-)::chr18:66039306-66039318(-) AAGAGGAAGAGG >mm10_chr18:66082386-66082397(-)::chr18:66082385-66082397(-) TGGAGGAAGGTG >mm10_chr18:66082406-66082417(-)::chr18:66082405-66082417(-) TGGAGGAAGGTG >mm10_chr18:66082426-66082437(-)::chr18:66082425-66082437(-) TGGAGGAAGGTG >mm10_chr18:66141323-66141334(-)::chr18:66141322-66141334(-) ggaaggaagaac >mm10_chr18:66141327-66141338(-)::chr18:66141326-66141338(-) ggaaggaaggaa >mm10_chr18:66141331-66141342(-)::chr18:66141330-66141342(-) tcaaggaaggaa >mm10_chr18:66235192-66235203(+)::chr18:66235191-66235203(+) AAGAGGAAGGTC >mm10_chr18:66259782-66259793(-)::chr18:66259781-66259793(-) AGAGGGAAGTAT >mm10_chr18:66283580-66283591(+)::chr18:66283579-66283591(+) AAGAGGAAGATA >mm10_chr18:66290779-66290790(-)::chr18:66290778-66290790(-) ACAAGGAAATAC >mm10_chr18:66290824-66290835(+)::chr18:66290823-66290835(+) AGCAGGAAGCCA >mm10_chr18:66476528-66476539(+)::chr18:66476527-66476539(+) ACAAGGAAGTAG >mm10_chr18:67039819-67039830(+)::chr18:67039818-67039830(+) AGCAGGAAGCCA >mm10_chr18:67039856-67039867(+)::chr18:67039855-67039867(+) AAAAGGAAGAAT >mm10_chr18:67039886-67039897(+)::chr18:67039885-67039897(+) AAAAGGAAGTAT >mm10_chr18:67041137-67041148(+)::chr18:67041136-67041148(+) aacaggaaggta >mm10_chr18:67129330-67129341(+)::chr18:67129329-67129341(+) aggaggaagagg >mm10_chr18:67129351-67129362(+)::chr18:67129350-67129362(+) aggaggaAGTAT >mm10_chr18:67129366-67129377(+)::chr18:67129365-67129377(+) GGTAGGAaggaa >mm10_chr18:67129370-67129381(+)::chr18:67129369-67129381(+) GGAaggaagagg >mm10_chr18:67129383-67129394(+)::chr18:67129382-67129394(+) aaaaggaagaag >mm10_chr18:67205395-67205406(+)::chr18:67205394-67205406(+) TACAGGAAGTGA >mm10_chr18:67212640-67212651(-)::chr18:67212639-67212651(-) GGCAGGAAGTCT >mm10_chr18:67245463-67245474(+)::chr18:67245462-67245474(+) TCCAGGAAGTCG >mm10_chr18:67245492-67245503(+)::chr18:67245491-67245503(+) GGCAGGAAGGAG >mm10_chr18:67267823-67267834(+)::chr18:67267822-67267834(+) agaaggaaggaa >mm10_chr18:67272074-67272085(-)::chr18:67272073-67272085(-) tcaaggaagggg >mm10_chr18:67278511-67278522(+)::chr18:67278510-67278522(+) GGCAGGAAGAGG >mm10_chr18:67279996-67280007(+)::chr18:67279995-67280007(+) accaggaagatg >mm10_chr18:67310595-67310606(-)::chr18:67310594-67310606(-) ACAAGGAAGCAA >mm10_chr18:67310627-67310638(-)::chr18:67310626-67310638(-) GGCAGGAAGAAA >mm10_chr18:67324603-67324614(+)::chr18:67324602-67324614(+) TCCCGGAAGTAG >mm10_chr18:67326460-67326471(+)::chr18:67326459-67326471(+) tggaggaagggg >mm10_chr18:67326505-67326516(+)::chr18:67326504-67326516(+) aaagggaagtat >mm10_chr18:67342938-67342949(-)::chr18:67342937-67342949(-) TCAGGGAAGTAG >mm10_chr18:67343388-67343399(-)::chr18:67343387-67343399(-) AGGAGGAAGTTG >mm10_chr18:67349456-67349467(-)::chr18:67349455-67349467(-) TTAAGGAAATAG >mm10_chr18:67349473-67349484(-)::chr18:67349472-67349484(-) ATAAGGAAACAG >mm10_chr18:67349502-67349513(-)::chr18:67349501-67349513(-) GAAGGGAAGTAA >mm10_chr18:67384873-67384884(+)::chr18:67384872-67384884(+) ACCAGGAAGGGA >mm10_chr18:67392337-67392348(-)::chr18:67392336-67392348(-) AAGAGGAAGTGC >mm10_chr18:67461025-67461036(+)::chr18:67461024-67461036(+) AGGAGGAAGTGG >mm10_chr18:67478722-67478733(-)::chr18:67478721-67478733(-) GGCAGGAAGTGA >mm10_chr18:67478795-67478806(-)::chr18:67478794-67478806(-) ATGAGGAAATGG >mm10_chr18:67497838-67497849(+)::chr18:67497837-67497849(+) AACAGGAAGCGG >mm10_chr18:67552364-67552375(+)::chr18:67552363-67552375(+) GACAGGAAATGC >mm10_chr18:67664358-67664369(+)::chr18:67664357-67664369(+) AGCAGGAAGGAC >mm10_chr18:67699172-67699183(-)::chr18:67699171-67699183(-) AGCAGGAAGGGA >mm10_chr18:67710128-67710139(+)::chr18:67710127-67710139(+) AGAAGGAAAAGC >mm10_chr18:67710185-67710196(+)::chr18:67710184-67710196(+) AGAAGGAAGGGA >mm10_chr18:67724340-67724351(-)::chr18:67724339-67724351(-) GGGCGGAAGTGG >mm10_chr18:67737360-67737371(+)::chr18:67737359-67737371(+) accaggaaatcc >mm10_chr18:67770886-67770897(-)::chr18:67770885-67770897(-) GATAGGAAGTCA >mm10_chr18:67934030-67934041(+)::chr18:67934029-67934041(+) GGGAGGAAGCAG >mm10_chr18:67938794-67938805(-)::chr18:67938793-67938805(-) CCAAGGAAGGCT >mm10_chr18:67938806-67938817(+)::chr18:67938805-67938817(+) AAAAGGAAATAA >mm10_chr18:67938845-67938856(-)::chr18:67938844-67938856(-) AGAAGGAAGGGC >mm10_chr18:67939542-67939553(-)::chr18:67939541-67939553(-) ACACGGAAGTTG >mm10_chr18:67986139-67986150(+)::chr18:67986138-67986150(+) TGAAGGAACTGT >mm10_chr18:67986164-67986175(-)::chr18:67986163-67986175(-) AACAGGAAGAGG >mm10_chr18:67990339-67990350(-)::chr18:67990338-67990350(-) TCAAGGAAGGAG >mm10_chr18:67990353-67990364(-)::chr18:67990352-67990364(-) AAAAGGAAGAAG >mm10_chr18:68000749-68000760(-)::chr18:68000748-68000760(-) acaaggaaatga >mm10_chr18:68009082-68009093(+)::chr18:68009081-68009093(+) AGAAGGAAGGAA >mm10_chr18:68009086-68009097(+)::chr18:68009085-68009097(+) GGAAGGAACTTC >mm10_chr18:68034987-68034998(+)::chr18:68034986-68034998(+) atacggaagtgt >mm10_chr18:68035492-68035503(+)::chr18:68035491-68035503(+) GAAAGGAAGTCC >mm10_chr18:68059567-68059578(-)::chr18:68059566-68059578(-) CTAAGGAAATAG >mm10_chr18:68093179-68093190(-)::chr18:68093178-68093190(-) aagaggaagatg >mm10_chr18:68093185-68093196(-)::chr18:68093184-68093196(-) aagaggaagagg >mm10_chr18:68093191-68093202(-)::chr18:68093190-68093202(-) agaaggaagagg >mm10_chr18:68093200-68093211(-)::chr18:68093199-68093211(-) aagaggaagaga >mm10_chr18:68099511-68099522(+)::chr18:68099510-68099522(+) AGGAGGAAGGAA >mm10_chr18:68099515-68099526(+)::chr18:68099514-68099526(+) GGAAGGAAGAAG >mm10_chr18:68131412-68131423(+)::chr18:68131411-68131423(+) AGAAGGAAGTTC >mm10_chr18:68131445-68131456(-)::chr18:68131444-68131456(-) GACAGGAAGCAC >mm10_chr18:68131466-68131477(+)::chr18:68131465-68131477(+) GGGAGGAAGGCA >mm10_chr18:68133349-68133360(+)::chr18:68133348-68133360(+) AAAAGGAAATAG >mm10_chr18:68139836-68139847(+)::chr18:68139835-68139847(+) GGCAGGAAGTAG >mm10_chr18:68139881-68139892(+)::chr18:68139880-68139892(+) GGCGGGAAGTAT >mm10_chr18:68140805-68140816(+)::chr18:68140804-68140816(+) TAAAGGAAGTCA >mm10_chr18:68157312-68157323(+)::chr18:68157311-68157323(+) TGAAGGAAGGCC >mm10_chr18:68157363-68157374(+)::chr18:68157362-68157374(+) GAGAGGAAGGAG >mm10_chr18:68165019-68165030(+)::chr18:68165018-68165030(+) tccaggaagttc >mm10_chr18:68170476-68170487(-)::chr18:68170475-68170487(-) AGAAGGAAGAGG >mm10_chr18:68170496-68170507(+)::chr18:68170495-68170507(+) CAAGGGAAGTTA >mm10_chr18:68194588-68194599(+)::chr18:68194587-68194599(+) GTAGGGAAGTGA >mm10_chr18:68194664-68194675(+)::chr18:68194663-68194675(+) GAAAGGAAGAGA >mm10_chr18:68273814-68273825(-)::chr18:68273813-68273825(-) ATAAGGAAGAAC >mm10_chr18:68312511-68312522(-)::chr18:68312510-68312522(-) acagggaagtca >mm10_chr18:68444324-68444335(-)::chr18:68444323-68444335(-) GAAAGGAAGAAT >mm10_chr18:68485071-68485082(-)::chr18:68485070-68485082(-) AGAAGGAAGCTG >mm10_chr18:68485083-68485094(-)::chr18:68485082-68485094(-) GTAAGGAAGGTG >mm10_chr18:68499155-68499166(+)::chr18:68499154-68499166(+) AAAAGGAAGTGG >mm10_chr18:68499187-68499198(+)::chr18:68499186-68499198(+) GCAAGGAAGTGA >mm10_chr18:68706661-68706672(-)::chr18:68706660-68706672(-) AACAGGAAATAT >mm10_chr18:68706677-68706688(-)::chr18:68706676-68706688(-) AGAAGGAAGTAT >mm10_chr18:68766067-68766078(+)::chr18:68766066-68766078(+) TCCAGGAAGTTG >mm10_chr18:68782712-68782723(-)::chr18:68782711-68782723(-) GAAAGGAAGAAC >mm10_chr18:68782949-68782960(-)::chr18:68782948-68782960(-) AGGAGGAAGGCA >mm10_chr18:68794617-68794628(+)::chr18:68794616-68794628(+) AAGAGGAAGACA >mm10_chr18:68813804-68813815(-)::chr18:68813803-68813815(-) AGAAGGAAGCAG >mm10_chr18:68855094-68855105(+)::chr18:68855093-68855105(+) aacaggaaggaa >mm10_chr18:68855098-68855109(+)::chr18:68855097-68855109(+) ggaaggaagctt >mm10_chr18:68883039-68883050(+)::chr18:68883038-68883050(+) tcaaggaaggaa >mm10_chr18:68883043-68883054(+)::chr18:68883042-68883054(+) ggaaggaactga >mm10_chr18:68883091-68883102(-)::chr18:68883090-68883102(-) gccaggaaggaa >mm10_chr18:68905326-68905337(-)::chr18:68905325-68905337(-) GGGCGGAAGTAA >mm10_chr18:68923814-68923825(+)::chr18:68923813-68923825(+) TTAAGGTAGTAA >mm10_chr18:69152369-69152380(+)::chr18:69152368-69152380(+) AAACGGAAGTGA >mm10_chr18:69196910-69196921(+)::chr18:69196909-69196921(+) TTCAGGAAGTCA >mm10_chr18:69196938-69196949(-)::chr18:69196937-69196949(-) AGAAGGAAGATG >mm10_chr18:69200504-69200515(-)::chr18:69200503-69200515(-) TCCAGGAAGTCA >mm10_chr18:69269899-69269910(+)::chr18:69269898-69269910(+) agcaggaactag >mm10_chr18:69269942-69269953(+)::chr18:69269941-69269953(+) atcaggaagcag >mm10_chr18:69325176-69325187(-)::chr18:69325175-69325187(-) AACCGGAAGTGA >mm10_chr18:69532684-69532695(+)::chr18:69532683-69532695(+) ACAAGGAAGTGA >mm10_chr18:69576682-69576693(-)::chr18:69576681-69576693(-) AAAAGGAAGTTA >mm10_chr18:69585419-69585430(-)::chr18:69585418-69585430(-) AGGAGGAAGCAG >mm10_chr18:69586181-69586192(-)::chr18:69586180-69586192(-) TTGAGGAAGTTG >mm10_chr18:69593373-69593384(-)::chr18:69593372-69593384(-) AGCAGGAAGAGA >mm10_chr18:69598484-69598495(+)::chr18:69598483-69598495(+) AGAAGGAAGGAC >mm10_chr18:69629908-69629919(-)::chr18:69629907-69629919(-) GGAAGGAAGTGT >mm10_chr18:69629912-69629923(-)::chr18:69629911-69629923(-) GGAAGGAAGGAA >mm10_chr18:69629916-69629927(-)::chr18:69629915-69629927(-) AAGAGGAAGGAA >mm10_chr18:69630548-69630559(-)::chr18:69630547-69630559(-) ACAAGGAAAACA >mm10_chr18:69641614-69641625(+)::chr18:69641613-69641625(+) TCCAGGAAGAGG >mm10_chr18:69641663-69641674(-)::chr18:69641662-69641674(-) GGAAGGAACGTG >mm10_chr18:69641667-69641678(-)::chr18:69641666-69641678(-) ACAAGGAAGGAA >mm10_chr18:69646790-69646801(+)::chr18:69646789-69646801(+) CCAAGGATGTAC >mm10_chr18:69646803-69646814(+)::chr18:69646802-69646814(+) CAGAGGAAGTTC >mm10_chr18:69646866-69646877(-)::chr18:69646865-69646877(-) AGAAGGAAGACA >mm10_chr18:69646873-69646884(-)::chr18:69646872-69646884(-) GGAAGGAAGAAG >mm10_chr18:69646877-69646888(-)::chr18:69646876-69646888(-) GGAAGGAAGGAA >mm10_chr18:69655313-69655324(+)::chr18:69655312-69655324(+) AGCAGTAAGTAC >mm10_chr18:69655357-69655368(-)::chr18:69655356-69655368(-) AGGAGGAAGATA >mm10_chr18:69655394-69655405(-)::chr18:69655393-69655405(-) AGGAGGAAATGG >mm10_chr18:69655620-69655631(-)::chr18:69655619-69655631(-) GCAGGGAAGTGT >mm10_chr18:69655798-69655809(-)::chr18:69655797-69655809(-) AGAAGGAAGACA >mm10_chr18:69655851-69655862(-)::chr18:69655850-69655862(-) GGAAGGAAGACT >mm10_chr18:69655855-69655866(-)::chr18:69655854-69655866(-) ACGAGGAAGGAA >mm10_chr18:69667197-69667208(+)::chr18:69667196-69667208(+) ACCAGGAAGCAC >mm10_chr18:69667410-69667421(-)::chr18:69667409-69667421(-) GAAAGGAAGTTT >mm10_chr18:69724517-69724528(+)::chr18:69724516-69724528(+) AGCAGGAAGATC >mm10_chr18:69779946-69779957(-)::chr18:69779945-69779957(-) TACAGGAAGAAC >mm10_chr18:69806842-69806853(-)::chr18:69806841-69806853(-) AGGAGGAAGCGG >mm10_chr18:69806871-69806882(+)::chr18:69806870-69806882(+) AGCAGGAAGTTC >mm10_chr18:69820123-69820134(+)::chr18:69820122-69820134(+) CCCAGGAAGTGC >mm10_chr18:69833997-69834008(-)::chr18:69833996-69834008(-) AGGGGGAAGTAA >mm10_chr18:69941416-69941427(-)::chr18:69941415-69941427(-) tggaggaagtgt >mm10_chr18:69962032-69962043(-)::chr18:69962031-69962043(-) ATCAGGAAGAAG >mm10_chr18:70053396-70053407(+)::chr18:70053395-70053407(+) ATCAGGAAGGTC >mm10_chr18:70125847-70125858(+)::chr18:70125846-70125858(+) TCAAGAAAGTAC >mm10_chr18:70253061-70253072(-)::chr18:70253060-70253072(-) ATAAGGAAATAA >mm10_chr18:70401444-70401455(+)::chr18:70401443-70401455(+) aaaaggaagagg >mm10_chr18:70401450-70401461(+)::chr18:70401449-70401461(+) aagaggaagagg >mm10_chr18:70401456-70401467(+)::chr18:70401455-70401467(+) aagaggaagagg >mm10_chr18:70401462-70401473(+)::chr18:70401461-70401473(+) aagaggaagagg >mm10_chr18:70401473-70401484(+)::chr18:70401472-70401484(+) ggaaggaagaCC >mm10_chr18:70401481-70401492(-)::chr18:70401480-70401492(-) AGAAGGAAGGtc >mm10_chr18:70436443-70436454(-)::chr18:70436442-70436454(-) AGGAGGAAGTAA >mm10_chr18:70509025-70509036(+)::chr18:70509024-70509036(+) GAAAGGAAGCTT >mm10_chr18:70545449-70545460(+)::chr18:70545448-70545460(+) TGCAGGAAATGC >mm10_chr18:70545465-70545476(-)::chr18:70545464-70545476(-) AGAAGGAAAGAA >mm10_chr18:70644484-70644495(+)::chr18:70644483-70644495(+) GAGAGGAAGGAT >mm10_chr18:71212090-71212101(-)::chr18:71212089-71212101(-) GGAAGGAAGGAA >mm10_chr18:71841008-71841019(+)::chr18:71841007-71841019(+) TCCAGGAAGTTA >mm10_chr18:72918780-72918791(+)::chr18:72918779-72918791(+) ACAAGGATGTAA >mm10_chr18:73118093-73118104(+)::chr18:73118092-73118104(+) TGAAGGAAGAGA >mm10_chr18:73209337-73209348(+)::chr18:73209336-73209348(+) agcaggaagtgg >mm10_chr18:73275954-73275965(+)::chr18:73275953-73275965(+) CAGAGGAAGTGA >mm10_chr18:73275984-73275995(-)::chr18:73275983-73275995(-) AATAGGAAGTGG >mm10_chr18:73275991-73276002(-)::chr18:73275990-73276002(-) TAGAGGAAATAG >mm10_chr18:73280509-73280520(+)::chr18:73280508-73280520(+) GCAAGGAACTGT >mm10_chr18:73286667-73286678(-)::chr18:73286666-73286678(-) GGCCGGAAGTGG >mm10_chr18:73288033-73288044(+)::chr18:73288032-73288044(+) cgcaggaactaa >mm10_chr18:73433942-73433953(+)::chr18:73433941-73433953(+) ATGAGGAAGAAG >mm10_chr18:73479566-73479577(-)::chr18:73479565-73479577(-) gggaggaagtcc >mm10_chr18:73479587-73479598(+)::chr18:73479586-73479598(+) gtaaggaaggga >mm10_chr18:73479599-73479610(+)::chr18:73479598-73479610(+) gagaggaagtga >mm10_chr18:73531829-73531840(+)::chr18:73531828-73531840(+) agaaggaagcgg >mm10_chr18:73538098-73538109(+)::chr18:73538097-73538109(+) AACAGGAAGTGG >mm10_chr18:73541954-73541965(-)::chr18:73541953-73541965(-) CGAAGGAAATGT >mm10_chr18:73571947-73571958(-)::chr18:73571946-73571958(-) GAAAGGAAATGA >mm10_chr18:73572001-73572012(+)::chr18:73572000-73572012(+) CTAAGGAAGCAG >mm10_chr18:73623257-73623268(+)::chr18:73623256-73623268(+) GGAAGGAAACGC >mm10_chr18:73626943-73626954(+)::chr18:73626942-73626954(+) ACAAGGAAGCGA >mm10_chr18:73745023-73745034(+)::chr18:73745022-73745034(+) ATGAGGAAGCGA >mm10_chr18:73745078-73745089(+)::chr18:73745077-73745089(+) AGAAGGAAGTGG >mm10_chr18:73746743-73746754(-)::chr18:73746742-73746754(-) aggaggaAGCAG >mm10_chr18:73746779-73746790(-)::chr18:73746778-73746790(-) AGAAggaagagg >mm10_chr18:73746830-73746841(-)::chr18:73746829-73746841(-) ACCAGGAAATAA >mm10_chr18:73754484-73754495(+)::chr18:73754483-73754495(+) AGCCGGAAGTgc >mm10_chr18:73762480-73762491(-)::chr18:73762479-73762491(-) CTAAGGAAATGG >mm10_chr18:73762528-73762539(+)::chr18:73762527-73762539(+) GAGAGGAAGAGG >mm10_chr18:73844403-73844414(+)::chr18:73844402-73844414(+) AAAAGGAAGTGC >mm10_chr18:73907019-73907030(+)::chr18:73907018-73907030(+) AGAGGGAAGGGA >mm10_chr18:73920875-73920886(-)::chr18:73920874-73920886(-) aggaggaagcca >mm10_chr18:73920924-73920935(-)::chr18:73920923-73920935(-) accaggaagcac >mm10_chr18:73947205-73947216(-)::chr18:73947204-73947216(-) GAGAGGAAGACG >mm10_chr18:73947261-73947272(+)::chr18:73947260-73947272(+) AGGAGGAAGGAG >mm10_chr18:73973160-73973171(+)::chr18:73973159-73973171(+) ACAAGGAAGTTT >mm10_chr18:73977618-73977629(-)::chr18:73977617-73977629(-) AGGAGGAAGTTT >mm10_chr18:73977625-73977636(-)::chr18:73977624-73977636(-) GAGAGGAAGGAG >mm10_chr18:73977639-73977650(+)::chr18:73977638-73977650(+) TAAAGGAAGGAG >mm10_chr18:73977674-73977685(-)::chr18:73977673-73977685(-) CGAAGAAAGTAG >mm10_chr18:73981215-73981226(+)::chr18:73981214-73981226(+) TGAAGGAAGCTG >mm10_chr18:73988944-73988955(-)::chr18:73988943-73988955(-) AGGGGGAAGTAA >mm10_chr18:73989378-73989389(-)::chr18:73989377-73989389(-) GGAAGGAAGAAC >mm10_chr18:74015097-74015108(-)::chr18:74015096-74015108(-) gggaggaaggac >mm10_chr18:74020471-74020482(+)::chr18:74020470-74020482(+) AACAGGAAGCTA >mm10_chr18:74036855-74036866(-)::chr18:74036854-74036866(-) taagggaagtcc >mm10_chr18:74067528-74067539(-)::chr18:74067527-74067539(-) aagaggaaggag >mm10_chr18:74067534-74067545(-)::chr18:74067533-74067545(-) aacaggaagagg >mm10_chr18:74067556-74067567(-)::chr18:74067555-74067567(-) aagaggaagaag >mm10_chr18:74070277-74070288(+)::chr18:74070276-74070288(+) TCAAGGAAGAAG >mm10_chr18:74070304-74070315(+)::chr18:74070303-74070315(+) CCAAGGAAGTGA >mm10_chr18:74084873-74084884(-)::chr18:74084872-74084884(-) AGCAGGAACTAG >mm10_chr18:74133135-74133146(-)::chr18:74133134-74133146(-) AGCAGGAAGACT >mm10_chr18:74133154-74133165(+)::chr18:74133153-74133165(+) AGGAGGAAATGA >mm10_chr18:74133186-74133197(+)::chr18:74133185-74133197(+) AACAGGAAATAC >mm10_chr18:74133194-74133205(-)::chr18:74133193-74133205(-) GGCAGGATGTAT >mm10_chr18:74134216-74134227(-)::chr18:74134215-74134227(-) gggaggaagtct >mm10_chr18:74134238-74134249(+)::chr18:74134237-74134249(+) aggaggaagaaa >mm10_chr18:74134280-74134291(+)::chr18:74134279-74134291(+) gagaggaagtag >mm10_chr18:74150785-74150796(+)::chr18:74150784-74150796(+) atgaggaagagc >mm10_chr18:74154552-74154563(+)::chr18:74154551-74154563(+) TGAAGGAACTGC >mm10_chr18:74154582-74154593(+)::chr18:74154581-74154593(+) TGAAGGAACTGC >mm10_chr18:74167416-74167427(-)::chr18:74167415-74167427(-) GGAAGGAAGACT >mm10_chr18:74222659-74222670(-)::chr18:74222658-74222670(-) GGTAGGAAGTGA >mm10_chr18:74234891-74234902(+)::chr18:74234890-74234902(+) acaaggaagtaa >mm10_chr18:74267907-74267918(+)::chr18:74267906-74267918(+) AAAAGGAAGTGG >mm10_chr18:74268329-74268340(+)::chr18:74268328-74268340(+) AGGAGGAAGAGA >mm10_chr18:74268789-74268800(+)::chr18:74268788-74268800(+) AAAAAGAAGTAG >mm10_chr18:74329475-74329486(+)::chr18:74329474-74329486(+) ACCAGGAAGACA >mm10_chr18:74391963-74391974(+)::chr18:74391962-74391974(+) TCTAGGAAGTGg >mm10_chr18:74391996-74392007(+)::chr18:74391995-74392007(+) acccggaagtcc >mm10_chr18:74440781-74440792(+)::chr18:74440780-74440792(+) AGAAGGAAAAGA >mm10_chr18:74444163-74444174(+)::chr18:74444162-74444174(+) GGTAGGAAGAGG >mm10_chr18:74502775-74502786(+)::chr18:74502774-74502786(+) AGTAGGAAGTAG >mm10_chr18:74502936-74502947(-)::chr18:74502935-74502947(-) ACGAGGAAGTCT >mm10_chr18:74502967-74502978(-)::chr18:74502966-74502978(-) GGAAGGAAGAGA >mm10_chr18:74502971-74502982(-)::chr18:74502970-74502982(-) TCCAGGAAGGAA >mm10_chr18:74512879-74512890(+)::chr18:74512878-74512890(+) ccaaggaagaaa >mm10_chr18:74544412-74544423(+)::chr18:74544411-74544423(+) acaaggaagttt >mm10_chr18:74545512-74545523(+)::chr18:74545511-74545523(+) AGAAGGAAGGGC >mm10_chr18:74545525-74545536(+)::chr18:74545524-74545536(+) GGCAGGAAGGCC >mm10_chr18:74558172-74558183(-)::chr18:74558171-74558183(-) agcaggaaataa >mm10_chr18:74558190-74558201(+)::chr18:74558189-74558201(+) acaaggaagttg >mm10_chr18:74843474-74843485(+)::chr18:74843473-74843485(+) TGGAGGAAGAGG >mm10_chr18:74843489-74843500(-)::chr18:74843488-74843500(-) GCACGGAAGTCT >mm10_chr18:74843502-74843513(+)::chr18:74843501-74843513(+) TGGAGGAAGACA >mm10_chr18:74850418-74850429(-)::chr18:74850417-74850429(-) tcaaggaaatgg >mm10_chr18:74868407-74868418(+)::chr18:74868406-74868418(+) gccaggaagatt >mm10_chr18:74871737-74871748(-)::chr18:74871736-74871748(-) aagaggaagcgg >mm10_chr18:74871743-74871754(-)::chr18:74871742-74871754(-) aagaggaagagg >mm10_chr18:74871749-74871760(-)::chr18:74871748-74871760(-) aaaaggaagagg >mm10_chr18:74900094-74900105(+)::chr18:74900093-74900105(+) GACAGGAAGCAG >mm10_chr18:74900101-74900112(+)::chr18:74900100-74900112(+) AGCAGGAAGCAT >mm10_chr18:74900145-74900156(+)::chr18:74900144-74900156(+) AGGAGGAAGAAG >mm10_chr18:74901604-74901615(-)::chr18:74901603-74901615(-) GGAAGGAAGGCA >mm10_chr18:74901608-74901619(-)::chr18:74901607-74901619(-) GGGAGGAAGGAA >mm10_chr18:74944449-74944460(+)::chr18:74944448-74944460(+) GCAAGGAAGCAG >mm10_chr18:74951490-74951501(+)::chr18:74951489-74951501(+) TGGAGGAAGTAC >mm10_chr18:75005708-75005719(+)::chr18:75005707-75005719(+) GCCAGGAAGGGC >mm10_chr18:75019657-75019668(+)::chr18:75019656-75019668(+) AGGAGGAAGTGC >mm10_chr18:75019699-75019710(+)::chr18:75019698-75019710(+) GGCAGGAAGATG >mm10_chr18:75019708-75019719(+)::chr18:75019707-75019719(+) ATGAGGAAATGG >mm10_chr18:75021334-75021345(-)::chr18:75021333-75021345(-) aggaggaagagg >mm10_chr18:75021352-75021363(-)::chr18:75021351-75021363(-) aagaggaagaag >mm10_chr18:75080562-75080573(-)::chr18:75080561-75080573(-) AGAAGGAAGAGA >mm10_chr18:75080569-75080580(-)::chr18:75080568-75080580(-) AGGAGGAAGAAG >mm10_chr18:75097559-75097570(+)::chr18:75097558-75097570(+) agaaggaagtgc >mm10_chr18:75121707-75121718(-)::chr18:75121706-75121718(-) agcgggaagaat >mm10_chr18:75121767-75121778(+)::chr18:75121766-75121778(+) aggaggaagagt >mm10_chr18:75133760-75133771(+)::chr18:75133759-75133771(+) CCAAGGAAGGAA >mm10_chr18:75133764-75133775(+)::chr18:75133763-75133775(+) GGAAGGAAGGAG >mm10_chr18:75133796-75133807(-)::chr18:75133795-75133807(-) TACAGGAAGCCA >mm10_chr18:75133805-75133816(-)::chr18:75133804-75133816(-) CACAGGAAGTAC >mm10_chr18:75139926-75139937(+)::chr18:75139925-75139937(+) CCAAGGAAGCAG >mm10_chr18:75212211-75212222(+)::chr18:75212210-75212222(+) ACAAGGAAGAGA >mm10_chr18:75212242-75212253(+)::chr18:75212241-75212253(+) AGAAGGAAGTGT >mm10_chr18:75224219-75224230(+)::chr18:75224218-75224230(+) ATGAGGAAGGCA >mm10_chr18:75234444-75234455(+)::chr18:75234443-75234455(+) aaaAGGAAGAAG >mm10_chr18:75285215-75285226(+)::chr18:75285214-75285226(+) AAGAGGAAGAAC >mm10_chr18:75300401-75300412(-)::chr18:75300400-75300412(-) atgaggaagttc >mm10_chr18:75300430-75300441(-)::chr18:75300429-75300441(-) atgaggaagacc >mm10_chr18:75322206-75322217(-)::chr18:75322205-75322217(-) GGAAGGAAGGGC >mm10_chr18:75345197-75345208(+)::chr18:75345196-75345208(+) ggcaggaaatgc >mm10_chr18:75345243-75345254(+)::chr18:75345242-75345254(+) ataaggaagtgc >mm10_chr18:75345492-75345503(-)::chr18:75345491-75345503(-) aagaggaagagg >mm10_chr18:75363392-75363403(-)::chr18:75363391-75363403(-) AAACGGAAGTGA >mm10_chr18:75396811-75396822(-)::chr18:75396810-75396822(-) GCAAGGAAGTGA >mm10_chr18:75408439-75408450(+)::chr18:75408438-75408450(+) AGGAGGAAGCTG >mm10_chr18:75416073-75416084(-)::chr18:75416072-75416084(-) ggaaggaagaga >mm10_chr18:75416100-75416111(+)::chr18:75416099-75416111(+) actaggaagggg >mm10_chr18:75469872-75469883(-)::chr18:75469871-75469883(-) AGCAGGAAGCAG >mm10_chr18:75478601-75478612(-)::chr18:75478600-75478612(-) GTCAGGAAGAGA >mm10_chr18:75590734-75590745(+)::chr18:75590733-75590745(+) accaggaaggtg >mm10_chr18:75601403-75601414(-)::chr18:75601402-75601414(-) TCAAGGAAGCGA >mm10_chr18:75628547-75628558(+)::chr18:75628546-75628558(+) TAGAGGAAGTAA >mm10_chr18:75737574-75737585(-)::chr18:75737573-75737585(-) acaaggaagagg >mm10_chr18:75737623-75737634(-)::chr18:75737622-75737634(-) ataaggaaatac >mm10_chr18:75737639-75737650(-)::chr18:75737638-75737650(-) aggaggaaatgg >mm10_chr18:75740780-75740791(+)::chr18:75740779-75740791(+) TCCAGGAAGTTA >mm10_chr18:75770257-75770268(-)::chr18:75770256-75770268(-) aagaggaagaAA >mm10_chr18:75770266-75770277(-)::chr18:75770265-75770277(-) aagaggaagaag >mm10_chr18:75770278-75770289(-)::chr18:75770277-75770289(-) aacaggaagggg >mm10_chr18:75772534-75772545(-)::chr18:75772533-75772545(-) acTAGGAAGTGA >mm10_chr18:75772580-75772591(-)::chr18:75772579-75772591(-) ataaagaagtgg >mm10_chr18:75775638-75775649(-)::chr18:75775637-75775649(-) ATCCGGAAGTTC >mm10_chr18:75775947-75775958(-)::chr18:75775946-75775958(-) ATGAGGAAGGGG >mm10_chr18:75776452-75776463(-)::chr18:75776451-75776463(-) AACCGGAAGTCT >mm10_chr18:75782009-75782020(+)::chr18:75782008-75782020(+) acaaggaaatgg >mm10_chr18:75795435-75795446(+)::chr18:75795434-75795446(+) tccaggaagtcc >mm10_chr18:75795489-75795500(-)::chr18:75795488-75795500(-) aacaggaagtgc >mm10_chr18:75892674-75892685(+)::chr18:75892673-75892685(+) GGCAGGAAGTTT >mm10_chr18:75924325-75924336(-)::chr18:75924324-75924336(-) AGCAGGAAGTAG >mm10_chr18:75943722-75943733(-)::chr18:75943721-75943733(-) ccaaggaagttc >mm10_chr18:75943731-75943742(-)::chr18:75943730-75943742(-) tgaaggaagcca >mm10_chr18:75949471-75949482(-)::chr18:75949470-75949482(-) AGGAGGAAGGAT >mm10_chr18:75949478-75949489(-)::chr18:75949477-75949489(-) TTAAGGAAGGAG >mm10_chr18:75958321-75958332(+)::chr18:75958320-75958332(+) ACAAGGAAGAGG >mm10_chr18:75984374-75984385(-)::chr18:75984373-75984385(-) GGCAGGAAGAGG >mm10_chr18:75996329-75996340(+)::chr18:75996328-75996340(+) GGGAGGAAGAAC >mm10_chr18:75996343-75996354(+)::chr18:75996342-75996354(+) ATGAGGAAGTGG >mm10_chr18:76002428-76002439(+)::chr18:76002427-76002439(+) ACCAGGAAATAA >mm10_chr18:76007940-76007951(-)::chr18:76007939-76007951(-) AGCAGGAAGCAA >mm10_chr18:76007977-76007988(+)::chr18:76007976-76007988(+) AGAGGGAAGCGA >mm10_chr18:76028073-76028084(+)::chr18:76028072-76028084(+) ATAAGGAAAAGC >mm10_chr18:76035297-76035308(+)::chr18:76035296-76035308(+) AGACGGAAGGGG >mm10_chr18:76036828-76036839(-)::chr18:76036827-76036839(-) AGAAGGAAGATG >mm10_chr18:76046352-76046363(-)::chr18:76046351-76046363(-) AGGAGGAACTAA >mm10_chr18:76046570-76046581(-)::chr18:76046569-76046581(-) AGAGGGAAATAG >mm10_chr18:76052887-76052898(+)::chr18:76052886-76052898(+) TGGAGGAAGAGG >mm10_chr18:76053490-76053501(+)::chr18:76053489-76053501(+) AGGAGGAAGTCA >mm10_chr18:76101343-76101354(-)::chr18:76101342-76101354(-) aggaggaagaag >mm10_chr18:76101352-76101363(-)::chr18:76101351-76101363(-) aagaggaagagg >mm10_chr18:76101358-76101369(-)::chr18:76101357-76101369(-) aggaggaagagg >mm10_chr18:76101373-76101384(-)::chr18:76101372-76101384(-) aggaggaagaag >mm10_chr18:76101388-76101399(-)::chr18:76101387-76101399(-) aggaggaaggga >mm10_chr18:76111265-76111276(+)::chr18:76111264-76111276(+) GTCAGGAAGTTC >mm10_chr18:76111483-76111494(-)::chr18:76111482-76111494(-) AGGAGGAAGTGG >mm10_chr18:76112887-76112898(+)::chr18:76112886-76112898(+) AGCAGGAAGGTG >mm10_chr18:76161417-76161428(+)::chr18:76161416-76161428(+) AGGAGGAAATGC >mm10_chr18:76228109-76228120(-)::chr18:76228108-76228120(-) TATAGGAAGTGT >mm10_chr18:76228119-76228130(-)::chr18:76228118-76228130(-) AAGAGGAAGATA >mm10_chr18:76228147-76228158(-)::chr18:76228146-76228158(-) TATAGGAAGTGT >mm10_chr18:76238882-76238893(+)::chr18:76238881-76238893(+) ACAAGGAAGCCA >mm10_chr18:76260845-76260856(+)::chr18:76260844-76260856(+) ACCAGGAAGAAA >mm10_chr18:76260894-76260905(-)::chr18:76260893-76260905(-) TTCAGGAAGTAA >mm10_chr18:76281162-76281173(-)::chr18:76281161-76281173(-) ACCAGGAAGCAA >mm10_chr18:76298430-76298441(-)::chr18:76298429-76298441(-) TGGAGGAAGAAA >mm10_chr18:76339409-76339420(-)::chr18:76339408-76339420(-) TCCAGGAAGGAA >mm10_chr18:76339454-76339465(-)::chr18:76339453-76339465(-) CAAAGGAAGAGC >mm10_chr18:76348979-76348990(-)::chr18:76348978-76348990(-) ATGAGGAAGTAG >mm10_chr18:76349113-76349124(+)::chr18:76349112-76349124(+) TGAACGAAGTTA >mm10_chr18:76687882-76687893(-)::chr18:76687881-76687893(-) GAAAGGAAATGG >mm10_chr18:76929408-76929419(-)::chr18:76929407-76929419(-) AACAGGAAGTAA >mm10_chr18:76929425-76929436(-)::chr18:76929424-76929436(-) AACAGGAAGCCA >mm10_chr18:77052627-77052638(-)::chr18:77052626-77052638(-) ACAAGGAAGTGA >mm10_chr18:77064944-77064955(-)::chr18:77064943-77064955(-) CTGAGGAAGTGA >mm10_chr18:77065860-77065871(-)::chr18:77065859-77065871(-) CTACGGAAGGCG >mm10_chr18:77101416-77101427(-)::chr18:77101415-77101427(-) AAGAGGAAGATA >mm10_chr18:77117568-77117579(-)::chr18:77117567-77117579(-) AGCAGGAAGGGG >mm10_chr18:77122285-77122296(-)::chr18:77122284-77122296(-) AGGGGGAAGTGC >mm10_chr18:77122312-77122323(+)::chr18:77122311-77122323(+) ATGAGGAAGAAT >mm10_chr18:77317148-77317159(+)::chr18:77317147-77317159(+) tcgaggaaatga >mm10_chr18:77351866-77351877(+)::chr18:77351865-77351877(+) agaaggaagtga >mm10_chr18:77356934-77356945(-)::chr18:77356933-77356945(-) GAAAGGAAGGTA >mm10_chr18:77356991-77357002(+)::chr18:77356990-77357002(+) GCAAGGAAAATA >mm10_chr18:77372219-77372230(+)::chr18:77372218-77372230(+) gtgaggaagaag >mm10_chr18:77372261-77372272(+)::chr18:77372260-77372272(+) aggaggaagagg >mm10_chr18:77504059-77504070(+)::chr18:77504058-77504070(+) AAAAGGAAGGAT >mm10_chr18:77511943-77511954(-)::chr18:77511942-77511954(-) aacaggaagctg >mm10_chr18:77536453-77536464(-)::chr18:77536452-77536464(-) GGAAGGAACTGG >mm10_chr18:77536468-77536479(+)::chr18:77536467-77536479(+) TGAGGGAAGTCT >mm10_chr18:77539854-77539865(-)::chr18:77539853-77539865(-) AACAGGAAGGCA >mm10_chr18:77539900-77539911(-)::chr18:77539899-77539911(-) GGAAGTAAGTGA >mm10_chr18:77539904-77539915(-)::chr18:77539903-77539915(-) AACAGGAAGTAA >mm10_chr18:77548267-77548278(-)::chr18:77548266-77548278(-) tgaaggaagaca >mm10_chr18:77548311-77548322(+)::chr18:77548310-77548322(+) agcaggaaatga >mm10_chr18:77548687-77548698(+)::chr18:77548686-77548698(+) AGAAGGAAATGG >mm10_chr18:77555001-77555012(-)::chr18:77555000-77555012(-) AGGAGGAAGTCG >mm10_chr18:77566097-77566108(-)::chr18:77566096-77566108(-) ACGCGGAAGTGG >mm10_chr18:77594647-77594658(-)::chr18:77594646-77594658(-) gaagggaagtag >mm10_chr18:77594652-77594663(-)::chr18:77594651-77594663(-) TCCaggaaggga >mm10_chr18:77648071-77648082(-)::chr18:77648070-77648082(-) ATAAGGAAGTAG >mm10_chr18:77664485-77664496(+)::chr18:77664484-77664496(+) ttaaggaaggat >mm10_chr18:77693893-77693904(+)::chr18:77693892-77693904(+) acaaggaaggaa >mm10_chr18:77693897-77693908(+)::chr18:77693896-77693908(+) ggaaggaagtaa >mm10_chr18:77693937-77693948(+)::chr18:77693936-77693948(+) taagggaagtgg >mm10_chr18:77693966-77693977(+)::chr18:77693965-77693977(+) ggaaggaaggag >mm10_chr18:77713991-77714002(-)::chr18:77713990-77714002(-) GGGCGGAAGTGA >mm10_chr18:77729938-77729949(+)::chr18:77729937-77729949(+) GCAAGGAAGCCG >mm10_chr18:77761087-77761098(+)::chr18:77761086-77761098(+) ACAAGGAAGTCA >mm10_chr18:77773970-77773981(-)::chr18:77773969-77773981(-) CAAAGGAAGGTC >mm10_chr18:77797312-77797323(+)::chr18:77797311-77797323(+) AATAGGAAGTCA >mm10_chr18:77797326-77797337(+)::chr18:77797325-77797337(+) AGGAGGAAATGC >mm10_chr18:77836177-77836188(-)::chr18:77836176-77836188(-) AAGAGGAAGAGT >mm10_chr18:77836195-77836206(-)::chr18:77836194-77836206(-) CCAAGGAAGCAG >mm10_chr18:77856787-77856798(-)::chr18:77856786-77856798(-) AACAGGAAGAGG >mm10_chr18:77856832-77856843(+)::chr18:77856831-77856843(+) TGAAGGAAGGAC >mm10_chr18:77856856-77856867(+)::chr18:77856855-77856867(+) TTAAGGAACGAA >mm10_chr18:77880129-77880140(+)::chr18:77880128-77880140(+) CGAAGGAAAAGG >mm10_chr18:77934171-77934182(+)::chr18:77934170-77934182(+) TCAAGGAACTGA >mm10_chr18:77944477-77944488(-)::chr18:77944476-77944488(-) agaaggaagcta >mm10_chr18:77967181-77967192(+)::chr18:77967180-77967192(+) AGCAGGAAATCA >mm10_chr18:77967203-77967214(-)::chr18:77967202-77967214(-) GGGAGGAAGTCA >mm10_chr18:78085235-78085246(-)::chr18:78085234-78085246(-) AAGAGGAAGGGA >mm10_chr18:78085272-78085283(+)::chr18:78085271-78085283(+) ACACGGAAGCAA >mm10_chr18:78085933-78085944(-)::chr18:78085932-78085944(-) aagaggaagaag >mm10_chr18:78085942-78085953(-)::chr18:78085941-78085953(-) aggaggaagaag >mm10_chr18:78085957-78085968(-)::chr18:78085956-78085968(-) aagaggaagagg >mm10_chr18:78085963-78085974(-)::chr18:78085962-78085974(-) aaaaggaagagg >mm10_chr18:78097603-78097614(+)::chr18:78097602-78097614(+) AACAGGAAATGG >mm10_chr18:78145099-78145110(-)::chr18:78145098-78145110(-) AGAAGGAAATGA >mm10_chr18:78160963-78160974(+)::chr18:78160962-78160974(+) TAGAGGAAGGAG >mm10_chr18:78160999-78161010(+)::chr18:78160998-78161010(+) GTAGGGAAGTGG >mm10_chr18:78312312-78312323(+)::chr18:78312311-78312323(+) AAGAGGAAGGAA >mm10_chr18:78312316-78312327(+)::chr18:78312315-78312327(+) GGAAGGAAGTGG >mm10_chr18:78465098-78465109(-)::chr18:78465097-78465109(-) AGCAGGAAGAGC >mm10_chr18:78643177-78643188(-)::chr18:78643176-78643188(-) TCAAGGAACTGG >mm10_chr18:78657785-78657796(+)::chr18:78657784-78657796(+) AGCAGGAAGCTG >mm10_chr18:78713911-78713922(-)::chr18:78713910-78713922(-) ataaggaagaac >mm10_chr18:78836632-78836643(-)::chr18:78836631-78836643(-) CCTAGGAAGTGA >mm10_chr18:78932205-78932216(+)::chr18:78932204-78932216(+) TAAAGGAAGCCA >mm10_chr18:78932239-78932250(-)::chr18:78932238-78932250(-) AGAAGGAGGTAA >mm10_chr18:78954953-78954964(+)::chr18:78954952-78954964(+) TGGAGGAAGGGA >mm10_chr18:78954961-78954972(+)::chr18:78954960-78954972(+) GGGAGGAAGTGT >mm10_chr18:78954986-78954997(+)::chr18:78954985-78954997(+) TCAAGGAAGGCT >mm10_chr18:78991255-78991266(+)::chr18:78991254-78991266(+) ATAAGGAAGTCT >mm10_chr18:78991266-78991277(+)::chr18:78991265-78991277(+) TAAAGGAAGGGg >mm10_chr18:79009402-79009413(-)::chr18:79009401-79009413(-) AACAGGAAGTAG >mm10_chr18:79012831-79012842(+)::chr18:79012830-79012842(+) AGAAGGAAATAG >mm10_chr18:79020289-79020300(-)::chr18:79020288-79020300(-) GTAAGGAAGGCA >mm10_chr18:79026791-79026802(+)::chr18:79026790-79026802(+) ACAAGGAAGAAG >mm10_chr18:79026798-79026809(+)::chr18:79026797-79026809(+) AGAAGGAAACAT >mm10_chr18:79027003-79027014(-)::chr18:79027002-79027014(-) AGGAGGAAATAC >mm10_chr18:79034173-79034184(-)::chr18:79034172-79034184(-) GTAATGAAGTAG >mm10_chr18:79078463-79078474(+)::chr18:79078462-79078474(+) TGAGGGAAGTTG >mm10_chr18:79080553-79080564(+)::chr18:79080552-79080564(+) AGGAGGAAGTAG >mm10_chr18:79195922-79195933(-)::chr18:79195921-79195933(-) agaaggaagctt >mm10_chr18:79195935-79195946(-)::chr18:79195934-79195946(-) acaaggacgtgg >mm10_chr18:79212744-79212755(+)::chr18:79212743-79212755(+) GGAAGGAGGTGA >mm10_chr18:79234511-79234522(+)::chr18:79234510-79234522(+) GGAAGGAAGAGG >mm10_chr18:79234536-79234547(+)::chr18:79234535-79234547(+) GCCAGGAAGTCG >mm10_chr18:79245007-79245018(+)::chr18:79245006-79245018(+) GAAGGGAAGTGG >mm10_chr18:79245039-79245050(+)::chr18:79245038-79245050(+) TCCAGGAAGAGA >mm10_chr18:79245061-79245072(+)::chr18:79245060-79245072(+) TAAAGGAAGGGC >mm10_chr18:79263895-79263906(+)::chr18:79263894-79263906(+) AACAGGAAGTTT >mm10_chr18:79263947-79263958(+)::chr18:79263946-79263958(+) ACAAGGAAGCAA >mm10_chr18:79369958-79369969(-)::chr18:79369957-79369969(-) accaggaagcta >mm10_chr18:79412773-79412784(+)::chr18:79412772-79412784(+) TAGAGGAAGGAG >mm10_chr18:79412780-79412791(+)::chr18:79412779-79412791(+) AGGAGGAAGTCT >mm10_chr18:79412815-79412826(+)::chr18:79412814-79412826(+) ACATGGAAGTGT >mm10_chr18:79552633-79552644(+)::chr18:79552632-79552644(+) TTCAGGAAGTCT >mm10_chr18:79552657-79552668(-)::chr18:79552656-79552668(-) AAGAGGAAGTAA >mm10_chr18:80001443-80001454(-)::chr18:80001442-80001454(-) ACCAGGAAGCGG >mm10_chr18:80001459-80001470(-)::chr18:80001458-80001470(-) CCAAGGAAGGCA >mm10_chr18:80004795-80004806(+)::chr18:80004794-80004806(+) ATGAGGAAGGTG >mm10_chr18:80004829-80004840(+)::chr18:80004828-80004840(+) ACCAGGAAGAAA >mm10_chr18:80064592-80064603(+)::chr18:80064591-80064603(+) aacaggaagagg >mm10_chr18:80065435-80065446(-)::chr18:80065434-80065446(-) GGAAGGAGGTCG >mm10_chr18:80065503-80065514(-)::chr18:80065502-80065514(-) GGAAGGAGGTAT >mm10_chr18:80073420-80073431(-)::chr18:80073419-80073431(-) TACCGGAAGTGC >mm10_chr18:80103384-80103395(+)::chr18:80103383-80103395(+) AGAAGGAAGAGC >mm10_chr18:80119119-80119130(-)::chr18:80119118-80119130(-) CAAAGGAAGGAA >mm10_chr18:80154054-80154065(+)::chr18:80154053-80154065(+) acaaggaaatgc >mm10_chr18:80169668-80169679(+)::chr18:80169667-80169679(+) ACACGGAAATGG >mm10_chr18:80200635-80200646(+)::chr18:80200634-80200646(+) AACAGGAAGCCC >mm10_chr18:80212336-80212347(+)::chr18:80212335-80212347(+) TCAAGGAAGCCA >mm10_chr18:80213431-80213442(-)::chr18:80213430-80213442(-) GTGAGGAAGTCC >mm10_chr18:80245510-80245521(+)::chr18:80245509-80245521(+) tgcaggaagtgg >mm10_chr18:80255265-80255276(-)::chr18:80255264-80255276(-) AACAGGAAGATG >mm10_chr18:80255275-80255286(-)::chr18:80255274-80255286(-) ACCAGGAAGGAA >mm10_chr18:80255308-80255319(+)::chr18:80255307-80255319(+) TTCAGGAAGTGG >mm10_chr18:80283894-80283905(+)::chr18:80283893-80283905(+) GTGAGGAAGAGG >mm10_chr18:80283942-80283953(+)::chr18:80283941-80283953(+) TTAAGGAAGCCA >mm10_chr18:80357115-80357126(-)::chr18:80357114-80357126(-) aggaggaagaag >mm10_chr18:80357137-80357148(-)::chr18:80357136-80357148(-) aggaggaaggga >mm10_chr18:80357146-80357157(-)::chr18:80357145-80357157(-) aagaggaagagg >mm10_chr18:80357152-80357163(-)::chr18:80357151-80357163(-) aagaggaagagg >mm10_chr18:80458505-80458516(+)::chr18:80458504-80458516(+) AACAGGAAGAGA >mm10_chr18:80458521-80458532(-)::chr18:80458520-80458532(-) CACGGGAAGTGC >mm10_chr18:80468345-80468356(+)::chr18:80468344-80468356(+) ACCAGGAAGACA >mm10_chr18:80490912-80490923(+)::chr18:80490911-80490923(+) TTTAGGAAGTGT >mm10_chr18:80628976-80628987(+)::chr18:80628975-80628987(+) CTCAGGAAGTTG >mm10_chr18:80629023-80629034(+)::chr18:80629022-80629034(+) ATCAGGAAGTGC >mm10_chr18:80650388-80650399(-)::chr18:80650387-80650399(-) ATAAGGAATTCC >mm10_chr18:80650455-80650466(-)::chr18:80650454-80650466(-) ATGAGGAAATTA >mm10_chr18:80674376-80674387(-)::chr18:80674375-80674387(-) GGAAGGAAGCGC >mm10_chr18:80674380-80674391(-)::chr18:80674379-80674391(-) GTAAGGAAGGAA >mm10_chr18:80715274-80715285(-)::chr18:80715273-80715285(-) gggaggaaatga >mm10_chr18:80715290-80715301(-)::chr18:80715289-80715301(-) aggaggaagaag >mm10_chr18:80715308-80715319(-)::chr18:80715307-80715319(-) aggaggaagagg >mm10_chr18:80738367-80738378(+)::chr18:80738366-80738378(+) GGAAGGAAGTAG >mm10_chr18:80739064-80739075(+)::chr18:80739063-80739075(+) TACAGGAAGCCA >mm10_chr18:80923466-80923477(-)::chr18:80923465-80923477(-) acaaagaagtgt >mm10_chr18:81005922-81005933(-)::chr18:81005921-81005933(-) CCAAGGAAGCCG >mm10_chr18:81042543-81042554(+)::chr18:81042542-81042554(+) GTAAGGAAATTC >mm10_chr18:81739138-81739149(-)::chr18:81739137-81739149(-) ataagaaagtaa >mm10_chr18:81931712-81931723(-)::chr18:81931711-81931723(-) GTCAGGAAATAA >mm10_chr18:81984412-81984423(+)::chr18:81984411-81984423(+) accaggaagcag >mm10_chr18:81984452-81984463(-)::chr18:81984451-81984463(-) accaggaagtag >mm10_chr18:82110903-82110914(-)::chr18:82110902-82110914(-) ggaaggaagtca >mm10_chr18:82110907-82110918(-)::chr18:82110906-82110918(-) taaaggaaggaa >mm10_chr18:82271676-82271687(+)::chr18:82271675-82271687(+) tggaggaagtat >mm10_chr18:82444176-82444187(+)::chr18:82444175-82444187(+) aacaggaaattc >mm10_chr18:82470247-82470258(+)::chr18:82470246-82470258(+) GTAAGGAAGCAC >mm10_chr18:82485454-82485465(-)::chr18:82485453-82485465(-) TCAAGGAAGGCA >mm10_chr18:82485473-82485484(-)::chr18:82485472-82485484(-) AGAGGGAAGTTC >mm10_chr18:82499512-82499523(-)::chr18:82499511-82499523(-) gtgaggaagaag >mm10_chr18:82499526-82499537(-)::chr18:82499525-82499537(-) aagaggaagggg >mm10_chr18:82499538-82499549(-)::chr18:82499537-82499549(-) aagaggaagggg >mm10_chr18:82504989-82505000(+)::chr18:82504988-82505000(+) TCTAGGAAGTGG >mm10_chr18:82526345-82526356(-)::chr18:82526344-82526356(-) AGAAGGAACCGG >mm10_chr18:82534544-82534555(-)::chr18:82534543-82534555(-) AACAGGAAGTGG >mm10_chr18:82543872-82543883(-)::chr18:82543871-82543883(-) TCAAGGAAGTCA >mm10_chr18:82543881-82543892(+)::chr18:82543880-82543892(+) TGAAGGAAGTCG >mm10_chr18:82578339-82578350(-)::chr18:82578338-82578350(-) aaaaggaagagg >mm10_chr18:82578345-82578356(-)::chr18:82578344-82578356(-) agaaggaaaagg >mm10_chr18:82578360-82578371(-)::chr18:82578359-82578371(-) aggaggaagagg >mm10_chr18:82578384-82578395(-)::chr18:82578383-82578395(-) aggaggaagaga >mm10_chr18:82578391-82578402(-)::chr18:82578390-82578402(-) gagaggaaggag >mm10_chr18:82578399-82578410(-)::chr18:82578398-82578410(-) aagaggaagaga >mm10_chr18:82578405-82578416(-)::chr18:82578404-82578416(-) agaaggaagagg >mm10_chr18:82686098-82686109(+)::chr18:82686097-82686109(+) aaaaggaaggga >mm10_chr18:82686108-82686119(+)::chr18:82686107-82686119(+) gaaaggaaggga >mm10_chr18:82686118-82686129(+)::chr18:82686117-82686129(+) gaaaggaagAGA >mm10_chr18:82686147-82686158(+)::chr18:82686146-82686158(+) AGGAGGAAGAGA >mm10_chr18:82692441-82692452(+)::chr18:82692440-82692452(+) aggaggaAgccg >mm10_chr18:82716902-82716913(+)::chr18:82716901-82716913(+) tggaggaagtag >mm10_chr18:82735447-82735458(+)::chr18:82735446-82735458(+) AACAGGAAGGGA >mm10_chr18:82751418-82751429(-)::chr18:82751417-82751429(-) GAAAGGAAGCTG >mm10_chr18:82815375-82815386(+)::chr18:82815374-82815386(+) accaggaaataa >mm10_chr18:82815394-82815405(-)::chr18:82815393-82815405(-) AGAAGGAAGAGA >mm10_chr18:82840487-82840498(+)::chr18:82840486-82840498(+) ttcaggaagtaa >mm10_chr18:82854822-82854833(+)::chr18:82854821-82854833(+) GGAAGGCAGTGT >mm10_chr18:82854872-82854883(-)::chr18:82854871-82854883(-) ACCAGGAAGGAG >mm10_chr18:82856648-82856659(+)::chr18:82856647-82856659(+) tggaggaagtgg >mm10_chr18:82856698-82856709(-)::chr18:82856697-82856709(-) agaaggaaacaa >mm10_chr18:82868349-82868360(+)::chr18:82868348-82868360(+) ACCAGGAAGAGG >mm10_chr18:82872354-82872365(+)::chr18:82872353-82872365(+) ACGAGGAAGGCA >mm10_chr18:82917770-82917781(+)::chr18:82917769-82917781(+) CCGAGGAAGGAA >mm10_chr18:82917774-82917785(+)::chr18:82917773-82917785(+) GGAAGGAAGGGG >mm10_chr18:82920254-82920265(-)::chr18:82920253-82920265(-) ACCAGGAAATGA >mm10_chr18:82971815-82971826(+)::chr18:82971814-82971826(+) ACGAGGAAGCTG >mm10_chr18:83077912-83077923(-)::chr18:83077911-83077923(-) AACAGGAAGCAA >mm10_chr18:83287624-83287635(-)::chr18:83287623-83287635(-) ATGAGGAAGTGT >mm10_chr18:83304259-83304270(-)::chr18:83304258-83304270(-) AACAGGAAATGC >mm10_chr18:83317822-83317833(+)::chr18:83317821-83317833(+) ACCAGGAAGCCA >mm10_chr18:83317889-83317900(-)::chr18:83317888-83317900(-) ACCAGGAAGGAC >mm10_chr18:83385114-83385125(+)::chr18:83385113-83385125(+) AGAAGGAAGTGG >mm10_chr18:83400257-83400268(+)::chr18:83400256-83400268(+) AGCAGGAAGTGT >mm10_chr18:83421964-83421975(-)::chr18:83421963-83421975(-) atgaggaagaaa >mm10_chr18:83421973-83421984(-)::chr18:83421972-83421984(-) ggaaggaagatg >mm10_chr18:83421983-83421994(-)::chr18:83421982-83421994(-) atgaggaagggg >mm10_chr18:83422008-83422019(-)::chr18:83422007-83422019(-) aagaggaagaga >mm10_chr18:83422033-83422044(-)::chr18:83422032-83422044(-) GAAAGGAAGTTC >mm10_chr18:83428844-83428855(-)::chr18:83428843-83428855(-) TCAAGGAACTAC >mm10_chr18:83507664-83507675(+)::chr18:83507663-83507675(+) TGCAGGAAgagg >mm10_chr18:83605034-83605045(+)::chr18:83605033-83605045(+) ACCAGGAAATCA >mm10_chr18:83607627-83607638(+)::chr18:83607626-83607638(+) AGGAGGAAGAGG >mm10_chr18:83607634-83607645(+)::chr18:83607633-83607645(+) AGAGGGAAGACG >mm10_chr18:83643493-83643504(+)::chr18:83643492-83643504(+) ACAAGGAAGTGT >mm10_chr18:83854489-83854500(+)::chr18:83854488-83854500(+) GTGAGGAAGGGA >mm10_chr18:83854513-83854524(+)::chr18:83854512-83854524(+) AACAGGAAGAAC >mm10_chr18:83938346-83938357(+)::chr18:83938345-83938357(+) AAGAGGAAGAGG >mm10_chr18:83938352-83938363(+)::chr18:83938351-83938363(+) AAGAGGAAGTGG >mm10_chr18:83938409-83938420(+)::chr18:83938408-83938420(+) TAGAGGAAGAAT >mm10_chr18:84119232-84119243(+)::chr18:84119231-84119243(+) ACAAGGAagcat >mm10_chr18:84199336-84199347(-)::chr18:84199335-84199347(-) ATCAGGAAATTG >mm10_chr18:84222553-84222564(+)::chr18:84222552-84222564(+) tagaggaagaag >mm10_chr18:84222560-84222571(+)::chr18:84222559-84222571(+) agaaggaaggag >mm10_chr18:84222567-84222578(+)::chr18:84222566-84222578(+) aggaggaagaag >mm10_chr18:84236965-84236976(-)::chr18:84236964-84236976(-) CTAAGGAAGTGA >mm10_chr18:84310057-84310068(+)::chr18:84310056-84310068(+) AAAAGGAAATAG >mm10_chr18:84410511-84410522(+)::chr18:84410510-84410522(+) GAGAGGAAGTCA >mm10_chr18:84458969-84458980(-)::chr18:84458968-84458980(-) ATAAGGAAATCC >mm10_chr18:84467063-84467074(+)::chr18:84467062-84467074(+) tacaggaagact >mm10_chr18:84467114-84467125(+)::chr18:84467113-84467125(+) aagaggaagagg >mm10_chr18:84467120-84467131(+)::chr18:84467119-84467131(+) aagaggaaggag >mm10_chr18:84467127-84467138(+)::chr18:84467126-84467138(+) aggaggaaggag >mm10_chr18:84467134-84467145(+)::chr18:84467133-84467145(+) aggaggaagaag >mm10_chr18:84498863-84498874(+)::chr18:84498862-84498874(+) AACAGGAAATGA >mm10_chr18:84498914-84498925(+)::chr18:84498913-84498925(+) TGAGGGAAGTGC >mm10_chr18:84574438-84574449(-)::chr18:84574437-84574449(-) ACAAGGAAGCTG >mm10_chr18:84574459-84574470(+)::chr18:84574458-84574470(+) AGAAGGAAGGAC >mm10_chr18:84589494-84589505(-)::chr18:84589493-84589505(-) AGAGGGAAGACG >mm10_chr18:84589506-84589517(+)::chr18:84589505-84589517(+) GGGAGGAAGAGG >mm10_chr18:84589520-84589531(-)::chr18:84589519-84589531(-) GGCAGGAAGTCC >mm10_chr18:84589543-84589554(-)::chr18:84589542-84589554(-) CGCCGGAAGTGG >mm10_chr18:84589738-84589749(+)::chr18:84589737-84589749(+) AACAGGAAGTTC >mm10_chr18:84602146-84602157(+)::chr18:84602145-84602157(+) ACAAGGAAGTGG >mm10_chr18:84602200-84602211(+)::chr18:84602199-84602211(+) TGAAGGAAGTTC >mm10_chr18:84730745-84730756(+)::chr18:84730744-84730756(+) AGGAGGAAGTGA >mm10_chr18:84730770-84730781(+)::chr18:84730769-84730781(+) GGAAGGAAGCCC >mm10_chr18:84737264-84737275(+)::chr18:84737263-84737275(+) agcaggaagcag >mm10_chr18:84737271-84737282(+)::chr18:84737270-84737282(+) agcaggaagcac >mm10_chr18:84737295-84737306(+)::chr18:84737294-84737306(+) aataggaaggag >mm10_chr18:84755836-84755847(+)::chr18:84755835-84755847(+) GACAGGAAGTAC >mm10_chr18:84762812-84762823(-)::chr18:84762811-84762823(-) aacaggaagaag >mm10_chr18:84855348-84855359(+)::chr18:84855347-84855359(+) GGGAGGAAGAGA >mm10_chr18:84856013-84856024(+)::chr18:84856012-84856024(+) ATAAGGAAGTAA >mm10_chr18:84858527-84858538(+)::chr18:84858526-84858538(+) AGAAGGAAACAA >mm10_chr18:84903510-84903521(-)::chr18:84903509-84903521(-) AGAAGGAAAGAG >mm10_chr18:84903517-84903528(-)::chr18:84903516-84903528(-) GGAAGGAAGAAG >mm10_chr18:84909399-84909410(+)::chr18:84909398-84909410(+) AGTAGGAAGGGT >mm10_chr18:84915217-84915228(+)::chr18:84915216-84915228(+) AGCAGGAAGGAA >mm10_chr18:84915221-84915232(+)::chr18:84915220-84915232(+) GGAAGGAAAATA >mm10_chr18:84951582-84951593(+)::chr18:84951581-84951593(+) AGAAGGAAGGGA >mm10_chr18:85123025-85123036(-)::chr18:85123024-85123036(-) atgaggaagaag >mm10_chr18:85156281-85156292(+)::chr18:85156280-85156292(+) CCCAGGAAGTTA >mm10_chr18:85644600-85644611(-)::chr18:85644599-85644611(-) TACAGGAAATGT >mm10_chr18:86557975-86557986(+)::chr18:86557974-86557986(+) AGCAGGAAGTGA >mm10_chr18:88338268-88338279(-)::chr18:88338267-88338279(-) agcaggaaatga >mm10_chr18:88338275-88338286(-)::chr18:88338274-88338286(-) accaggaagcag >mm10_chr18:88706646-88706657(+)::chr18:88706645-88706657(+) aagaggaagttg >mm10_chr18:88707989-88708000(+)::chr18:88707988-88708000(+) GACAGGAAGAAA >mm10_chr18:88757845-88757856(-)::chr18:88757844-88757856(-) GGGAGGAAATGC >mm10_chr18:88757867-88757878(-)::chr18:88757866-88757878(-) ACCAGGAAGATA >mm10_chr18:88824726-88824737(-)::chr18:88824725-88824737(-) tggaggaagtat >mm10_chr18:88857225-88857236(-)::chr18:88857224-88857236(-) CTAAGGAAATAG >mm10_chr18:88865963-88865974(-)::chr18:88865962-88865974(-) TTAAGGAAATGA >mm10_chr18:89440258-89440269(+)::chr18:89440257-89440269(+) AACAGGAAGATA >mm10_chr18:90547457-90547468(-)::chr18:90547456-90547468(-) AACAGGAAGCAG >mm10_chr19:3309891-3309902(-)::chr19:3309890-3309902(-) ggcaggaactaa >mm10_chr19:3309904-3309915(-)::chr19:3309903-3309915(-) tgagggaagtca >mm10_chr19:3309913-3309924(+)::chr19:3309912-3309924(+) tcaaggaagaag >mm10_chr19:3321831-3321842(+)::chr19:3321830-3321842(+) AAGAGGAAGTGT >mm10_chr19:3325559-3325570(+)::chr19:3325558-3325570(+) GGCGGGAAGCCG >mm10_chr19:3387906-3387917(-)::chr19:3387905-3387917(-) gaaaggaaaacg >mm10_chr19:3389271-3389282(-)::chr19:3389270-3389282(-) ACCGGGAAGTAA >mm10_chr19:3449465-3449476(+)::chr19:3449464-3449476(+) TAAAGGAAGTGC >mm10_chr19:3449534-3449545(+)::chr19:3449533-3449545(+) ACCAGGAAGTGC >mm10_chr19:3460964-3460975(-)::chr19:3460963-3460975(-) agaaGGAAGTAG >mm10_chr19:3465766-3465777(-)::chr19:3465765-3465777(-) AAGAGGAAGTGA >mm10_chr19:3467091-3467102(-)::chr19:3467090-3467102(-) GGCAGGAAGTGC >mm10_chr19:3471408-3471419(+)::chr19:3471407-3471419(+) ACAAGGAAATGA >mm10_chr19:3471425-3471436(-)::chr19:3471424-3471436(-) AACAGGAAGGAC >mm10_chr19:3562883-3562894(-)::chr19:3562882-3562894(-) tccaggaagaga >mm10_chr19:3562902-3562913(-)::chr19:3562901-3562913(-) tcggggaagtag >mm10_chr19:3630456-3630467(-)::chr19:3630455-3630467(-) CTGAGGAAGTGC >mm10_chr19:3632198-3632209(-)::chr19:3632197-3632209(-) ACCAGGAAGTTT >mm10_chr19:3632271-3632282(-)::chr19:3632270-3632282(-) GCCAGGAAGTGT >mm10_chr19:3635072-3635083(-)::chr19:3635071-3635083(-) ggcaggaaggac >mm10_chr19:3653630-3653641(-)::chr19:3653629-3653641(-) CTCAGGAAGTGG >mm10_chr19:3654307-3654318(+)::chr19:3654306-3654318(+) AGAAGCAAGTGT >mm10_chr19:3665794-3665805(+)::chr19:3665793-3665805(+) AACAGGAAATAA >mm10_chr19:3674693-3674704(+)::chr19:3674692-3674704(+) CAAAGGAAGAGG >mm10_chr19:3740692-3740703(+)::chr19:3740691-3740703(+) aggaggaagaag >mm10_chr19:3753939-3753950(-)::chr19:3753938-3753950(-) atcaggaagcag >mm10_chr19:3778349-3778360(+)::chr19:3778348-3778360(+) GGCAGGAAGATG >mm10_chr19:3778382-3778393(-)::chr19:3778381-3778393(-) CTCAGGAAGTAT >mm10_chr19:3833151-3833162(-)::chr19:3833150-3833162(-) GATAGGAAGGGG >mm10_chr19:3842974-3842985(-)::chr19:3842973-3842985(-) tccaggaagtgg >mm10_chr19:3846073-3846084(+)::chr19:3846072-3846084(+) TGCAGGAAGGAA >mm10_chr19:3854192-3854203(-)::chr19:3854191-3854203(-) AAGAGGAAGTGC >mm10_chr19:3855293-3855304(-)::chr19:3855292-3855304(-) ACCAGGAAGATG >mm10_chr19:3860818-3860829(-)::chr19:3860817-3860829(-) ggcaggaagatc >mm10_chr19:3860831-3860842(-)::chr19:3860830-3860842(-) ACcaggaagcag >mm10_chr19:3870542-3870553(-)::chr19:3870541-3870553(-) ACAAGGAAAAGA >mm10_chr19:3880676-3880687(-)::chr19:3880675-3880687(-) TCAAGGAAGTAA >mm10_chr19:3880695-3880706(-)::chr19:3880694-3880706(-) TGGAGGAAGTCT >mm10_chr19:3887123-3887134(+)::chr19:3887122-3887134(+) TGAAGGAAACCG >mm10_chr19:3905485-3905496(-)::chr19:3905484-3905496(-) GCCAGGAAGTGT >mm10_chr19:3906643-3906654(-)::chr19:3906642-3906654(-) TGAAGGAAGACT >mm10_chr19:3906727-3906738(-)::chr19:3906726-3906738(-) ACTAGGAAGTGG >mm10_chr19:3912985-3912996(-)::chr19:3912984-3912996(-) GTCAGGAAGTCC >mm10_chr19:3922953-3922964(+)::chr19:3922952-3922964(+) ATAGGGAAGTGG >mm10_chr19:3931846-3931857(-)::chr19:3931845-3931857(-) AAGAGGAAGCGA >mm10_chr19:3931911-3931922(-)::chr19:3931910-3931922(-) CCAAGGAAGTGT >mm10_chr19:3935195-3935206(+)::chr19:3935194-3935206(+) TGAAGGAAGTCC >mm10_chr19:3936006-3936017(-)::chr19:3936005-3936017(-) CCCGGGAAGTGA >mm10_chr19:3994759-3994770(-)::chr19:3994758-3994770(-) ACAAGGAAATAG >mm10_chr19:3994777-3994788(-)::chr19:3994776-3994788(-) ggaaGGAAGGGA >mm10_chr19:3994781-3994792(-)::chr19:3994780-3994792(-) aagaggaaGGAA >mm10_chr19:4000164-4000175(+)::chr19:4000163-4000175(+) ATAGGGAAGTCC >mm10_chr19:4012713-4012724(+)::chr19:4012712-4012724(+) CACAGGAAGTGC >mm10_chr19:4012891-4012902(-)::chr19:4012890-4012902(-) AAAAGGAAGAGT >mm10_chr19:4046016-4046027(+)::chr19:4046015-4046027(+) AGGAGGAAGCAG >mm10_chr19:4085258-4085269(+)::chr19:4085257-4085269(+) ACTAGGAAGAAA >mm10_chr19:4085273-4085284(+)::chr19:4085272-4085284(+) GCAGGGAAGTCT >mm10_chr19:4085280-4085291(-)::chr19:4085279-4085291(-) ACCAGGAAGACT >mm10_chr19:4085322-4085333(-)::chr19:4085321-4085333(-) AGAAGGAAGAGA >mm10_chr19:4147316-4147327(+)::chr19:4147315-4147327(+) AACAGGAAGAAC >mm10_chr19:4148624-4148635(-)::chr19:4148623-4148635(-) ATCAGGAAGTGA >mm10_chr19:4148638-4148649(-)::chr19:4148637-4148649(-) AGCAGGAAGCGG >mm10_chr19:4173340-4173351(+)::chr19:4173339-4173351(+) AAGAGGAAGGAG >mm10_chr19:4197677-4197688(-)::chr19:4197676-4197688(-) ACCAGGAAGAGG >mm10_chr19:4234950-4234961(+)::chr19:4234949-4234961(+) aggaggaagagg >mm10_chr19:4234956-4234967(+)::chr19:4234955-4234967(+) aagaggaaggag >mm10_chr19:4234999-4235010(+)::chr19:4234998-4235010(+) agtaggaaggga >mm10_chr19:4269164-4269175(+)::chr19:4269163-4269175(+) ACCAGGAAGAAG >mm10_chr19:4300338-4300349(+)::chr19:4300337-4300349(+) AGTAGGAAGAGG >mm10_chr19:4300350-4300361(-)::chr19:4300349-4300361(-) GAGAGGAAGTTC >mm10_chr19:4302399-4302410(+)::chr19:4302398-4302410(+) AGAAGGAAGAGT >mm10_chr19:4326796-4326807(-)::chr19:4326795-4326807(-) TAAAGGAAATGT >mm10_chr19:4326826-4326837(-)::chr19:4326825-4326837(-) ACCAGGAAGACA >mm10_chr19:4333893-4333904(-)::chr19:4333892-4333904(-) CACAGGAAGCCG >mm10_chr19:4340539-4340550(+)::chr19:4340538-4340550(+) aggaggaagagg >mm10_chr19:4379244-4379255(-)::chr19:4379243-4379255(-) actaggaagttg >mm10_chr19:4408529-4408540(+)::chr19:4408528-4408540(+) ACAAGGAAATGA >mm10_chr19:4409192-4409203(+)::chr19:4409191-4409203(+) ATGAGGAAGAGA >mm10_chr19:4420749-4420760(+)::chr19:4420748-4420760(+) AGAGGGAAGTGG >mm10_chr19:4462021-4462032(+)::chr19:4462020-4462032(+) AAAAGGAAGCGG >mm10_chr19:4481570-4481581(-)::chr19:4481569-4481581(-) AAAAGGAACTAC >mm10_chr19:4482665-4482676(-)::chr19:4482664-4482676(-) atgcggaagtca >mm10_chr19:4490924-4490935(-)::chr19:4490923-4490935(-) ATAAGGAAGATC >mm10_chr19:4493588-4493599(-)::chr19:4493587-4493599(-) aggaggaagagg >mm10_chr19:4493610-4493621(-)::chr19:4493609-4493621(-) AGAAGGAaggag >mm10_chr19:4494772-4494783(-)::chr19:4494771-4494783(-) AGAAGGAAGAAG >mm10_chr19:4502226-4502237(+)::chr19:4502225-4502237(+) CCAAGGAAGGAA >mm10_chr19:4502261-4502272(-)::chr19:4502260-4502272(-) AGCAGGATGTTA >mm10_chr19:4502268-4502279(-)::chr19:4502267-4502279(-) AGGAGGAAGCAG >mm10_chr19:4504453-4504464(+)::chr19:4504452-4504464(+) aggaggaagctg >mm10_chr19:4506075-4506086(+)::chr19:4506074-4506086(+) acgaggaagcat >mm10_chr19:4507021-4507032(-)::chr19:4507020-4507032(-) ggaaggaaggaT >mm10_chr19:4507025-4507036(-)::chr19:4507024-4507036(-) ggaaggaaggaa >mm10_chr19:4507029-4507040(-)::chr19:4507028-4507040(-) ggaaggaaggaa >mm10_chr19:4507033-4507044(-)::chr19:4507032-4507044(-) ggaaggaaggaa >mm10_chr19:4507037-4507048(-)::chr19:4507036-4507048(-) ggaaggaaggaa >mm10_chr19:4507041-4507052(-)::chr19:4507040-4507052(-) TTaaggaaggaa >mm10_chr19:4510183-4510194(-)::chr19:4510182-4510194(-) AGGCGGAAGTGG >mm10_chr19:4525838-4525849(-)::chr19:4525837-4525849(-) taaaggaaggtc >mm10_chr19:4526025-4526036(+)::chr19:4526024-4526036(+) tataggaagagg >mm10_chr19:4526037-4526048(+)::chr19:4526036-4526048(+) aggaggaagaga >mm10_chr19:4543712-4543723(-)::chr19:4543711-4543723(-) TCCAGGAAGAAA >mm10_chr19:4555138-4555149(-)::chr19:4555137-4555149(-) GTAAGGAAGAAA >mm10_chr19:4560242-4560253(+)::chr19:4560241-4560253(+) caaaggaaggga >mm10_chr19:4560302-4560313(+)::chr19:4560301-4560313(+) ATGAGGAAGGAG >mm10_chr19:4571802-4571813(-)::chr19:4571801-4571813(-) agtaggaagtgc >mm10_chr19:4571860-4571871(-)::chr19:4571859-4571871(-) ACAAGGAAGTGA >mm10_chr19:4579225-4579236(-)::chr19:4579224-4579236(-) GAAAGGAAGAGT >mm10_chr19:4590122-4590133(-)::chr19:4590121-4590133(-) AGAAGGAAGAAC >mm10_chr19:4614759-4614770(+)::chr19:4614758-4614770(+) GGCAGGAAGTGG >mm10_chr19:4614799-4614810(-)::chr19:4614798-4614810(-) AGAAGGAAGTTC >mm10_chr19:4618905-4618916(+)::chr19:4618904-4618916(+) AGAAGGAGGTAC >mm10_chr19:4618914-4618925(+)::chr19:4618913-4618925(+) TACAGGAAGTCA >mm10_chr19:4618922-4618933(+)::chr19:4618921-4618933(+) GTCAGGAAGAAT >mm10_chr19:4756113-4756124(-)::chr19:4756112-4756124(-) GGTAGGAAGGGG >mm10_chr19:4810772-4810783(+)::chr19:4810771-4810783(+) GAGAGGAAGTGG >mm10_chr19:4811924-4811935(+)::chr19:4811923-4811935(+) TTAGGGAAGTCC >mm10_chr19:4817827-4817838(+)::chr19:4817826-4817838(+) acaaggaagtaa >mm10_chr19:4817865-4817876(+)::chr19:4817864-4817876(+) atagggaagtag >mm10_chr19:4930635-4930646(-)::chr19:4930634-4930646(-) AAAAGGAAGTGG >mm10_chr19:4943462-4943473(+)::chr19:4943461-4943473(+) CGGAGGAAGGTG >mm10_chr19:4955063-4955074(-)::chr19:4955062-4955074(-) TTCAGGAAGTTG >mm10_chr19:4999635-4999646(+)::chr19:4999634-4999646(+) ACCAGGAAGCTG >mm10_chr19:5016652-5016663(-)::chr19:5016651-5016663(-) ACCAGGAAGCAA >mm10_chr19:5041350-5041361(+)::chr19:5041349-5041361(+) ACACGGAAGCGT >mm10_chr19:5050760-5050771(+)::chr19:5050759-5050771(+) TTCAGGAAGTGT >mm10_chr19:5051353-5051364(-)::chr19:5051352-5051364(-) ACCAGGAAGGTC >mm10_chr19:5052341-5052352(-)::chr19:5052340-5052352(-) GGGAGGAAGACA >mm10_chr19:5057932-5057943(-)::chr19:5057931-5057943(-) GCAAGGAAATGG >mm10_chr19:5106093-5106104(+)::chr19:5106092-5106104(+) TCAAGGAAATCT >mm10_chr19:5106126-5106137(+)::chr19:5106125-5106137(+) AAAAGGAAGTGG >mm10_chr19:5188890-5188901(-)::chr19:5188889-5188901(-) GAAAGGAAGCCA >mm10_chr19:5209113-5209124(+)::chr19:5209112-5209124(+) ACAAGGAAGAAA >mm10_chr19:5211823-5211834(+)::chr19:5211822-5211834(+) AACAGGAAGGCC >mm10_chr19:5240788-5240799(-)::chr19:5240787-5240799(-) ttcaggaagtgg >mm10_chr19:5256995-5257006(+)::chr19:5256994-5257006(+) atgaggaagtaa >mm10_chr19:5295459-5295470(-)::chr19:5295458-5295470(-) AACCGGAAGTTT >mm10_chr19:5326921-5326932(-)::chr19:5326920-5326932(-) aggaggaagaga >mm10_chr19:5388687-5388698(-)::chr19:5388686-5388698(-) ACCCGGAAGCGT >mm10_chr19:5408555-5408566(+)::chr19:5408554-5408566(+) aaagggaagtga >mm10_chr19:5430611-5430622(-)::chr19:5430610-5430622(-) TAAAGGATGTGC >mm10_chr19:5460611-5460622(+)::chr19:5460610-5460622(+) TCCCGGAAGTCG >mm10_chr19:5460854-5460865(+)::chr19:5460853-5460865(+) TTAGGGAAGTGG >mm10_chr19:5464456-5464467(-)::chr19:5464455-5464467(-) GCAAGGAAGTTA >mm10_chr19:5467886-5467897(-)::chr19:5467885-5467897(-) TGAAGGAAGTCT >mm10_chr19:5491385-5491396(+)::chr19:5491384-5491396(+) TTAGGGAAGTGA >mm10_chr19:5560281-5560292(+)::chr19:5560280-5560292(+) ACCAGGAACGCG >mm10_chr19:5564463-5564474(-)::chr19:5564462-5564474(-) AACAGGAAGTCT >mm10_chr19:5564476-5564487(+)::chr19:5564475-5564487(+) TCACGGAAGTCC >mm10_chr19:5567602-5567613(-)::chr19:5567601-5567613(-) AGCAGGAAGAGC >mm10_chr19:5572838-5572849(+)::chr19:5572837-5572849(+) ACAAGGAAGATG >mm10_chr19:5572881-5572892(+)::chr19:5572880-5572892(+) ATCAGGAAGGCG >mm10_chr19:5580194-5580205(-)::chr19:5580193-5580205(-) tagaggaagtct >mm10_chr19:5599341-5599352(-)::chr19:5599340-5599352(-) gaaaggaagatt >mm10_chr19:5599387-5599398(+)::chr19:5599386-5599398(+) AGGAGGAAGGGC >mm10_chr19:5599398-5599409(+)::chr19:5599397-5599409(+) CTAAGGAAGGGG >mm10_chr19:5601919-5601930(+)::chr19:5601918-5601930(+) CGGAGGAAGCGG >mm10_chr19:5604044-5604055(+)::chr19:5604043-5604055(+) ATGAGGAAGAAG >mm10_chr19:5648834-5648845(-)::chr19:5648833-5648845(-) AACCGGAAGTAT >mm10_chr19:5689024-5689035(-)::chr19:5689023-5689035(-) ACCCGGAAGTAG >mm10_chr19:5806176-5806187(-)::chr19:5806175-5806187(-) ATAAGTAAGTTA >mm10_chr19:5806194-5806205(-)::chr19:5806193-5806205(-) ATTAGGAAATTA >mm10_chr19:5806207-5806218(+)::chr19:5806206-5806218(+) TGCAGGAAGAGA >mm10_chr19:5810506-5810517(+)::chr19:5810505-5810517(+) GGGAGGAAGTTG >mm10_chr19:5810543-5810554(+)::chr19:5810542-5810554(+) ACAAGGAAGTCT >mm10_chr19:5816122-5816133(-)::chr19:5816121-5816133(-) AGCAGGAAGCCA >mm10_chr19:5824636-5824647(+)::chr19:5824635-5824647(+) AGCAGGAAGGAA >mm10_chr19:5824640-5824651(+)::chr19:5824639-5824651(+) GGAAGGAAGCAC >mm10_chr19:5831038-5831049(+)::chr19:5831037-5831049(+) ggaaggaaggac >mm10_chr19:5849064-5849075(-)::chr19:5849063-5849075(-) AAGAGGAAATGG >mm10_chr19:5877754-5877765(+)::chr19:5877753-5877765(+) AGCAGGAAGCAG >mm10_chr19:5882232-5882243(+)::chr19:5882231-5882243(+) GCGAGGAACTGT >mm10_chr19:5907163-5907174(+)::chr19:5907162-5907174(+) TGTAGGAAGGTA >mm10_chr19:5911997-5912008(+)::chr19:5911996-5912008(+) AGAAGGAAGGAA >mm10_chr19:5912001-5912012(+)::chr19:5912000-5912012(+) GGAAGGAAGAGC >mm10_chr19:5930191-5930202(-)::chr19:5930190-5930202(-) AGGAGGAAGGAA >mm10_chr19:5945728-5945739(+)::chr19:5945727-5945739(+) GGAAGGAAGACC >mm10_chr19:5955792-5955803(+)::chr19:5955791-5955803(+) TCTAGGAAGTGA >mm10_chr19:5955827-5955838(-)::chr19:5955826-5955838(-) TTAAGGAAGCTT >mm10_chr19:5989215-5989226(-)::chr19:5989214-5989226(-) GGAAGGAAGGCA >mm10_chr19:5989219-5989230(-)::chr19:5989218-5989230(-) AACAGGAAGGAA >mm10_chr19:6018008-6018019(+)::chr19:6018007-6018019(+) gccaggaagcta >mm10_chr19:6057659-6057670(-)::chr19:6057658-6057670(-) CGACGGAAGCTG >mm10_chr19:6057944-6057955(-)::chr19:6057943-6057955(-) AAGAGGAAGAAG >mm10_chr19:6061181-6061192(+)::chr19:6061180-6061192(+) CGCCGGAAGTGG >mm10_chr19:6164668-6164679(-)::chr19:6164667-6164679(-) AGGAGGAACTAA >mm10_chr19:6164691-6164702(-)::chr19:6164690-6164702(-) GCCAGGAAGTCA >mm10_chr19:6187107-6187118(-)::chr19:6187106-6187118(-) gggaggaagtgc >mm10_chr19:6189454-6189465(+)::chr19:6189453-6189465(+) acCAGGAAGCAT >mm10_chr19:6193177-6193188(-)::chr19:6193176-6193188(-) agaaggaaggta >mm10_chr19:6193184-6193195(-)::chr19:6193183-6193195(-) tggaggaagaag >mm10_chr19:6206235-6206246(+)::chr19:6206234-6206246(+) ATAAGGAAGTCA >mm10_chr19:6242464-6242475(-)::chr19:6242463-6242475(-) AGAAGGCAGTGA >mm10_chr19:6242512-6242523(-)::chr19:6242511-6242523(-) AGCAGGAAGTAG >mm10_chr19:6270098-6270109(-)::chr19:6270097-6270109(-) AGAAGGAAGTCA >mm10_chr19:6276094-6276105(-)::chr19:6276093-6276105(-) GTAAGGAAGAGG >mm10_chr19:6276123-6276134(-)::chr19:6276122-6276134(-) TGGAGGAAGTGA >mm10_chr19:6291321-6291332(+)::chr19:6291320-6291332(+) CGCAGGAACTGG >mm10_chr19:6291493-6291504(+)::chr19:6291492-6291504(+) ACAAGGAAGGCC >mm10_chr19:6306975-6306986(-)::chr19:6306974-6306986(-) ATGAGGAAGTTT >mm10_chr19:6313460-6313471(+)::chr19:6313459-6313471(+) AGAAGGAAGTAC >mm10_chr19:6340819-6340830(-)::chr19:6340818-6340830(-) AACAGGAAGAGG >mm10_chr19:6364053-6364064(+)::chr19:6364052-6364064(+) ACGAGGAACCGC >mm10_chr19:6389828-6389839(+)::chr19:6389827-6389839(+) TGGAGGAAGGAG >mm10_chr19:6466493-6466504(-)::chr19:6466492-6466504(-) TTCAGGAAGTTA >mm10_chr19:6466518-6466529(+)::chr19:6466517-6466529(+) TCAAGGATGTGT >mm10_chr19:6466563-6466574(+)::chr19:6466562-6466574(+) GTCAGGAAGCCG >mm10_chr19:6504200-6504211(-)::chr19:6504199-6504211(-) taagggaagcgg >mm10_chr19:6504255-6504266(-)::chr19:6504254-6504266(-) aggaggaaggag >mm10_chr19:6504403-6504414(+)::chr19:6504402-6504414(+) ACCAGGAAGATT >mm10_chr19:6537748-6537759(+)::chr19:6537747-6537759(+) ACCGGGAAGTCC >mm10_chr19:6537781-6537792(+)::chr19:6537780-6537792(+) AGCAGGAAGATA >mm10_chr19:6558111-6558122(+)::chr19:6558110-6558122(+) agcaggaagtgg >mm10_chr19:6558125-6558136(+)::chr19:6558124-6558136(+) agcaggaaacga >mm10_chr19:6606622-6606633(-)::chr19:6606621-6606633(-) ACCAGGAAGAGC >mm10_chr19:6858250-6858261(-)::chr19:6858249-6858261(-) AGAAGGAAGCTG >mm10_chr19:6860935-6860946(-)::chr19:6860934-6860946(-) TAGAGGAAGTAA >mm10_chr19:6886780-6886791(-)::chr19:6886779-6886791(-) ctaaggaagcca >mm10_chr19:6892758-6892769(-)::chr19:6892757-6892769(-) TGGAGGAAGTGC >mm10_chr19:6907780-6907791(-)::chr19:6907779-6907791(-) aaaaggaagtgg >mm10_chr19:6921266-6921277(+)::chr19:6921265-6921277(+) ATCAGGAAGTAA >mm10_chr19:6921287-6921298(+)::chr19:6921286-6921298(+) ACAAGGAAGAAG >mm10_chr19:6921785-6921796(-)::chr19:6921784-6921796(-) AGTAGGAAGCAG >mm10_chr19:6921797-6921808(-)::chr19:6921796-6921808(-) TGGAGGAAGCGG >mm10_chr19:6942371-6942382(+)::chr19:6942370-6942382(+) TTGAGGAAGTCC >mm10_chr19:6942415-6942426(+)::chr19:6942414-6942426(+) GGGAGGAAGGCG >mm10_chr19:6976361-6976372(+)::chr19:6976360-6976372(+) GACAGGAAGTTC >mm10_chr19:6980658-6980669(+)::chr19:6980657-6980669(+) TCAAGCAAGTAT >mm10_chr19:6996476-6996487(+)::chr19:6996475-6996487(+) TGGAGGAAGGAG >mm10_chr19:6996483-6996494(+)::chr19:6996482-6996494(+) AGGAGGAAGGAA >mm10_chr19:6996487-6996498(+)::chr19:6996486-6996498(+) GGAAGGAAGGTA >mm10_chr19:7066904-7066915(-)::chr19:7066903-7066915(-) GGGAGGAAGGGA >mm10_chr19:7107510-7107521(+)::chr19:7107509-7107521(+) ACAAGGAAATTG >mm10_chr19:7179368-7179379(-)::chr19:7179367-7179379(-) AGCAGGAAGCCC >mm10_chr19:7206284-7206295(-)::chr19:7206283-7206295(-) ACCCGGAAGCGA >mm10_chr19:7215457-7215468(+)::chr19:7215456-7215468(+) AGCAGGAAGTGA >mm10_chr19:7217576-7217587(+)::chr19:7217575-7217587(+) AGCCGGAAGTTC >mm10_chr19:7217613-7217624(+)::chr19:7217612-7217624(+) AGCCGGAAGTAT >mm10_chr19:7226722-7226733(+)::chr19:7226721-7226733(+) ATGGGGAAGTGG >mm10_chr19:7226765-7226776(-)::chr19:7226764-7226776(-) TCAAGGAAGCAA >mm10_chr19:7227094-7227105(+)::chr19:7227093-7227105(+) ACCAGGAAGGAA >mm10_chr19:7227098-7227109(+)::chr19:7227097-7227109(+) GGAAGGAAGGGT >mm10_chr19:7240356-7240367(+)::chr19:7240355-7240367(+) GTAAGGAAGACT >mm10_chr19:7240381-7240392(+)::chr19:7240380-7240392(+) AGCAGGAAGAAG >mm10_chr19:7274874-7274885(-)::chr19:7274873-7274885(-) GCCAGGAAGCAA >mm10_chr19:7295417-7295428(+)::chr19:7295416-7295428(+) AGCAGGAAGAGA >mm10_chr19:7295435-7295446(+)::chr19:7295434-7295446(+) AGCAGGAAGGGA >mm10_chr19:7295467-7295478(-)::chr19:7295466-7295478(-) AGAAGGAAGTTG >mm10_chr19:7303473-7303484(+)::chr19:7303472-7303484(+) GGAGGGAAGTGA >mm10_chr19:7307184-7307195(+)::chr19:7307183-7307195(+) AGCAGGAAGTTG >mm10_chr19:7311135-7311146(+)::chr19:7311134-7311146(+) ACAAGGAAATGA >mm10_chr19:7311143-7311154(+)::chr19:7311142-7311154(+) ATGAGGAAGAAT >mm10_chr19:7320377-7320388(+)::chr19:7320376-7320388(+) AGCAGGAACTAA >mm10_chr19:7331043-7331054(+)::chr19:7331042-7331054(+) GAAAGGAAGAGG >mm10_chr19:7335818-7335829(-)::chr19:7335817-7335829(-) ACAAGGAAGAAG >mm10_chr19:7340072-7340083(+)::chr19:7340071-7340083(+) TTAAGGAGGTAT >mm10_chr19:7340111-7340122(+)::chr19:7340110-7340122(+) AATAGGAAGTAG >mm10_chr19:7345737-7345748(-)::chr19:7345736-7345748(-) AAGAGGAAGATC >mm10_chr19:7358641-7358652(+)::chr19:7358640-7358652(+) ACCAGGAAGGTC >mm10_chr19:7371956-7371967(+)::chr19:7371955-7371967(+) CCCAGGAAGTAA >mm10_chr19:7379154-7379165(-)::chr19:7379153-7379165(-) AAAAGGAAGGCT >mm10_chr19:7379178-7379189(+)::chr19:7379177-7379189(+) TGAAGGATGTGC >mm10_chr19:7399889-7399900(+)::chr19:7399888-7399900(+) agaaggaaggag >mm10_chr19:7399902-7399913(+)::chr19:7399901-7399913(+) aggaggaagaag >mm10_chr19:7399909-7399920(+)::chr19:7399908-7399920(+) agaaggaagaag >mm10_chr19:7399916-7399927(+)::chr19:7399915-7399927(+) agaaggaagagg >mm10_chr19:7400772-7400783(+)::chr19:7400771-7400783(+) TGAAGGAAGACC >mm10_chr19:7400818-7400829(-)::chr19:7400817-7400829(-) TGCAGGAAGGAA >mm10_chr19:7441863-7441874(-)::chr19:7441862-7441874(-) ATAAGGAAGTCA >mm10_chr19:7471753-7471764(+)::chr19:7471752-7471764(+) GAAAGGAAGCAA >mm10_chr19:7471765-7471776(+)::chr19:7471764-7471776(+) AGAAGGAAAAGA >mm10_chr19:7475307-7475318(-)::chr19:7475306-7475318(-) AAGAGGAAATTA >mm10_chr19:7492866-7492877(+)::chr19:7492865-7492877(+) accaggaagtcc >mm10_chr19:7493314-7493325(+)::chr19:7493313-7493325(+) AGAGGGAAGTTC >mm10_chr19:7503271-7503282(-)::chr19:7503270-7503282(-) AGGAGGAAGAGT >mm10_chr19:7562350-7562361(+)::chr19:7562349-7562361(+) ATAAGGAAGGCT >mm10_chr19:7568606-7568617(+)::chr19:7568605-7568617(+) TAGAGGAAGGAG >mm10_chr19:7580838-7580849(+)::chr19:7580837-7580849(+) GGAAGGAAGCAG >mm10_chr19:7580873-7580884(-)::chr19:7580872-7580884(-) ACCAGGAACTAA >mm10_chr19:7597275-7597286(-)::chr19:7597274-7597286(-) AAAAGGAAGCTG >mm10_chr19:7598711-7598722(+)::chr19:7598710-7598722(+) TTAAGGAAATGG >mm10_chr19:7617798-7617809(-)::chr19:7617797-7617809(-) GCAAGTAAGTGG >mm10_chr19:7617814-7617825(-)::chr19:7617813-7617825(-) AAGAGGAAATAC >mm10_chr19:7625040-7625051(-)::chr19:7625039-7625051(-) gtagggaagtgc >mm10_chr19:7625093-7625104(-)::chr19:7625092-7625104(-) tgcaggaagttt >mm10_chr19:7673713-7673724(-)::chr19:7673712-7673724(-) TCAGGGAAGTAC >mm10_chr19:7960586-7960597(-)::chr19:7960585-7960597(-) TTAAGGAAGTCA >mm10_chr19:7960598-7960609(-)::chr19:7960597-7960609(-) ACGAGGAAATTC >mm10_chr19:8474154-8474165(-)::chr19:8474153-8474165(-) gtaaggaagtgt >mm10_chr19:8567392-8567403(-)::chr19:8567391-8567403(-) CCAAGGAAATGT >mm10_chr19:8572086-8572097(+)::chr19:8572085-8572097(+) AAGAGGAAGGGA >mm10_chr19:8679600-8679611(+)::chr19:8679599-8679611(+) GGCAGGAAGTCA >mm10_chr19:8680374-8680385(+)::chr19:8680373-8680385(+) ATAAGGAATTCC >mm10_chr19:8680855-8680866(+)::chr19:8680854-8680866(+) TAAGGGAAGTTC >mm10_chr19:8683211-8683222(-)::chr19:8683210-8683222(-) AAATGGAAGTAA >mm10_chr19:8702700-8702711(-)::chr19:8702699-8702711(-) AGCAGGAAGTTC >mm10_chr19:8702722-8702733(-)::chr19:8702721-8702733(-) GGCAGGAAGCAA >mm10_chr19:8723490-8723501(-)::chr19:8723489-8723501(-) ACAAGGAAAAAT >mm10_chr19:8725892-8725903(-)::chr19:8725891-8725903(-) GACAGGAAGTAG >mm10_chr19:8756637-8756648(+)::chr19:8756636-8756648(+) TGGAGGAAGAAA >mm10_chr19:8774443-8774454(+)::chr19:8774442-8774454(+) ACCAGGAAGACA >mm10_chr19:8802306-8802317(+)::chr19:8802305-8802317(+) TGAGGGAAGGCG >mm10_chr19:8802320-8802331(+)::chr19:8802319-8802331(+) ATGAGGAAGAAA >mm10_chr19:8803416-8803427(+)::chr19:8803415-8803427(+) AGAAGGAAGAGG >mm10_chr19:8819284-8819295(+)::chr19:8819283-8819295(+) GAGAGGAAGAGA >mm10_chr19:8829846-8829857(+)::chr19:8829845-8829857(+) AGGAGGAAGCAA >mm10_chr19:8829854-8829865(+)::chr19:8829853-8829865(+) GCAAGGAAGCTA >mm10_chr19:8850561-8850572(+)::chr19:8850560-8850572(+) GACAGGAAATGA >mm10_chr19:8850582-8850593(+)::chr19:8850581-8850593(+) ACGCGGAAGGAT >mm10_chr19:8871446-8871457(+)::chr19:8871445-8871457(+) ACCAGGAAGGAC >mm10_chr19:8872579-8872590(-)::chr19:8872578-8872590(-) GGAAGGAAGATG >mm10_chr19:8872583-8872594(-)::chr19:8872582-8872594(-) GAGAGGAAGGAA >mm10_chr19:8880855-8880866(-)::chr19:8880854-8880866(-) GGGAGGAAGCGG >mm10_chr19:8880874-8880885(+)::chr19:8880873-8880885(+) AGAAGGAAGCCA >mm10_chr19:8899294-8899305(-)::chr19:8899293-8899305(-) AGCAGGAAATTT >mm10_chr19:8899337-8899348(-)::chr19:8899336-8899348(-) AAAAGGAAATTG >mm10_chr19:8920599-8920610(-)::chr19:8920598-8920610(-) ACCAGGAAGTAG >mm10_chr19:8921789-8921800(-)::chr19:8921788-8921800(-) ACCAGGAAGCAC >mm10_chr19:8922490-8922501(-)::chr19:8922489-8922501(-) agaaggaagagg >mm10_chr19:8929425-8929436(+)::chr19:8929424-8929436(+) TCGCGGAAGTGA >mm10_chr19:8931516-8931527(-)::chr19:8931515-8931527(-) AGGAGGAAGGTG >mm10_chr19:8944850-8944861(-)::chr19:8944849-8944861(-) aaaagggagtta >mm10_chr19:8953821-8953832(-)::chr19:8953820-8953832(-) AGTAGGAAGTGA >mm10_chr19:8953837-8953848(+)::chr19:8953836-8953848(+) TGACGGAAGTGG >mm10_chr19:8966793-8966804(+)::chr19:8966792-8966804(+) AAAAGGAATTCA >mm10_chr19:8983598-8983609(+)::chr19:8983597-8983609(+) CAGAGGAAGTTA >mm10_chr19:8983621-8983632(+)::chr19:8983620-8983632(+) CCAAGGAAGAGT >mm10_chr19:8992203-8992214(+)::chr19:8992202-8992214(+) TAGAGGAAGGGA >mm10_chr19:8993316-8993327(-)::chr19:8993315-8993327(-) AGCAGGAAGGGA >mm10_chr19:9028571-9028582(-)::chr19:9028570-9028582(-) ACAAGGAAAGGG >mm10_chr19:9029829-9029840(-)::chr19:9029828-9029840(-) aggaggaaatgc >mm10_chr19:9046250-9046261(+)::chr19:9046249-9046261(+) tgaaggaagtca >mm10_chr19:9062883-9062894(-)::chr19:9062882-9062894(-) AAGAGGAAGAAG >mm10_chr19:9062908-9062919(-)::chr19:9062907-9062919(-) gagaggaaggag >mm10_chr19:9062919-9062930(-)::chr19:9062918-9062930(-) aggaggaagaag >mm10_chr19:9064945-9064956(+)::chr19:9064944-9064956(+) ATGAGGAAGTTT >mm10_chr19:9070442-9070453(-)::chr19:9070441-9070453(-) AGAAGGAAGTGT >mm10_chr19:9071614-9071625(-)::chr19:9071613-9071625(-) AGAAGGAAATTA >mm10_chr19:9082740-9082751(-)::chr19:9082739-9082751(-) ACAAGGAAGCTA >mm10_chr19:9103465-9103476(+)::chr19:9103464-9103476(+) AGAAGGAAGAAA >mm10_chr19:9103503-9103514(-)::chr19:9103502-9103514(-) ggaaggaagAGC >mm10_chr19:9103507-9103518(-)::chr19:9103506-9103518(-) agaaggaaggaa >mm10_chr19:9444881-9444892(-)::chr19:9444880-9444892(-) ATGAGGAAGAAG >mm10_chr19:9886858-9886869(-)::chr19:9886857-9886869(-) ATGAGGAAGAGA >mm10_chr19:9899693-9899704(+)::chr19:9899692-9899704(+) GCGAGGAAGTGG >mm10_chr19:9938338-9938349(+)::chr19:9938337-9938349(+) gagaggaagagg >mm10_chr19:9938362-9938373(+)::chr19:9938361-9938373(+) aggaggaaggag >mm10_chr19:9938691-9938702(+)::chr19:9938690-9938702(+) aagaggaagagG >mm10_chr19:9938725-9938736(+)::chr19:9938724-9938736(+) AGTAGGAAGAAC >mm10_chr19:9942391-9942402(-)::chr19:9942390-9942402(-) TGGAGGAAGGGG >mm10_chr19:9952533-9952544(+)::chr19:9952532-9952544(+) atcaggaaggaa >mm10_chr19:9952537-9952548(+)::chr19:9952536-9952548(+) ggaaggaagtca >mm10_chr19:9952577-9952588(+)::chr19:9952576-9952588(+) agcaggaactga >mm10_chr19:9952930-9952941(-)::chr19:9952929-9952941(-) AGCAGGAAGTTA >mm10_chr19:9969810-9969821(+)::chr19:9969809-9969821(+) AAaaggaagagg >mm10_chr19:9969819-9969830(+)::chr19:9969818-9969830(+) aggaggaagaag >mm10_chr19:9969826-9969837(+)::chr19:9969825-9969837(+) agaaggaaggga >mm10_chr19:9969844-9969855(+)::chr19:9969843-9969855(+) gggaggaaggga >mm10_chr19:9969872-9969883(+)::chr19:9969871-9969883(+) aaaaggaaggaa >mm10_chr19:9969876-9969887(+)::chr19:9969875-9969887(+) ggaaggaaggaa >mm10_chr19:9969880-9969891(+)::chr19:9969879-9969891(+) ggaaggaaggaa >mm10_chr19:9970379-9970390(+)::chr19:9970378-9970390(+) GGAAGGAATTAG >mm10_chr19:9978503-9978514(-)::chr19:9978502-9978514(-) GGAAGGAAGGAT >mm10_chr19:9978521-9978532(-)::chr19:9978520-9978532(-) GACAGGAAGGGC >mm10_chr19:9983647-9983658(+)::chr19:9983646-9983658(+) ACAAGGAAGTTT >mm10_chr19:10035183-10035194(+)::chr19:10035182-10035194(+) GCCAGGAAGTCT >mm10_chr19:10037676-10037687(+)::chr19:10037675-10037687(+) ttgaggaagtct >mm10_chr19:10070494-10070505(+)::chr19:10070493-10070505(+) GAGAGGAAGTGA >mm10_chr19:10071221-10071232(-)::chr19:10071220-10071232(-) agaaggaaaagc >mm10_chr19:10078794-10078805(+)::chr19:10078793-10078805(+) AGAAGGAAGCCC >mm10_chr19:10085751-10085762(+)::chr19:10085750-10085762(+) AGAAGGAAAAGT >mm10_chr19:10085784-10085795(+)::chr19:10085783-10085795(+) ACGAGGATGTTA >mm10_chr19:10102443-10102454(-)::chr19:10102442-10102454(-) ACGAGGAAGAAA >mm10_chr19:10104178-10104189(-)::chr19:10104177-10104189(-) AGCAGGAAGAAC >mm10_chr19:10118161-10118172(-)::chr19:10118160-10118172(-) TGAAGGAAATCC >mm10_chr19:10118184-10118195(-)::chr19:10118183-10118195(-) AAGAGGAAGCAG >mm10_chr19:10134825-10134836(-)::chr19:10134824-10134836(-) GACAGGAAGGAG >mm10_chr19:10134866-10134877(-)::chr19:10134865-10134877(-) AGAAGGAAATCT >mm10_chr19:10134885-10134896(-)::chr19:10134884-10134896(-) ATAAGGAAATTG >mm10_chr19:10144853-10144864(+)::chr19:10144852-10144864(+) aggaggaagaaa >mm10_chr19:10144880-10144891(+)::chr19:10144879-10144891(+) aggaggaagaga >mm10_chr19:10184485-10184496(+)::chr19:10184484-10184496(+) AGGAGGAAGAAA >mm10_chr19:10185780-10185791(-)::chr19:10185779-10185791(-) AGCAGGATGTGA >mm10_chr19:10185830-10185841(-)::chr19:10185829-10185841(-) CCAAGGAAGTTC >mm10_chr19:10191553-10191564(+)::chr19:10191552-10191564(+) AGGGGGAAGTAC >mm10_chr19:10191604-10191615(-)::chr19:10191603-10191615(-) GGAAGGAAGAGT >mm10_chr19:10191613-10191624(+)::chr19:10191612-10191624(+) TCCAGGAAGAAA >mm10_chr19:10202818-10202829(-)::chr19:10202817-10202829(-) GGCAGGAAGAAG >mm10_chr19:10202830-10202841(-)::chr19:10202829-10202841(-) GTAAGGAAGGAG >mm10_chr19:10202841-10202852(-)::chr19:10202840-10202852(-) ACCAGGAAGAAG >mm10_chr19:10217430-10217441(+)::chr19:10217429-10217441(+) ACAAGGAAGTTC >mm10_chr19:10271506-10271517(+)::chr19:10271505-10271517(+) AGCAGGAAGAGG >mm10_chr19:10271512-10271523(+)::chr19:10271511-10271523(+) AAGAGGAAGATA >mm10_chr19:10278060-10278071(-)::chr19:10278059-10278071(-) GGAGGGAAGGCG >mm10_chr19:10278132-10278143(-)::chr19:10278131-10278143(-) AGAAGGGAGTGG >mm10_chr19:10278686-10278697(-)::chr19:10278685-10278697(-) AAAAGGAAGGCT >mm10_chr19:10278744-10278755(+)::chr19:10278743-10278755(+) GCAGGGAAGTTC >mm10_chr19:10290487-10290498(-)::chr19:10290486-10290498(-) ATAAGGAAGTCA >mm10_chr19:10290524-10290535(+)::chr19:10290523-10290535(+) GCAAGGAAGGCG >mm10_chr19:10296955-10296966(+)::chr19:10296954-10296966(+) AGCAGGAAGTCA >mm10_chr19:10297628-10297639(-)::chr19:10297627-10297639(-) GTGAGGAAGAGG >mm10_chr19:10306971-10306982(+)::chr19:10306970-10306982(+) ACAAGGAAGCAC >mm10_chr19:10307420-10307431(-)::chr19:10307419-10307431(-) ggcaggaagatc >mm10_chr19:10318034-10318045(-)::chr19:10318033-10318045(-) GAAAGGAAATTG >mm10_chr19:10318079-10318090(+)::chr19:10318078-10318090(+) TACAGGAAGTTA >mm10_chr19:10323583-10323594(-)::chr19:10323582-10323594(-) aacacgaagtaa >mm10_chr19:10331677-10331688(+)::chr19:10331676-10331688(+) GGCAGGAAGAAC >mm10_chr19:10373054-10373065(-)::chr19:10373053-10373065(-) gacaGGAAGGCG >mm10_chr19:10375431-10375442(+)::chr19:10375430-10375442(+) AACAGGAAGGGC >mm10_chr19:10375450-10375461(+)::chr19:10375449-10375461(+) GGGAGGAAGTCC >mm10_chr19:10431525-10431536(+)::chr19:10431524-10431536(+) ATGAGGAAATGG >mm10_chr19:10436655-10436666(+)::chr19:10436654-10436666(+) GGAAGGAAGTAC >mm10_chr19:10497457-10497468(-)::chr19:10497456-10497468(-) agcaggaaggaa >mm10_chr19:10525129-10525140(-)::chr19:10525128-10525140(-) TCCCGGAAGTGG >mm10_chr19:10534479-10534490(-)::chr19:10534478-10534490(-) ACAAGGAACTAC >mm10_chr19:10549689-10549700(+)::chr19:10549688-10549700(+) TAAACGAAGTCA >mm10_chr19:10549697-10549708(+)::chr19:10549696-10549708(+) GTCAGGAAGTCA >mm10_chr19:10571057-10571068(-)::chr19:10571056-10571068(-) ACCAGGAAGCAG >mm10_chr19:10577147-10577158(+)::chr19:10577146-10577158(+) TTCCGGAAGTAG >mm10_chr19:10577641-10577652(-)::chr19:10577640-10577652(-) GCAAGGAAGCGG >mm10_chr19:10593814-10593825(-)::chr19:10593813-10593825(-) AAAAGGAAGTGG >mm10_chr19:10593863-10593874(-)::chr19:10593862-10593874(-) TTCAGGAAATAA >mm10_chr19:10602977-10602988(+)::chr19:10602976-10602988(+) GGCAGGAAGAGC >mm10_chr19:10605294-10605305(+)::chr19:10605293-10605305(+) TGGAGGAAGGAA >mm10_chr19:10605298-10605309(+)::chr19:10605297-10605309(+) GGAAGGAAGGAG >mm10_chr19:10647383-10647394(-)::chr19:10647382-10647394(-) agaaggaaggaa >mm10_chr19:10647390-10647401(-)::chr19:10647389-10647401(-) aggaggaagaag >mm10_chr19:10647402-10647413(-)::chr19:10647401-10647413(-) aggaggaagggg >mm10_chr19:10647409-10647420(-)::chr19:10647408-10647420(-) ggaaggaaggag >mm10_chr19:10647433-10647444(-)::chr19:10647432-10647444(-) aaaaggaaggag >mm10_chr19:10698481-10698492(+)::chr19:10698480-10698492(+) GAAAGGAAGGAA >mm10_chr19:10698485-10698496(+)::chr19:10698484-10698496(+) GGAAGGAAGGAA >mm10_chr19:10698489-10698500(+)::chr19:10698488-10698500(+) GGAAGGAAGCCT >mm10_chr19:10698547-10698558(+)::chr19:10698546-10698558(+) CTAAGGAAGGAA >mm10_chr19:10698551-10698562(+)::chr19:10698550-10698562(+) GGAAGGAAGAGA >mm10_chr19:10699302-10699313(+)::chr19:10699301-10699313(+) ATAAGGAAGTAG >mm10_chr19:10706311-10706322(+)::chr19:10706310-10706322(+) ACAAGGAAGCTG >mm10_chr19:10706362-10706373(-)::chr19:10706361-10706373(-) AGGAGGAAGAAG >mm10_chr19:10714781-10714792(-)::chr19:10714780-10714792(-) AAAAGAAAGTAG >mm10_chr19:10715729-10715740(-)::chr19:10715728-10715740(-) AGGAGGAAGAAA >mm10_chr19:10715736-10715747(-)::chr19:10715735-10715747(-) GGACGGAAGGAG >mm10_chr19:10780676-10780687(-)::chr19:10780675-10780687(-) tagaggaaggat >mm10_chr19:10841919-10841930(-)::chr19:10841918-10841930(-) GGAAGGAAGTGG >mm10_chr19:10871369-10871380(+)::chr19:10871368-10871380(+) AGCAGGAAGAAA >mm10_chr19:10880345-10880356(-)::chr19:10880344-10880356(-) TGAAGGAACCGT >mm10_chr19:10881899-10881910(+)::chr19:10881898-10881910(+) GAACGGAAGCGA >mm10_chr19:10896235-10896246(-)::chr19:10896234-10896246(-) AGAGGGAAATAG >mm10_chr19:10896269-10896280(+)::chr19:10896268-10896280(+) AGAAGGAAAAGG >mm10_chr19:10896301-10896312(+)::chr19:10896300-10896312(+) AAAAGGAAATCT >mm10_chr19:10940416-10940427(-)::chr19:10940415-10940427(-) AGAAGGTAGTGC >mm10_chr19:10940471-10940482(-)::chr19:10940470-10940482(-) AGCAGGAAGCCG >mm10_chr19:10946857-10946868(-)::chr19:10946856-10946868(-) GAAAGGAAGTCC >mm10_chr19:10949297-10949308(-)::chr19:10949296-10949308(-) GTACGGAAATGG >mm10_chr19:10949311-10949322(+)::chr19:10949310-10949322(+) GGCAGGAAGCGC >mm10_chr19:10993959-10993970(-)::chr19:10993958-10993970(-) cagaggaagtca >mm10_chr19:10993973-10993984(+)::chr19:10993972-10993984(+) aacaggaagtgg >mm10_chr19:11000238-11000249(-)::chr19:11000237-11000249(-) TTGAGGAAgtat >mm10_chr19:11000253-11000264(-)::chr19:11000252-11000264(-) TGAGGGAAGTCA >mm10_chr19:11048686-11048697(+)::chr19:11048685-11048697(+) agaaggaaaagg >mm10_chr19:11263747-11263758(-)::chr19:11263746-11263758(-) AGGAGGAAGTTG >mm10_chr19:11465218-11465229(+)::chr19:11465217-11465229(+) AAGAGGAAGGGA >mm10_chr19:11465223-11465234(+)::chr19:11465222-11465234(+) GAAGGGAAGCGT >mm10_chr19:11517532-11517543(+)::chr19:11517531-11517543(+) AGAAGGAAGGAA >mm10_chr19:11604797-11604808(-)::chr19:11604796-11604808(-) AGAAGGAAGGGC >mm10_chr19:11604822-11604833(+)::chr19:11604821-11604833(+) CACAGGAAGTGG >mm10_chr19:11604864-11604875(-)::chr19:11604863-11604875(-) AAAAGGAAGTAA >mm10_chr19:11641767-11641778(+)::chr19:11641766-11641778(+) aaaaggaaccgt >mm10_chr19:11770329-11770340(-)::chr19:11770328-11770340(-) TGACGGAAGTAG >mm10_chr19:11804612-11804623(-)::chr19:11804611-11804623(-) AGGAGGAAGGTG >mm10_chr19:11807498-11807509(-)::chr19:11807497-11807509(-) AAGAGGAAGTAG >mm10_chr19:11807925-11807936(-)::chr19:11807924-11807936(-) AGTAGGAAGCAT >mm10_chr19:11807988-11807999(+)::chr19:11807987-11807999(+) TGCAGGAAGCAC >mm10_chr19:11818029-11818040(+)::chr19:11818028-11818040(+) ataaggaaggaa >mm10_chr19:11818033-11818044(+)::chr19:11818032-11818044(+) ggaaggaagatt >mm10_chr19:11818057-11818068(+)::chr19:11818056-11818068(+) acaaggaaatag >mm10_chr19:11965183-11965194(-)::chr19:11965182-11965194(-) TTAAGGAAGTGA >mm10_chr19:11974106-11974117(-)::chr19:11974105-11974117(-) AAGAGGAAATGC >mm10_chr19:11974146-11974157(-)::chr19:11974145-11974157(-) TCAAGGAAGGCT >mm10_chr19:11974282-11974293(-)::chr19:11974281-11974293(-) GGAAGGAAGCAA >mm10_chr19:11974286-11974297(-)::chr19:11974285-11974297(-) AGGAGGAAGGAA >mm10_chr19:12002347-12002358(-)::chr19:12002346-12002358(-) ACAAGGAAATTC >mm10_chr19:12002373-12002384(-)::chr19:12002372-12002384(-) ATAAGGGAGTAT >mm10_chr19:12011523-12011534(-)::chr19:12011522-12011534(-) AGAAGGAAAAGT >mm10_chr19:12013384-12013395(-)::chr19:12013383-12013395(-) AGCAGGAAGAAC >mm10_chr19:12013391-12013402(-)::chr19:12013390-12013402(-) AAGAGGAAGCAG >mm10_chr19:12024336-12024347(+)::chr19:12024335-12024347(+) ATGAGGAAGGAC >mm10_chr19:12024349-12024360(+)::chr19:12024348-12024360(+) GACAGGAAGCGT >mm10_chr19:12024377-12024388(+)::chr19:12024376-12024388(+) atgaggaaatgg >mm10_chr19:12042096-12042107(+)::chr19:12042095-12042107(+) CGCAGGAAATCT >mm10_chr19:12417586-12417597(-)::chr19:12417585-12417597(-) TCAAGGAAGGGG >mm10_chr19:12445140-12445151(-)::chr19:12445139-12445151(-) Aacaggaagtgg >mm10_chr19:12457552-12457563(-)::chr19:12457551-12457563(-) AGAGGGAAGTCA >mm10_chr19:12471909-12471920(+)::chr19:12471908-12471920(+) agcaggaagtga >mm10_chr19:12488407-12488418(+)::chr19:12488406-12488418(+) ACCAGGAAGTAC >mm10_chr19:12574311-12574322(+)::chr19:12574310-12574322(+) GAGAGGAAGTTA >mm10_chr19:12590416-12590427(-)::chr19:12590415-12590427(-) ttaaggaaatga >mm10_chr19:12732113-12732124(+)::chr19:12732112-12732124(+) acaaggaagtag >mm10_chr19:12741264-12741275(+)::chr19:12741263-12741275(+) ttaaggaaggaa >mm10_chr19:12741273-12741284(+)::chr19:12741272-12741284(+) gaaaggaattat >mm10_chr19:12741318-12741329(+)::chr19:12741317-12741329(+) agagggaagtca >mm10_chr19:12795652-12795663(-)::chr19:12795651-12795663(-) TGGAGGAAGGGG >mm10_chr19:12796855-12796866(-)::chr19:12796854-12796866(-) ATCAGGAAGGTA >mm10_chr19:12811740-12811751(-)::chr19:12811739-12811751(-) ATCCGGAAGTGA >mm10_chr19:12812215-12812226(+)::chr19:12812214-12812226(+) TACGGGAAGTCA >mm10_chr19:12812249-12812260(-)::chr19:12812248-12812260(-) AGAAGGAAGTGA >mm10_chr19:14383073-14383084(+)::chr19:14383072-14383084(+) acagggaagtca >mm10_chr19:14426935-14426946(-)::chr19:14426934-14426946(-) TGAAGGAAGGTG >mm10_chr19:14504866-14504877(+)::chr19:14504865-14504877(+) ACAAGGAAGGGC >mm10_chr19:14504927-14504938(+)::chr19:14504926-14504938(+) AGCAGGAAGAAC >mm10_chr19:14518024-14518035(+)::chr19:14518023-14518035(+) ACAAGGAAAACA >mm10_chr19:14518050-14518061(+)::chr19:14518049-14518061(+) ATAAGGAAGCAG >mm10_chr19:14525590-14525601(+)::chr19:14525589-14525601(+) ACAAGGAACTCA >mm10_chr19:14525877-14525888(+)::chr19:14525876-14525888(+) ACCAGGAAGGAA >mm10_chr19:14525917-14525928(+)::chr19:14525916-14525928(+) AGAAGGAAGCAC >mm10_chr19:14565623-14565634(-)::chr19:14565622-14565634(-) AGAAGGAAGACT >mm10_chr19:14617776-14617787(-)::chr19:14617775-14617787(-) TGAAGGAGGTAA >mm10_chr19:14617815-14617826(-)::chr19:14617814-14617826(-) GTCAGGAAGTGC >mm10_chr19:14635458-14635469(-)::chr19:14635457-14635469(-) AGAAGGAAATAA >mm10_chr19:14635480-14635491(+)::chr19:14635479-14635491(+) TAGAGGAAGTGT >mm10_chr19:14636882-14636893(-)::chr19:14636881-14636893(-) AGCAGGAAATTC >mm10_chr19:14636898-14636909(-)::chr19:14636897-14636909(-) TGGAGGAAGGGA >mm10_chr19:14636913-14636924(-)::chr19:14636912-14636924(-) AAGAGGAAGTCA >mm10_chr19:14639072-14639083(-)::chr19:14639071-14639083(-) aggaggaagaga >mm10_chr19:14639093-14639104(-)::chr19:14639092-14639104(-) aggaggaagggg >mm10_chr19:14645901-14645912(-)::chr19:14645900-14645912(-) atcaggaagcag >mm10_chr19:14663526-14663537(-)::chr19:14663525-14663537(-) AACAGGAAGTAC >mm10_chr19:14664311-14664322(+)::chr19:14664310-14664322(+) atcaggaagtgg >mm10_chr19:14814088-14814099(+)::chr19:14814087-14814099(+) AGGAGGAAATGA >mm10_chr19:14814143-14814154(+)::chr19:14814142-14814154(+) TGGAGGAAGAAG >mm10_chr19:14922937-14922948(-)::chr19:14922936-14922948(-) AACAGGAAGTTA >mm10_chr19:14922985-14922996(+)::chr19:14922984-14922996(+) AGCAGGAAGAAA >mm10_chr19:14923002-14923013(+)::chr19:14923001-14923013(+) GCAAGGAAGAGC >mm10_chr19:14964660-14964671(-)::chr19:14964659-14964671(-) tataggaagtga >mm10_chr19:14991015-14991026(+)::chr19:14991014-14991026(+) ATGAGGAAGTAA >mm10_chr19:14991049-14991060(+)::chr19:14991048-14991060(+) AAAGGGAAGCGA >mm10_chr19:15095267-15095278(-)::chr19:15095266-15095278(-) ACAAGGCAGTGA >mm10_chr19:15095281-15095292(-)::chr19:15095280-15095292(-) ACAAGGAAATAG >mm10_chr19:15104572-15104583(-)::chr19:15104571-15104583(-) TTGAGGAAGTTG >mm10_chr19:15128935-15128946(-)::chr19:15128934-15128946(-) AGTAGGAAGAGG >mm10_chr19:15128942-15128953(-)::chr19:15128941-15128953(-) AGAAGGTAGTAG >mm10_chr19:15173525-15173536(-)::chr19:15173524-15173536(-) GCAAGGAAGAGT >mm10_chr19:15173537-15173548(-)::chr19:15173536-15173548(-) AGAGGGAAGTTG >mm10_chr19:15300067-15300078(+)::chr19:15300066-15300078(+) ATACGGAAGCAC >mm10_chr19:15360459-15360470(+)::chr19:15360458-15360470(+) AGAAGTAAGTAG >mm10_chr19:15801933-15801944(+)::chr19:15801932-15801944(+) GGGAGGAAATGC >mm10_chr19:15801974-15801985(-)::chr19:15801973-15801985(-) CTAAGGAAGACA >mm10_chr19:15850395-15850406(+)::chr19:15850394-15850406(+) ctaaggaagaac >mm10_chr19:15897995-15898006(+)::chr19:15897994-15898006(+) acaaggaaaTAT >mm10_chr19:15902872-15902883(+)::chr19:15902871-15902883(+) GAAAGGAAGAGC >mm10_chr19:15935707-15935718(-)::chr19:15935706-15935718(-) GAAAGGATGTGA >mm10_chr19:15946900-15946911(-)::chr19:15946899-15946911(-) acgcggaaggga >mm10_chr19:15947378-15947389(-)::chr19:15947377-15947389(-) gcaaggaaatgg >mm10_chr19:16015347-16015358(-)::chr19:16015346-16015358(-) agcaggaactaa >mm10_chr19:16030412-16030423(+)::chr19:16030411-16030423(+) TGTAGGAAGTTG >mm10_chr19:16030447-16030458(-)::chr19:16030446-16030458(-) ataaggaaacag >mm10_chr19:16038318-16038329(-)::chr19:16038317-16038329(-) ACAAGGAAGAAC >mm10_chr19:16038363-16038374(-)::chr19:16038362-16038374(-) AAACGGAAGACG >mm10_chr19:16040372-16040383(+)::chr19:16040371-16040383(+) AGAAGGAAGAAA >mm10_chr19:16047104-16047115(-)::chr19:16047103-16047115(-) AGCAGGAAGGGC >mm10_chr19:16063794-16063805(+)::chr19:16063793-16063805(+) agacggaagagg >mm10_chr19:16063800-16063811(+)::chr19:16063799-16063811(+) aagaggaaggac >mm10_chr19:16063834-16063845(+)::chr19:16063833-16063845(+) aaaaggatgtgg >mm10_chr19:16110758-16110769(-)::chr19:16110757-16110769(-) AGTAGGAAGTAC >mm10_chr19:16110765-16110776(-)::chr19:16110764-16110776(-) TCCAGGAAGTAG >mm10_chr19:16121511-16121522(+)::chr19:16121510-16121522(+) aaaaggaagaaa >mm10_chr19:16126530-16126541(+)::chr19:16126529-16126541(+) aagaggaagagg >mm10_chr19:16126536-16126547(+)::chr19:16126535-16126547(+) aagaggaagagg >mm10_chr19:16128896-16128907(-)::chr19:16128895-16128907(-) GGAAGGAAGTTG >mm10_chr19:16128900-16128911(-)::chr19:16128899-16128911(-) TTAAGGAAGGAA >mm10_chr19:16130809-16130820(-)::chr19:16130808-16130820(-) AACAGGAAGAGA >mm10_chr19:16139368-16139379(+)::chr19:16139367-16139379(+) AACAGGAAGGAC >mm10_chr19:16161730-16161741(-)::chr19:16161729-16161741(-) AGGAGGAAATAC >mm10_chr19:16168334-16168345(+)::chr19:16168333-16168345(+) AGCAGGAAGCCA >mm10_chr19:16168360-16168371(-)::chr19:16168359-16168371(-) AGCAGGAAGGGC >mm10_chr19:16168762-16168773(+)::chr19:16168761-16168773(+) AGGAGGATGTAG >mm10_chr19:16173061-16173072(-)::chr19:16173060-16173072(-) AACAGGAAGGAT >mm10_chr19:16173929-16173940(-)::chr19:16173928-16173940(-) AAGAGGAAGCAC >mm10_chr19:16182094-16182105(-)::chr19:16182093-16182105(-) AGGAGGAAATAG >mm10_chr19:16200267-16200278(-)::chr19:16200266-16200278(-) TAAAGGAAGAAA >mm10_chr19:16200279-16200290(+)::chr19:16200278-16200290(+) AGGAGGAAATGA >mm10_chr19:16222583-16222594(+)::chr19:16222582-16222594(+) ATGAGGAAGAGG >mm10_chr19:16222766-16222777(+)::chr19:16222765-16222777(+) GGAAGGAAGTCT >mm10_chr19:16231764-16231775(+)::chr19:16231763-16231775(+) TCAAGGAAGGAA >mm10_chr19:16231768-16231779(+)::chr19:16231767-16231779(+) GGAAGGAAGACC >mm10_chr19:16231792-16231803(+)::chr19:16231791-16231803(+) GGGAGGAAGTGA >mm10_chr19:16232058-16232069(-)::chr19:16232057-16232069(-) GGAAGGAAGACA >mm10_chr19:16247619-16247630(-)::chr19:16247618-16247630(-) tggaggaagaca >mm10_chr19:16247637-16247648(-)::chr19:16247636-16247648(-) agaaggaagtgc >mm10_chr19:16273145-16273156(+)::chr19:16273144-16273156(+) AGAAGGAAGTGA >mm10_chr19:16285430-16285441(+)::chr19:16285429-16285441(+) AGAAGGCAGTGA >mm10_chr19:16286584-16286595(-)::chr19:16286583-16286595(-) AGGAGGAAATGA >mm10_chr19:16286639-16286650(+)::chr19:16286638-16286650(+) AGCAGGAAGGCA >mm10_chr19:16318720-16318731(-)::chr19:16318719-16318731(-) AGAAGGGAGTTA >mm10_chr19:16326058-16326069(-)::chr19:16326057-16326069(-) AAAGGGAAGTAA >mm10_chr19:16338325-16338336(+)::chr19:16338324-16338336(+) ATAAGGAAATAA >mm10_chr19:16338393-16338404(-)::chr19:16338392-16338404(-) GAGAGGAAGAGA >mm10_chr19:16338405-16338416(-)::chr19:16338404-16338416(-) ACAGGGAAATAC >mm10_chr19:16343556-16343567(+)::chr19:16343555-16343567(+) ACCAGGAAGAAC >mm10_chr19:16343605-16343616(-)::chr19:16343604-16343616(-) ATAAGGAAGCAA >mm10_chr19:16349428-16349439(-)::chr19:16349427-16349439(-) agaaggaagcca >mm10_chr19:16349441-16349452(-)::chr19:16349440-16349452(-) agaaggaagctg >mm10_chr19:16367697-16367708(-)::chr19:16367696-16367708(-) ATGAGGAAGACA >mm10_chr19:16425768-16425779(+)::chr19:16425767-16425779(+) GCTAGGAAGCGA >mm10_chr19:16443513-16443524(+)::chr19:16443512-16443524(+) AGAAGGAAAAGA >mm10_chr19:16443538-16443549(-)::chr19:16443537-16443549(-) ATGAGGAAGTGT >mm10_chr19:16443546-16443557(-)::chr19:16443545-16443557(-) ATGAGGAAATGA >mm10_chr19:16456284-16456295(-)::chr19:16456283-16456295(-) ttaaggaagcaa >mm10_chr19:16462394-16462405(-)::chr19:16462393-16462405(-) cgaaggaagtca >mm10_chr19:16462419-16462430(+)::chr19:16462418-16462430(+) atacggaagtgt >mm10_chr19:16484324-16484335(+)::chr19:16484323-16484335(+) AAAAGGAACTTC >mm10_chr19:16485047-16485058(-)::chr19:16485046-16485058(-) agaaggaagagg >mm10_chr19:16485062-16485073(-)::chr19:16485061-16485073(-) aggaggaagagg >mm10_chr19:16485074-16485085(-)::chr19:16485073-16485085(-) AAAaggaagaag >mm10_chr19:16564299-16564310(+)::chr19:16564298-16564310(+) AAAAGGAAGACA >mm10_chr19:16564316-16564327(+)::chr19:16564315-16564327(+) GAAAGGAAGACT >mm10_chr19:16564323-16564334(-)::chr19:16564322-16564334(-) TGTAGGAAGTCT >mm10_chr19:16607771-16607782(-)::chr19:16607770-16607782(-) AGCAGGAAGTTT >mm10_chr19:16773360-16773371(+)::chr19:16773359-16773371(+) GAAAGGAAATAC >mm10_chr19:16780913-16780924(+)::chr19:16780912-16780924(+) AGAAGGAAGGTA >mm10_chr19:16781282-16781293(+)::chr19:16781281-16781293(+) TGCGGGAAGTTT >mm10_chr19:16968958-16968969(+)::chr19:16968957-16968969(+) AACAGGAAATGG >mm10_chr19:17013906-17013917(-)::chr19:17013905-17013917(-) gggaggaagaag >mm10_chr19:17013921-17013932(-)::chr19:17013920-17013932(-) aggaggaagggg >mm10_chr19:17030052-17030063(+)::chr19:17030051-17030063(+) TAAAGGAAATGT >mm10_chr19:17086947-17086958(-)::chr19:17086946-17086958(-) AGAGGGAAGTGT >mm10_chr19:17086962-17086973(-)::chr19:17086961-17086973(-) AGCAGGAAGTAA >mm10_chr19:17127341-17127352(-)::chr19:17127340-17127352(-) ATAAGGAAACTA >mm10_chr19:17127362-17127373(-)::chr19:17127361-17127373(-) AACAGGAAGTGC >mm10_chr19:17144305-17144316(-)::chr19:17144304-17144316(-) GGAAGGAAGAGA >mm10_chr19:17238576-17238587(-)::chr19:17238575-17238587(-) AGCAGGAAGATC >mm10_chr19:17249993-17250004(+)::chr19:17249992-17250004(+) ACCAGGAAGAAG >mm10_chr19:17250021-17250032(-)::chr19:17250020-17250032(-) AGAAGGAAGTCA >mm10_chr19:17250294-17250305(+)::chr19:17250293-17250305(+) ATGAGGAAGGAG >mm10_chr19:17309829-17309840(+)::chr19:17309828-17309840(+) AGAAGGAAGGAG >mm10_chr19:17314616-17314627(+)::chr19:17314615-17314627(+) ataaggaagcaa >mm10_chr19:17314640-17314651(+)::chr19:17314639-17314651(+) ataaggaaggag >mm10_chr19:17333970-17333981(+)::chr19:17333969-17333981(+) ATCAGGAAGTTA >mm10_chr19:17339740-17339751(+)::chr19:17339739-17339751(+) GGAAGGAAGTAG >mm10_chr19:17339772-17339783(+)::chr19:17339771-17339783(+) AACAGGAAGGTG >mm10_chr19:17383044-17383055(-)::chr19:17383043-17383055(-) AACAGGAAGAAC >mm10_chr19:17386418-17386429(-)::chr19:17386417-17386429(-) TGGAGGAAATGG >mm10_chr19:17387623-17387634(+)::chr19:17387622-17387634(+) AGAAGGAATTCC >mm10_chr19:17387684-17387695(-)::chr19:17387683-17387695(-) ggaaggaaggaa >mm10_chr19:17387688-17387699(-)::chr19:17387687-17387699(-) agaaggaaggaa >mm10_chr19:17387702-17387713(-)::chr19:17387701-17387713(-) ggaaggaagaag >mm10_chr19:17387706-17387717(-)::chr19:17387705-17387717(-) ggaaggaaggaa >mm10_chr19:17400072-17400083(-)::chr19:17400071-17400083(-) TCAAGGAAGTTT >mm10_chr19:17400107-17400118(-)::chr19:17400106-17400118(-) ACCAGGAAGTGA >mm10_chr19:17439660-17439671(-)::chr19:17439659-17439671(-) GAAAGGAAGCAA >mm10_chr19:17463498-17463509(+)::chr19:17463497-17463509(+) GACAGGAAGGAA >mm10_chr19:17511555-17511566(+)::chr19:17511554-17511566(+) TACAGGAAGCGC >mm10_chr19:17511607-17511618(+)::chr19:17511606-17511618(+) AAGAGGAAATGG >mm10_chr19:17515104-17515115(+)::chr19:17515103-17515115(+) ATGAGGAAGGAG >mm10_chr19:17537230-17537241(-)::chr19:17537229-17537241(-) ACAAGGAAGCAC >mm10_chr19:17538678-17538689(+)::chr19:17538677-17538689(+) agcaggaagtga >mm10_chr19:17591085-17591096(+)::chr19:17591084-17591096(+) AAAAGGAAGTCA >mm10_chr19:17592984-17592995(-)::chr19:17592983-17592995(-) CGGAGGAAGTAT >mm10_chr19:17643104-17643115(-)::chr19:17643103-17643115(-) TTGAGGAAGTCT >mm10_chr19:17643152-17643163(-)::chr19:17643151-17643163(-) TACAGGAAGTCC >mm10_chr19:17762964-17762975(+)::chr19:17762963-17762975(+) GAAAGGAACTGG >mm10_chr19:17779287-17779298(+)::chr19:17779286-17779298(+) ACCAGGAAACGA >mm10_chr19:18034421-18034432(-)::chr19:18034420-18034432(-) AAGAGGAAGCAA >mm10_chr19:18047785-18047796(+)::chr19:18047784-18047796(+) tgagggaagtca >mm10_chr19:18047818-18047829(+)::chr19:18047817-18047829(+) ctaaggaagcga >mm10_chr19:18052863-18052874(-)::chr19:18052862-18052874(-) aggaggaagagg >mm10_chr19:18052880-18052891(-)::chr19:18052879-18052891(-) aacaggaagagg >mm10_chr19:18052901-18052912(-)::chr19:18052900-18052912(-) aaaaggaagagc >mm10_chr19:18086269-18086280(+)::chr19:18086268-18086280(+) ATTAGGAAGAAG >mm10_chr19:18213644-18213655(-)::chr19:18213643-18213655(-) GGAAGGAAGAAA >mm10_chr19:18213648-18213659(-)::chr19:18213647-18213659(-) AAAAGGAAGGAA >mm10_chr19:18442680-18442691(-)::chr19:18442679-18442691(-) gacaggaagtga >mm10_chr19:18616425-18616436(+)::chr19:18616424-18616436(+) TCGAGGAAGGCG >mm10_chr19:18617702-18617713(+)::chr19:18617701-18617713(+) ACCAGGAAGAAG >mm10_chr19:18631412-18631423(-)::chr19:18631411-18631423(-) AACGGGAAGTGC >mm10_chr19:18631469-18631480(+)::chr19:18631468-18631480(+) ATGAGGAAGTGG >mm10_chr19:18631810-18631821(+)::chr19:18631809-18631821(+) ACCAGGAAGTGG >mm10_chr19:18658555-18658566(+)::chr19:18658554-18658566(+) aaaaGGAAGAGT >mm10_chr19:18664066-18664077(+)::chr19:18664065-18664077(+) tagaggaagtag >mm10_chr19:18713102-18713113(-)::chr19:18713101-18713113(-) GGCCGGAAGTGC >mm10_chr19:18843204-18843215(+)::chr19:18843203-18843215(+) GGCAGGAAATTG >mm10_chr19:19142923-19142934(+)::chr19:19142922-19142934(+) TGAAGCAAGTAT >mm10_chr19:20371584-20371595(-)::chr19:20371583-20371595(-) tataggaagtgt >mm10_chr19:20390509-20390520(-)::chr19:20390508-20390520(-) TTAAGGAAGTGC >mm10_chr19:20393903-20393914(-)::chr19:20393902-20393914(-) TAGAGGAAATGA >mm10_chr19:20413666-20413677(+)::chr19:20413665-20413677(+) acaaggaaaaaa >mm10_chr19:20413686-20413697(+)::chr19:20413685-20413697(+) acaaggaaaaag >mm10_chr19:20513282-20513293(+)::chr19:20513281-20513293(+) gtcaggaagtaa >mm10_chr19:20513330-20513341(+)::chr19:20513329-20513341(+) tccaggaagtag >mm10_chr19:20514283-20514294(-)::chr19:20514282-20514294(-) AAAAGGAAGTAC >mm10_chr19:20530116-20530127(+)::chr19:20530115-20530127(+) atatggaagtat >mm10_chr19:20530154-20530165(-)::chr19:20530153-20530165(-) taaaggaaatgc >mm10_chr19:20536494-20536505(-)::chr19:20536493-20536505(-) AGGAGGAAGAGA >mm10_chr19:20600181-20600192(-)::chr19:20600180-20600192(-) AGAAGGAAAAAA >mm10_chr19:20612754-20612765(+)::chr19:20612753-20612765(+) TCGAGGAAGTCC >mm10_chr19:20634315-20634326(-)::chr19:20634314-20634326(-) AGAAGGAAAAGA >mm10_chr19:20881434-20881445(-)::chr19:20881433-20881445(-) ggcaggaagcca >mm10_chr19:20881498-20881509(-)::chr19:20881497-20881509(-) gggaggaaatgt >mm10_chr19:20901170-20901181(+)::chr19:20901169-20901181(+) ACAAGGAAGCTG >mm10_chr19:20925604-20925615(-)::chr19:20925603-20925615(-) AGAAGGAACTCT >mm10_chr19:20925617-20925628(-)::chr19:20925616-20925628(-) ACAAGGAAGAAC >mm10_chr19:20949564-20949575(-)::chr19:20949563-20949575(-) TAAAGGAAGTAC >mm10_chr19:21035707-21035718(-)::chr19:21035706-21035718(-) ACAAGGAAGTGT >mm10_chr19:21036658-21036669(+)::chr19:21036657-21036669(+) TTGAGGAAGTTG >mm10_chr19:21049830-21049841(+)::chr19:21049829-21049841(+) ATAGGGAAGAAA >mm10_chr19:21051568-21051579(-)::chr19:21051567-21051579(-) GGCAGGAAGTGT >mm10_chr19:21106378-21106389(+)::chr19:21106377-21106389(+) TAAAGGAAGGTC >mm10_chr19:21106542-21106553(+)::chr19:21106541-21106553(+) AGCAGGAAGCAG >mm10_chr19:21127568-21127579(+)::chr19:21127567-21127579(+) GACGGGAAGTTG >mm10_chr19:21127601-21127612(+)::chr19:21127600-21127612(+) ACCAGGAAGCTG >mm10_chr19:21127633-21127644(+)::chr19:21127632-21127644(+) ACCAGGAAGAGC >mm10_chr19:21144856-21144867(-)::chr19:21144855-21144867(-) AACAGGAAGTGC >mm10_chr19:21166041-21166052(+)::chr19:21166040-21166052(+) AGAAGGAAAAGT >mm10_chr19:21171029-21171040(+)::chr19:21171028-21171040(+) AAAAGGAAGGAG >mm10_chr19:21174193-21174204(+)::chr19:21174192-21174204(+) GGGAGGAAGTTG >mm10_chr19:21174211-21174222(+)::chr19:21174210-21174222(+) AACAGGAAGTAC >mm10_chr19:21177388-21177399(+)::chr19:21177387-21177399(+) AGGAGGAAGAGA >mm10_chr19:21177422-21177433(+)::chr19:21177421-21177433(+) AGAAGGAAGACT >mm10_chr19:21188762-21188773(+)::chr19:21188761-21188773(+) TAAAGGAAAATA >mm10_chr19:21259681-21259692(+)::chr19:21259680-21259692(+) aggaggaagctg >mm10_chr19:21349623-21349634(-)::chr19:21349622-21349634(-) tcaaggaagagt >mm10_chr19:21349637-21349648(-)::chr19:21349636-21349648(-) accaggaagaaa >mm10_chr19:21350440-21350451(-)::chr19:21350439-21350451(-) cgaaggaaggaa >mm10_chr19:21365221-21365232(+)::chr19:21365220-21365232(+) cacaggaagtag >mm10_chr19:21365241-21365252(+)::chr19:21365240-21365252(+) agcaggaagtgg >mm10_chr19:21406509-21406520(+)::chr19:21406508-21406520(+) AGAAGGAAgagg >mm10_chr19:21406551-21406562(+)::chr19:21406550-21406562(+) gggaggaaggca >mm10_chr19:21406576-21406587(+)::chr19:21406575-21406587(+) GGCAGGAAGCAG >mm10_chr19:21439278-21439289(-)::chr19:21439277-21439289(-) AGGAGGAAGGCC >mm10_chr19:21451903-21451914(-)::chr19:21451902-21451914(-) TGAAGGAAATGT >mm10_chr19:21451947-21451958(-)::chr19:21451946-21451958(-) GGTAGGAAATGG >mm10_chr19:21457604-21457615(-)::chr19:21457603-21457615(-) AGGAGGAAATCA >mm10_chr19:21497300-21497311(+)::chr19:21497299-21497311(+) acaaggaagctg >mm10_chr19:21553795-21553806(+)::chr19:21553794-21553806(+) ACCAGGAAGAGG >mm10_chr19:21559448-21559459(-)::chr19:21559447-21559459(-) ATAGGGAAGAGA >mm10_chr19:21612050-21612061(+)::chr19:21612049-21612061(+) ataaggaaaagg >mm10_chr19:21612121-21612132(+)::chr19:21612120-21612132(+) aataggaagagg >mm10_chr19:21621414-21621425(+)::chr19:21621413-21621425(+) ACCAGGAAGCAT >mm10_chr19:21622432-21622443(+)::chr19:21622431-21622443(+) gagaggaagagg >mm10_chr19:21622458-21622469(+)::chr19:21622457-21622469(+) gtagggaagtgg >mm10_chr19:21629462-21629473(-)::chr19:21629461-21629473(-) TCCAGGAAGGAC >mm10_chr19:21640946-21640957(-)::chr19:21640945-21640957(-) AGACGGAAGTTT >mm10_chr19:21646664-21646675(+)::chr19:21646663-21646675(+) AACAGGAAGCCA >mm10_chr19:21681590-21681601(+)::chr19:21681589-21681601(+) AGAAGGAAAAGC >mm10_chr19:21690735-21690746(-)::chr19:21690734-21690746(-) AAGAGGAAATAC >mm10_chr19:21713802-21713813(-)::chr19:21713801-21713813(-) accaggaagtcc >mm10_chr19:21773652-21773663(+)::chr19:21773651-21773663(+) ACAAGGAAATAA >mm10_chr19:21777330-21777341(-)::chr19:21777329-21777341(-) TGGAGGAAGTTG >mm10_chr19:21784390-21784401(-)::chr19:21784389-21784401(-) ACCAGGAAGCTA >mm10_chr19:21804773-21804784(-)::chr19:21804772-21804784(-) AGCAGGAAGCCC >mm10_chr19:21804826-21804837(-)::chr19:21804825-21804837(-) AGGAGGAAGTTC >mm10_chr19:21813570-21813581(-)::chr19:21813569-21813581(-) AGGAGGAAGTAG >mm10_chr19:21814592-21814603(+)::chr19:21814591-21814603(+) AACAGGAAGGGC >mm10_chr19:21818160-21818171(+)::chr19:21818159-21818171(+) CCGAGGAAGTTG >mm10_chr19:21818173-21818184(-)::chr19:21818172-21818184(-) ACAAGGAAGCCA >mm10_chr19:21833489-21833500(+)::chr19:21833488-21833500(+) ACCAGGAAGGAA >mm10_chr19:21833493-21833504(+)::chr19:21833492-21833504(+) GGAAGGAAATTG >mm10_chr19:21833503-21833514(+)::chr19:21833502-21833514(+) TGGAGGAAGAAC >mm10_chr19:21882303-21882314(-)::chr19:21882302-21882314(-) AGCAGGAAATCC >mm10_chr19:21897608-21897619(-)::chr19:21897607-21897619(-) CAGAGGAAGTAC >mm10_chr19:21897624-21897635(+)::chr19:21897623-21897635(+) ATGAGGAAGTGG >mm10_chr19:21913146-21913157(+)::chr19:21913145-21913157(+) GGCAGGAAGTAA >mm10_chr19:21913903-21913914(-)::chr19:21913902-21913914(-) GAAAGGAAATGT >mm10_chr19:22203467-22203478(-)::chr19:22203466-22203478(-) tagaggaagaac >mm10_chr19:22204126-22204137(-)::chr19:22204125-22204137(-) aaaaggaaatca >mm10_chr19:22602052-22602063(-)::chr19:22602051-22602063(-) GGGAGGAAGTGA >mm10_chr19:22602083-22602094(+)::chr19:22602082-22602094(+) ACCAGGAAGTCA >mm10_chr19:22841507-22841518(+)::chr19:22841506-22841518(+) AAAAGGAAGAGA >mm10_chr19:22916003-22916014(+)::chr19:22916002-22916014(+) GGAAGGAAGACA >mm10_chr19:22930422-22930433(+)::chr19:22930421-22930433(+) GTAAGGAAGTAG >mm10_chr19:22939161-22939172(+)::chr19:22939160-22939172(+) GGAAGGAAGGAA >mm10_chr19:22939165-22939176(+)::chr19:22939164-22939176(+) GGAAGGAAGAAG >mm10_chr19:22940927-22940938(-)::chr19:22940926-22940938(-) GAGAGGAAGTGG >mm10_chr19:22940969-22940980(+)::chr19:22940968-22940980(+) GGAAGGAAGAAG >mm10_chr19:22940997-22941008(-)::chr19:22940996-22941008(-) aggaggaagATG >mm10_chr19:22967648-22967659(-)::chr19:22967647-22967659(-) agcaggaagaca >mm10_chr19:23056788-23056799(+)::chr19:23056787-23056799(+) AGGAGGAAGGAC >mm10_chr19:23058969-23058980(+)::chr19:23058968-23058980(+) aggaggaagagg >mm10_chr19:23058990-23059001(+)::chr19:23058989-23059001(+) aggaggaagagg >mm10_chr19:23058996-23059007(+)::chr19:23058995-23059007(+) aagaggaagaag >mm10_chr19:23059014-23059025(+)::chr19:23059013-23059025(+) aggaggaagaga >mm10_chr19:23062830-23062841(+)::chr19:23062829-23062841(+) TGCAGGAAGTTT >mm10_chr19:23085823-23085834(-)::chr19:23085822-23085834(-) AGGAGGAAGCAC >mm10_chr19:23114617-23114628(+)::chr19:23114616-23114628(+) GAGAGGAAGTGT >mm10_chr19:23116393-23116404(+)::chr19:23116392-23116404(+) tgagggaagtca >mm10_chr19:23133326-23133337(+)::chr19:23133325-23133337(+) GAAAGGAAGAGG >mm10_chr19:23133706-23133717(-)::chr19:23133705-23133717(-) CCAAGGAAGACA >mm10_chr19:23134334-23134345(+)::chr19:23134333-23134345(+) AGAGGGAAGTAA >mm10_chr19:23140169-23140180(+)::chr19:23140168-23140180(+) AAGAGGAAGGAA >mm10_chr19:23140686-23140697(-)::chr19:23140685-23140697(-) AAAAGGAAGCGC >mm10_chr19:23140742-23140753(-)::chr19:23140741-23140753(-) AAAAGTAAGTTG >mm10_chr19:23149972-23149983(-)::chr19:23149971-23149983(-) ggcaggaagtga >mm10_chr19:23151740-23151751(-)::chr19:23151739-23151751(-) ACCAGGAAGTGC >mm10_chr19:23151760-23151771(+)::chr19:23151759-23151771(+) AAGAGGAAATAC >mm10_chr19:23163720-23163731(+)::chr19:23163719-23163731(+) aggaggaagaag >mm10_chr19:23163738-23163749(+)::chr19:23163737-23163749(+) aggaggaagaAG >mm10_chr19:23367887-23367898(-)::chr19:23367886-23367898(-) AGAAGGAAGGCT >mm10_chr19:23367894-23367905(-)::chr19:23367893-23367905(-) GTAAGGAAGAAG >mm10_chr19:23377977-23377988(-)::chr19:23377976-23377988(-) GAGAGGAAGGCG >mm10_chr19:23447480-23447491(+)::chr19:23447479-23447491(+) AAAGGGAAGTAA >mm10_chr19:23447505-23447516(-)::chr19:23447504-23447516(-) CCCCGGAAGTAA >mm10_chr19:23609327-23609338(-)::chr19:23609326-23609338(-) ggaaggaaggaa >mm10_chr19:23609356-23609367(-)::chr19:23609355-23609367(-) agaaggaaaggg >mm10_chr19:23665241-23665252(+)::chr19:23665240-23665252(+) cacaggaagtag >mm10_chr19:23687336-23687347(+)::chr19:23687335-23687347(+) ACCCGGAAGTGC >mm10_chr19:23783809-23783820(+)::chr19:23783808-23783820(+) gacaggaagtgt >mm10_chr19:23960676-23960687(+)::chr19:23960675-23960687(+) ACACGGAAGGAA >mm10_chr19:23995355-23995366(-)::chr19:23995354-23995366(-) TCAAGGAAGAAG >mm10_chr19:23995398-23995409(-)::chr19:23995397-23995409(-) agGAGGAAATAG >mm10_chr19:24007037-24007048(-)::chr19:24007036-24007048(-) TCAAGGAAGAGA >mm10_chr19:24036859-24036870(+)::chr19:24036858-24036870(+) TAAAGGAAGACT >mm10_chr19:24036866-24036877(-)::chr19:24036865-24036877(-) TTAAGGAAGTCT >mm10_chr19:24036886-24036897(+)::chr19:24036885-24036897(+) TTAAGGAAGACA >mm10_chr19:24054528-24054539(-)::chr19:24054527-24054539(-) ATAAGGAACTAA >mm10_chr19:24072724-24072735(+)::chr19:24072723-24072735(+) aaaaggaaataa >mm10_chr19:24075478-24075489(-)::chr19:24075477-24075489(-) aggaggaaggag >mm10_chr19:24075490-24075501(-)::chr19:24075489-24075501(-) gccaggaagtac >mm10_chr19:24098879-24098890(+)::chr19:24098878-24098890(+) ATAAGGAAAAGC >mm10_chr19:24125347-24125358(+)::chr19:24125346-24125358(+) AACAGGAAGAAG >mm10_chr19:24125376-24125387(-)::chr19:24125375-24125387(-) TCAAGGAAGAAC >mm10_chr19:24143866-24143877(+)::chr19:24143865-24143877(+) TCCCGGAAGTAT >mm10_chr19:24143883-24143894(+)::chr19:24143882-24143894(+) AGCAGGAAATCA >mm10_chr19:24145599-24145610(+)::chr19:24145598-24145610(+) agaaggaaggaa >mm10_chr19:24145603-24145614(+)::chr19:24145602-24145614(+) ggaaggaaggag >mm10_chr19:24145610-24145621(+)::chr19:24145609-24145621(+) aggaggaaggaa >mm10_chr19:24145614-24145625(+)::chr19:24145613-24145625(+) ggaaggaaggaa >mm10_chr19:24145618-24145629(+)::chr19:24145617-24145629(+) ggaaggaaggaa >mm10_chr19:24164216-24164227(+)::chr19:24164215-24164227(+) ATGAGGAAGTTC >mm10_chr19:24165227-24165238(-)::chr19:24165226-24165238(-) ataaggaaatag >mm10_chr19:24165696-24165707(+)::chr19:24165695-24165707(+) AAAAGGAAGtct >mm10_chr19:24166733-24166744(+)::chr19:24166732-24166744(+) AAGAGGAAGAAG >mm10_chr19:24166772-24166783(+)::chr19:24166771-24166783(+) ACCAGGAAGTCC >mm10_chr19:24172225-24172236(+)::chr19:24172224-24172236(+) ATGAGGAAGTAT >mm10_chr19:24175178-24175189(+)::chr19:24175177-24175189(+) AGTAGGAAGTCC >mm10_chr19:24180608-24180619(-)::chr19:24180607-24180619(-) AGGAGGAAATAA >mm10_chr19:24253814-24253825(+)::chr19:24253813-24253825(+) GGAAGGAATTCG >mm10_chr19:24253837-24253848(-)::chr19:24253836-24253848(-) AGGGGGAAGTGA >mm10_chr19:24317869-24317880(-)::chr19:24317868-24317880(-) agaaggaaacag >mm10_chr19:24317894-24317905(-)::chr19:24317893-24317905(-) tgcaggaagaga >mm10_chr19:24323985-24323996(+)::chr19:24323984-24323996(+) GGGAGGAAGGAG >mm10_chr19:24427029-24427040(-)::chr19:24427028-24427040(-) GGCAGGAAGCAG >mm10_chr19:24481347-24481358(-)::chr19:24481346-24481358(-) atgaggaagaGG >mm10_chr19:24481368-24481379(-)::chr19:24481367-24481379(-) ataaggaattta >mm10_chr19:24504769-24504780(+)::chr19:24504768-24504780(+) AGAAGGAAGTGT >mm10_chr19:24586554-24586565(-)::chr19:24586553-24586565(-) acaaggaagttc >mm10_chr19:24586599-24586610(-)::chr19:24586598-24586610(-) aagaggaagagg >mm10_chr19:24609238-24609249(-)::chr19:24609237-24609249(-) ACCAGGAAGTGT >mm10_chr19:24672830-24672841(+)::chr19:24672829-24672841(+) GGTAGGAAGTAC >mm10_chr19:24707285-24707296(-)::chr19:24707284-24707296(-) AAGAGGAAGAGG >mm10_chr19:24707306-24707317(+)::chr19:24707305-24707317(+) AGAAGGAAAGAG >mm10_chr19:24965613-24965624(+)::chr19:24965612-24965624(+) accaggaagttc >mm10_chr19:24966360-24966371(-)::chr19:24966359-24966371(-) TGCAGGAAGTTA >mm10_chr19:24987544-24987555(+)::chr19:24987543-24987555(+) agaaggaagtag >mm10_chr19:24987581-24987592(-)::chr19:24987580-24987592(-) gagaggaagaag >mm10_chr19:25018474-25018485(+)::chr19:25018473-25018485(+) TCGAGGAAGTCA >mm10_chr19:25026434-25026445(-)::chr19:25026433-25026445(-) gCAAGGAAGCAA >mm10_chr19:25026472-25026483(-)::chr19:25026471-25026483(-) aggaggaaggag >mm10_chr19:25041429-25041440(-)::chr19:25041428-25041440(-) GCAAGGAAGATG >mm10_chr19:25041480-25041491(-)::chr19:25041479-25041491(-) TCCCGGAAGTGA >mm10_chr19:25052398-25052409(-)::chr19:25052397-25052409(-) CAGAGGAAGCGG >mm10_chr19:25052433-25052444(-)::chr19:25052432-25052444(-) ATGAGGAAGTGA >mm10_chr19:25056889-25056900(+)::chr19:25056888-25056900(+) CCCAGGAAGTGC >mm10_chr19:25056944-25056955(+)::chr19:25056943-25056955(+) AGGAGGAAGAAG >mm10_chr19:25075105-25075116(+)::chr19:25075104-25075116(+) ATGGGGAAGTGG >mm10_chr19:25075129-25075140(+)::chr19:25075128-25075140(+) ATGAGGAAGGAG >mm10_chr19:25089303-25089314(-)::chr19:25089302-25089314(-) AGAAGGAAGAGA >mm10_chr19:25101939-25101950(-)::chr19:25101938-25101950(-) caaaggaaGCGC >mm10_chr19:25101987-25101998(+)::chr19:25101986-25101998(+) ttaaggaaggag >mm10_chr19:25138630-25138641(-)::chr19:25138629-25138641(-) GGCAGGAAGAGA >mm10_chr19:25138700-25138711(-)::chr19:25138699-25138711(-) AGAAGGAAACGC >mm10_chr19:25228134-25228145(+)::chr19:25228133-25228145(+) gacaggaagaac >mm10_chr19:25228155-25228166(+)::chr19:25228154-25228166(+) tggaggaaggaa >mm10_chr19:25248096-25248107(-)::chr19:25248095-25248107(-) tcagggaagtga >mm10_chr19:25266325-25266336(-)::chr19:25266324-25266336(-) ATAAGGAAGACA >mm10_chr19:25268610-25268621(-)::chr19:25268609-25268621(-) AGCAGGAAGAGG >mm10_chr19:25268649-25268660(-)::chr19:25268648-25268660(-) GACAGGAAGTGA >mm10_chr19:25295398-25295409(-)::chr19:25295397-25295409(-) CCAAGGAAGGTT >mm10_chr19:25341331-25341342(-)::chr19:25341330-25341342(-) AGGAGGAAGCGC >mm10_chr19:25341338-25341349(-)::chr19:25341337-25341349(-) AGGAGGAAGGAG >mm10_chr19:25341951-25341962(+)::chr19:25341950-25341962(+) ACAAGGAAGGGA >mm10_chr19:25357946-25357957(+)::chr19:25357945-25357957(+) TACAGGAAATTG >mm10_chr19:25357963-25357974(+)::chr19:25357962-25357974(+) TACAGGAAGTTG >mm10_chr19:25357984-25357995(+)::chr19:25357983-25357995(+) TTAAGGAAGTGA >mm10_chr19:25358643-25358654(-)::chr19:25358642-25358654(-) GGCAGGAAGACA >mm10_chr19:25370759-25370770(+)::chr19:25370758-25370770(+) ACAAGGAAGCAG >mm10_chr19:25370790-25370801(+)::chr19:25370789-25370801(+) TCAAGGAAGGAA >mm10_chr19:25370794-25370805(+)::chr19:25370793-25370805(+) GGAAGGAAGGCA >mm10_chr19:25375593-25375604(+)::chr19:25375592-25375604(+) aggaggaagagg >mm10_chr19:25375599-25375610(+)::chr19:25375598-25375610(+) aagaggaagaag >mm10_chr19:25375620-25375631(+)::chr19:25375619-25375631(+) aggaggaagagc >mm10_chr19:25392302-25392313(+)::chr19:25392301-25392313(+) AGAAGGAAGTTG >mm10_chr19:25401292-25401303(-)::chr19:25401291-25401303(-) AGCAGGAAGCGC >mm10_chr19:25415434-25415445(+)::chr19:25415433-25415445(+) AGGAGGAAGGAA >mm10_chr19:25415438-25415449(+)::chr19:25415437-25415449(+) GGAAGGAAGTCC >mm10_chr19:25422280-25422291(+)::chr19:25422279-25422291(+) GCAAGGAAGTAC >mm10_chr19:25910298-25910309(-)::chr19:25910297-25910309(-) ttaaggaaggcg >mm10_chr19:26234449-26234460(+)::chr19:26234448-26234460(+) ACAAGGAAATGG >mm10_chr19:26234465-26234476(+)::chr19:26234464-26234476(+) AGAAGGAAAACA >mm10_chr19:26294162-26294173(-)::chr19:26294161-26294173(-) TGAAGGAAGCCA >mm10_chr19:26356910-26356921(+)::chr19:26356909-26356921(+) GACAGGAAGTCT >mm10_chr19:26380833-26380844(-)::chr19:26380832-26380844(-) ACAAGGAAGTGG >mm10_chr19:26380858-26380869(-)::chr19:26380857-26380869(-) AGAAGGAAGGTC >mm10_chr19:26464209-26464220(-)::chr19:26464208-26464220(-) AAGGGGAAGTAG >mm10_chr19:26607096-26607107(+)::chr19:26607095-26607107(+) GGAGGGAAGTTG >mm10_chr19:26607357-26607368(+)::chr19:26607356-26607368(+) ATCAGGAAGTCA >mm10_chr19:26607420-26607431(+)::chr19:26607419-26607431(+) GGGAGGAAGAGG >mm10_chr19:26614075-26614086(-)::chr19:26614074-26614086(-) ACCAGGAAGAGT >mm10_chr19:26709242-26709253(+)::chr19:26709241-26709253(+) TGCAGGAAGCAG >mm10_chr19:26723394-26723405(+)::chr19:26723393-26723405(+) CGAAGGCAGTAC >mm10_chr19:26723459-26723470(-)::chr19:26723458-26723470(-) GGGAGGAAGAAC >mm10_chr19:26748389-26748400(-)::chr19:26748388-26748400(-) GGAAGGAAGTCG >mm10_chr19:26748393-26748404(-)::chr19:26748392-26748404(-) AATAGGAAGGAA >mm10_chr19:26748404-26748415(-)::chr19:26748403-26748415(-) TTAATGAAGTGA >mm10_chr19:26788465-26788476(+)::chr19:26788464-26788476(+) AAGAGGAAGACA >mm10_chr19:26821369-26821380(+)::chr19:26821368-26821380(+) TCAAGGATGTGG >mm10_chr19:26854522-26854533(+)::chr19:26854521-26854533(+) aggaggaAGGGA >mm10_chr19:26854557-26854568(+)::chr19:26854556-26854568(+) CTGAGGAAGTAG >mm10_chr19:26891359-26891370(-)::chr19:26891358-26891370(-) TGGAGGAAGAGC >mm10_chr19:26893194-26893205(+)::chr19:26893193-26893205(+) CAGAGGAAGTGA >mm10_chr19:26914399-26914410(+)::chr19:26914398-26914410(+) TGGAGGAAGTGG >mm10_chr19:26914416-26914427(+)::chr19:26914415-26914427(+) GAAAGGAAGAGA >mm10_chr19:26914478-26914489(-)::chr19:26914477-26914489(-) GGAAGGAAGTTT >mm10_chr19:26951374-26951385(-)::chr19:26951373-26951385(-) gcaaggaagctc >mm10_chr19:26994919-26994930(-)::chr19:26994918-26994930(-) AGGAGGAAGGAG >mm10_chr19:27052975-27052986(-)::chr19:27052974-27052986(-) ataaggaagaaa >mm10_chr19:27148531-27148542(+)::chr19:27148530-27148542(+) TCAAGGAAGGAC >mm10_chr19:27180761-27180772(+)::chr19:27180760-27180772(+) AGAAAGAAGTAA >mm10_chr19:27180780-27180791(+)::chr19:27180779-27180791(+) GCCAGGAAGGAA >mm10_chr19:27180784-27180795(+)::chr19:27180783-27180795(+) GGAAGGAAATAC >mm10_chr19:27180796-27180807(+)::chr19:27180795-27180807(+) TCAAGGAAATTG >mm10_chr19:27337540-27337551(+)::chr19:27337539-27337551(+) agcaggaagctg >mm10_chr19:27337558-27337569(-)::chr19:27337557-27337569(-) tataggaagttt >mm10_chr19:27353758-27353769(+)::chr19:27353757-27353769(+) agaaggaaaaga >mm10_chr19:27353776-27353787(+)::chr19:27353775-27353787(+) atgaggaagagg >mm10_chr19:27353782-27353793(+)::chr19:27353781-27353793(+) aagaggaagTGA >mm10_chr19:27360275-27360286(+)::chr19:27360274-27360286(+) aggaggaagagg >mm10_chr19:27360281-27360292(+)::chr19:27360280-27360292(+) aagaggaagagg >mm10_chr19:27360287-27360298(+)::chr19:27360286-27360298(+) aagaggaagagg >mm10_chr19:27360293-27360304(+)::chr19:27360292-27360304(+) aagaggaagagg >mm10_chr19:27360299-27360310(+)::chr19:27360298-27360310(+) aagaggaagagg >mm10_chr19:27380250-27380261(+)::chr19:27380249-27380261(+) TGCAGGAAggag >mm10_chr19:27395592-27395603(+)::chr19:27395591-27395603(+) ACGAGGAAGTGC >mm10_chr19:27395631-27395642(+)::chr19:27395630-27395642(+) ATGAGGAAGTGC >mm10_chr19:27429850-27429861(-)::chr19:27429849-27429861(-) ACGCGGAAGCGG >mm10_chr19:27429894-27429905(-)::chr19:27429893-27429905(-) ACCAGGACGTAA >mm10_chr19:27460570-27460581(+)::chr19:27460569-27460581(+) TTAAGGAAGTAC >mm10_chr19:27535275-27535286(-)::chr19:27535274-27535286(-) ACCAGGAAGGTC >mm10_chr19:27535310-27535321(+)::chr19:27535309-27535321(+) AGGAGGAAGTCC >mm10_chr19:27611479-27611490(-)::chr19:27611478-27611490(-) GCAAGGATGTAA >mm10_chr19:27759046-27759057(+)::chr19:27759045-27759057(+) ATGAGGAAGGAG >mm10_chr19:27794068-27794079(+)::chr19:27794067-27794079(+) ATAAGGAAGACA >mm10_chr19:28084565-28084576(-)::chr19:28084564-28084576(-) AAAAGGAAGGCA >mm10_chr19:28084604-28084615(+)::chr19:28084603-28084615(+) AACAGGAAGAAT >mm10_chr19:28232932-28232943(-)::chr19:28232931-28232943(-) GCCAGGAAGttc >mm10_chr19:28397198-28397209(-)::chr19:28397197-28397209(-) acagggaagtgg >mm10_chr19:28397234-28397245(-)::chr19:28397233-28397245(-) accaggaagttc >mm10_chr19:28593229-28593240(+)::chr19:28593228-28593240(+) ACAAGGAAATCC >mm10_chr19:28596155-28596166(-)::chr19:28596154-28596166(-) GAGAGGAAGAAG >mm10_chr19:28601910-28601921(-)::chr19:28601909-28601921(-) AAGAGGAAGAAC >mm10_chr19:28601923-28601934(-)::chr19:28601922-28601934(-) AAAAGGAAGTTT >mm10_chr19:28628775-28628786(+)::chr19:28628774-28628786(+) AACAGGAAGGCT >mm10_chr19:28641921-28641932(+)::chr19:28641920-28641932(+) ACCAGGAAGAAG >mm10_chr19:28641928-28641939(+)::chr19:28641927-28641939(+) AGAAGGAAGAAG >mm10_chr19:28676486-28676497(-)::chr19:28676485-28676497(-) GGAAGGAAGTTA >mm10_chr19:28676490-28676501(-)::chr19:28676489-28676501(-) TAGAGGAAGGAA >mm10_chr19:28759113-28759124(+)::chr19:28759112-28759124(+) CCAAGGAACTAT >mm10_chr19:28825796-28825807(+)::chr19:28825795-28825807(+) AACAGGAAGTCC >mm10_chr19:28827929-28827940(+)::chr19:28827928-28827940(+) atgaggaagaGA >mm10_chr19:28827984-28827995(+)::chr19:28827983-28827995(+) AAAAGGAACGAG >mm10_chr19:28845200-28845211(+)::chr19:28845199-28845211(+) AGAAGGAAATGT >mm10_chr19:28845503-28845514(+)::chr19:28845502-28845514(+) GAAAGGAAGTGT >mm10_chr19:28865236-28865247(-)::chr19:28865235-28865247(-) ATAAGGAAGCAT >mm10_chr19:28887089-28887100(-)::chr19:28887088-28887100(-) AACAGGAAGTGg >mm10_chr19:29024375-29024386(-)::chr19:29024374-29024386(-) GAGAGGAAGTAC >mm10_chr19:29031906-29031917(+)::chr19:29031905-29031917(+) cagaggaagtga >mm10_chr19:29067128-29067139(-)::chr19:29067127-29067139(-) ATAAGGAAGACA >mm10_chr19:29067151-29067162(-)::chr19:29067150-29067162(-) AAAAGGCAGTGC >mm10_chr19:29101406-29101417(-)::chr19:29101405-29101417(-) AACAGGAAGACA >mm10_chr19:29104470-29104481(+)::chr19:29104469-29104481(+) CGAAGGGAGTGC >mm10_chr19:29112884-29112895(-)::chr19:29112883-29112895(-) AGTAGGAAGAGA >mm10_chr19:29180720-29180731(-)::chr19:29180719-29180731(-) ATAAGGAAATGG >mm10_chr19:29234363-29234374(-)::chr19:29234362-29234374(-) CCAGGGAAGTGA >mm10_chr19:29236846-29236857(-)::chr19:29236845-29236857(-) aagaggaagtga >mm10_chr19:29265614-29265625(+)::chr19:29265613-29265625(+) TGAAGGAAGGCT >mm10_chr19:29325240-29325251(-)::chr19:29325239-29325251(-) AAGAGGAAGAGG >mm10_chr19:29325512-29325523(-)::chr19:29325511-29325523(-) AGCCGGAAGTCC >mm10_chr19:29343972-29343983(+)::chr19:29343971-29343983(+) gcaaggaagtgt >mm10_chr19:29343988-29343999(-)::chr19:29343987-29343999(-) acaaggaagttg >mm10_chr19:29364417-29364428(-)::chr19:29364416-29364428(-) aggaggaagagg >mm10_chr19:29364432-29364443(-)::chr19:29364431-29364443(-) ATgaggaagagg >mm10_chr19:29367433-29367444(+)::chr19:29367432-29367444(+) ACCAGGAAATCG >mm10_chr19:29371886-29371897(-)::chr19:29371885-29371897(-) GGAAGGAAGGAG >mm10_chr19:29418258-29418269(+)::chr19:29418257-29418269(+) GTAAGGAAGACT >mm10_chr19:29429149-29429160(-)::chr19:29429148-29429160(-) ggaaggaagggc >mm10_chr19:29429153-29429164(-)::chr19:29429152-29429164(-) atgaggaaggaa >mm10_chr19:29429204-29429215(+)::chr19:29429203-29429215(+) aacaggaaataa >mm10_chr19:29440677-29440688(+)::chr19:29440676-29440688(+) tagaggaagagg >mm10_chr19:29440683-29440694(+)::chr19:29440682-29440694(+) aagaggaagaag >mm10_chr19:29440704-29440715(+)::chr19:29440703-29440715(+) aagaggaagaag >mm10_chr19:29440724-29440735(+)::chr19:29440723-29440735(+) aaaaggaagaag >mm10_chr19:29440742-29440753(+)::chr19:29440741-29440753(+) aagaggaagaag >mm10_chr19:29520064-29520075(+)::chr19:29520063-29520075(+) aacaggaagggg >mm10_chr19:29607518-29607529(+)::chr19:29607517-29607529(+) AAAAGGAAGGGG >mm10_chr19:29610771-29610782(+)::chr19:29610770-29610782(+) GGAAGGAAGTCA >mm10_chr19:29610803-29610814(-)::chr19:29610802-29610814(-) CTGAGGAAGTTC >mm10_chr19:29641495-29641506(-)::chr19:29641494-29641506(-) AGAAGGAAGAAA >mm10_chr19:29655629-29655640(-)::chr19:29655628-29655640(-) agaaggaaggga >mm10_chr19:29655636-29655647(-)::chr19:29655635-29655647(-) agaaggaagaag >mm10_chr19:29655652-29655663(-)::chr19:29655651-29655663(-) agaaggaaggaa >mm10_chr19:29662371-29662382(-)::chr19:29662370-29662382(-) GGCAGGAAGCCA >mm10_chr19:29665049-29665060(-)::chr19:29665048-29665060(-) acaaggaagaag >mm10_chr19:29675622-29675633(-)::chr19:29675621-29675633(-) ACAAGGAAGTCC >mm10_chr19:29675650-29675661(-)::chr19:29675649-29675661(-) atgaggaagtgt >mm10_chr19:29697725-29697736(-)::chr19:29697724-29697736(-) ggaaggaaggaa >mm10_chr19:29697729-29697740(-)::chr19:29697728-29697740(-) ggaaggaaggaa >mm10_chr19:29697733-29697744(-)::chr19:29697732-29697744(-) ggaaggaaggaa >mm10_chr19:29697737-29697748(-)::chr19:29697736-29697748(-) ggaaggaaggaa >mm10_chr19:29708262-29708273(-)::chr19:29708261-29708273(-) TGAGGGAAGTTG >mm10_chr19:29708273-29708284(-)::chr19:29708272-29708284(-) AAGCGGAAGTGT >mm10_chr19:29749669-29749680(+)::chr19:29749668-29749680(+) ATAAGGAAATAA >mm10_chr19:29835392-29835403(+)::chr19:29835391-29835403(+) AGAAGGAAGTCG >mm10_chr19:29924042-29924053(+)::chr19:29924041-29924053(+) GCAAGGAAGTTG >mm10_chr19:29925107-29925118(+)::chr19:29925106-29925118(+) GCGAGGAAGAGG >mm10_chr19:29948353-29948364(-)::chr19:29948352-29948364(-) GGAAGGAAGACA >mm10_chr19:29969339-29969350(+)::chr19:29969338-29969350(+) ACGAGGAAGTCT >mm10_chr19:29969358-29969369(-)::chr19:29969357-29969369(-) ACAAGGAAGTGC >mm10_chr19:29980031-29980042(+)::chr19:29980030-29980042(+) tccaggaagtta >mm10_chr19:30010658-30010669(+)::chr19:30010657-30010669(+) aagaggaagccg >mm10_chr19:30010851-30010862(+)::chr19:30010850-30010862(+) ttaaggaagtaa >mm10_chr19:30010872-30010883(+)::chr19:30010871-30010883(+) tggaggaaggca >mm10_chr19:30030524-30030535(-)::chr19:30030523-30030535(-) AATAGGAAGGAG >mm10_chr19:30033380-30033391(-)::chr19:30033379-30033391(-) TCCAGGAAGTAG >mm10_chr19:30033393-30033404(-)::chr19:30033392-30033404(-) TTAAGGAAGAAA >mm10_chr19:30048874-30048885(-)::chr19:30048873-30048885(-) gaaaggaagttg >mm10_chr19:30063122-30063133(-)::chr19:30063121-30063133(-) agaaggaagaag >mm10_chr19:30065986-30065997(-)::chr19:30065985-30065997(-) gccaggaagtcc >mm10_chr19:30105202-30105213(+)::chr19:30105201-30105213(+) AGCAGGAAGATG >mm10_chr19:30123653-30123664(+)::chr19:30123652-30123664(+) aggaggaagagg >mm10_chr19:30123659-30123670(+)::chr19:30123658-30123670(+) aagaggaagagg >mm10_chr19:30123665-30123676(+)::chr19:30123664-30123676(+) aagaggaagagg >mm10_chr19:30123686-30123697(+)::chr19:30123685-30123697(+) aggaggaagagg >mm10_chr19:30163164-30163175(-)::chr19:30163163-30163175(-) AGGAGGAAGGAG >mm10_chr19:30163210-30163221(-)::chr19:30163209-30163221(-) GAAAGGAAGCTG >mm10_chr19:30174130-30174141(-)::chr19:30174129-30174141(-) AGAAGGTAGTTA >mm10_chr19:30249054-30249065(+)::chr19:30249053-30249065(+) ACCAGGAAATCC >mm10_chr19:30322591-30322602(+)::chr19:30322590-30322602(+) agaaggaagaaa >mm10_chr19:30322627-30322638(+)::chr19:30322626-30322638(+) gagaggaagatg >mm10_chr19:30322637-30322648(+)::chr19:30322636-30322648(+) tggaggaagagg >mm10_chr19:30323213-30323224(-)::chr19:30323212-30323224(-) ctaaggaagttg >mm10_chr19:30323228-30323239(+)::chr19:30323227-30323239(+) tcaaggaaatgc >mm10_chr19:30323266-30323277(-)::chr19:30323265-30323277(-) tgaaggaagaaa >mm10_chr19:30330993-30331004(-)::chr19:30330992-30331004(-) ATGAGGAAGTGC >mm10_chr19:30331020-30331031(+)::chr19:30331019-30331031(+) AGGAGGAAGTCT >mm10_chr19:30363993-30364004(-)::chr19:30363992-30364004(-) AGAAGGAAGGAC >mm10_chr19:30365765-30365776(-)::chr19:30365764-30365776(-) AGGAGGAAGTGA >mm10_chr19:30373926-30373937(+)::chr19:30373925-30373937(+) AGAAGGAAGTGG >mm10_chr19:30380695-30380706(+)::chr19:30380694-30380706(+) ATCAGGAAGAAA >mm10_chr19:30392088-30392099(+)::chr19:30392087-30392099(+) AGAAGGAAGCTT >mm10_chr19:30483557-30483568(-)::chr19:30483556-30483568(-) TGCAGGAAGTGG >mm10_chr19:30483580-30483591(+)::chr19:30483579-30483591(+) AGCAGGAAGGGC >mm10_chr19:30483598-30483609(-)::chr19:30483597-30483609(-) TCCAGGAAATAT >mm10_chr19:30636528-30636539(+)::chr19:30636527-30636539(+) CTAAGGAAatag >mm10_chr19:31017102-31017113(+)::chr19:31017101-31017113(+) cacaggaagtgg >mm10_chr19:31057491-31057502(-)::chr19:31057490-31057502(-) acaaggaagggg >mm10_chr19:31058726-31058737(+)::chr19:31058725-31058737(+) ATAAGGAAAAGT >mm10_chr19:31106338-31106349(-)::chr19:31106337-31106349(-) aggaggaagagg >mm10_chr19:31106380-31106391(-)::chr19:31106379-31106391(-) aggaggaagaag >mm10_chr19:31175029-31175040(-)::chr19:31175028-31175040(-) ATAAGGAAGGGG >mm10_chr19:31349211-31349222(-)::chr19:31349210-31349222(-) ATAAGGAAGGAG >mm10_chr19:31362168-31362179(-)::chr19:31362167-31362179(-) acgaggaagttg >mm10_chr19:31393367-31393378(+)::chr19:31393366-31393378(+) AGAAGGAAGAAG >mm10_chr19:31425107-31425118(+)::chr19:31425106-31425118(+) AGAAGGAAAGAC >mm10_chr19:31450385-31450396(-)::chr19:31450384-31450396(-) ataaggaagttg >mm10_chr19:31450402-31450413(-)::chr19:31450401-31450413(-) agaaggaagagt >mm10_chr19:31482289-31482300(-)::chr19:31482288-31482300(-) AGGAGGAAGAAG >mm10_chr19:31482313-31482324(-)::chr19:31482312-31482324(-) TGCAGGAAGAAG >mm10_chr19:31500981-31500992(+)::chr19:31500980-31500992(+) AGGGGGAAGTGT >mm10_chr19:31603697-31603708(+)::chr19:31603696-31603708(+) gccaggaagagg >mm10_chr19:31603703-31603714(+)::chr19:31603702-31603714(+) aagaggaagttt >mm10_chr19:31611945-31611956(-)::chr19:31611944-31611956(-) agaaggaagaag >mm10_chr19:31611964-31611975(-)::chr19:31611963-31611975(-) gagaggaaggga >mm10_chr19:31611977-31611988(-)::chr19:31611976-31611988(-) acgaggaagggg >mm10_chr19:31611986-31611997(-)::chr19:31611985-31611997(-) agaaggaacacg >mm10_chr19:31616438-31616449(-)::chr19:31616437-31616449(-) gaaaggaaATGA >mm10_chr19:31756046-31756057(-)::chr19:31756045-31756057(-) AATAGGAAGAAA >mm10_chr19:31756053-31756064(-)::chr19:31756052-31756064(-) AGGAGGAAATAG >mm10_chr19:31764726-31764737(-)::chr19:31764725-31764737(-) AGGAGGAAGAAA >mm10_chr19:31778671-31778682(-)::chr19:31778670-31778682(-) aagaggaagaag >mm10_chr19:31778677-31778688(-)::chr19:31778676-31778688(-) aagaggaagagg >mm10_chr19:31778723-31778734(-)::chr19:31778722-31778734(-) ggaaggaagaag >mm10_chr19:31778727-31778738(-)::chr19:31778726-31778738(-) aaaaggaaggaa >mm10_chr19:31778745-31778756(-)::chr19:31778744-31778756(-) aggaggaagaag >mm10_chr19:31802806-31802817(+)::chr19:31802805-31802817(+) ACAAGGAAAAGG >mm10_chr19:31834417-31834428(+)::chr19:31834416-31834428(+) aacaggaagtaa >mm10_chr19:31834433-31834444(+)::chr19:31834432-31834444(+) aacaggaagtag >mm10_chr19:31834449-31834460(+)::chr19:31834448-31834460(+) aacaggaagctg >mm10_chr19:31956616-31956627(+)::chr19:31956615-31956627(+) ATCAGGAAGGTG >mm10_chr19:31958630-31958641(+)::chr19:31958629-31958641(+) TCCAGGAAGTGT >mm10_chr19:31958647-31958658(+)::chr19:31958646-31958658(+) AAAAGGAAATAA >mm10_chr19:31976011-31976022(+)::chr19:31976010-31976022(+) aacaggaagtgg >mm10_chr19:31976028-31976039(+)::chr19:31976027-31976039(+) gggaggaagcag >mm10_chr19:31976052-31976063(-)::chr19:31976051-31976063(-) tccaggaagtaa >mm10_chr19:32181456-32181467(+)::chr19:32181455-32181467(+) TAAATGAAGTGC >mm10_chr19:32202254-32202265(-)::chr19:32202253-32202265(-) CTCAGGAAGTAC >mm10_chr19:32210275-32210286(-)::chr19:32210274-32210286(-) AGGAGGAAGCAC >mm10_chr19:32215167-32215178(-)::chr19:32215166-32215178(-) GGAAGGAAGCTG >mm10_chr19:32249310-32249321(+)::chr19:32249309-32249321(+) AAGAGGAAGAAG >mm10_chr19:32249317-32249328(+)::chr19:32249316-32249328(+) AGAAGGAAGGAA >mm10_chr19:32249321-32249332(+)::chr19:32249320-32249332(+) GGAAGGAAGGAG >mm10_chr19:32275008-32275019(-)::chr19:32275007-32275019(-) GCAAGGAAGGTA >mm10_chr19:32292634-32292645(+)::chr19:32292633-32292645(+) AGAAGGAAGGTC >mm10_chr19:32303195-32303206(-)::chr19:32303194-32303206(-) ACAAGGAAATCG >mm10_chr19:32303224-32303235(-)::chr19:32303223-32303235(-) AGAAGGAAGCTG >mm10_chr19:32304214-32304225(-)::chr19:32304213-32304225(-) GACAGGAAGGGC >mm10_chr19:32304263-32304274(+)::chr19:32304262-32304274(+) AAGAGGAAGTGG >mm10_chr19:32322680-32322691(+)::chr19:32322679-32322691(+) GGGAGGAAGCAG >mm10_chr19:32322687-32322698(+)::chr19:32322686-32322698(+) AGCAGGAAGGGG >mm10_chr19:32322717-32322728(-)::chr19:32322716-32322728(-) TTAATGAAGTAC >mm10_chr19:32328309-32328320(+)::chr19:32328308-32328320(+) GGAGGGAAGTGG >mm10_chr19:32328324-32328335(+)::chr19:32328323-32328335(+) GGAAGGAAGAAG >mm10_chr19:32330453-32330464(-)::chr19:32330452-32330464(-) TGGAGGAAGTGG >mm10_chr19:32330474-32330485(-)::chr19:32330473-32330485(-) GGAAGGAAATTC >mm10_chr19:32343982-32343993(+)::chr19:32343981-32343993(+) AGAAGGAAGCTG >mm10_chr19:32344055-32344066(-)::chr19:32344054-32344066(-) ACCAGGAAGGAG >mm10_chr19:32386563-32386574(+)::chr19:32386562-32386574(+) AGAAGGAAACAG >mm10_chr19:32386570-32386581(+)::chr19:32386569-32386581(+) AACAGGAAGCAA >mm10_chr19:32386586-32386597(+)::chr19:32386585-32386597(+) AGAAGGAAGACT >mm10_chr19:32485847-32485858(+)::chr19:32485846-32485858(+) GGCCGGAAGTGC >mm10_chr19:32737893-32737904(-)::chr19:32737892-32737904(-) AAAAGGAAGCAG >mm10_chr19:32790445-32790456(-)::chr19:32790444-32790456(-) GGAAGGAAATGC >mm10_chr19:32790449-32790460(-)::chr19:32790448-32790460(-) ACAAGGAAGGAA >mm10_chr19:32792061-32792072(-)::chr19:32792060-32792072(-) aaaaggaagtaa >mm10_chr19:32804874-32804885(+)::chr19:32804873-32804885(+) AGAAGGAAGTAC >mm10_chr19:32804898-32804909(+)::chr19:32804897-32804909(+) ATGAGGAAATGT >mm10_chr19:32899357-32899368(+)::chr19:32899356-32899368(+) GGAAGGAAGTGA >mm10_chr19:32906280-32906291(-)::chr19:32906279-32906291(-) agcaggaagtgc >mm10_chr19:32906307-32906318(+)::chr19:32906306-32906318(+) aagcggaagtac >mm10_chr19:32911547-32911558(-)::chr19:32911546-32911558(-) agacggaagtaa >mm10_chr19:32911554-32911565(-)::chr19:32911553-32911565(-) aggaggaagacg >mm10_chr19:32953449-32953460(-)::chr19:32953448-32953460(-) AACAGGAAGCGC >mm10_chr19:32959180-32959191(+)::chr19:32959179-32959191(+) ACAAGGAAAAGG >mm10_chr19:32960883-32960894(-)::chr19:32960882-32960894(-) GGAAGGAAGCTC >mm10_chr19:32960887-32960898(-)::chr19:32960886-32960898(-) GGGAGGAAGGAA >mm10_chr19:32970790-32970801(+)::chr19:32970789-32970801(+) AGCAGGAAGGCC >mm10_chr19:32994635-32994646(+)::chr19:32994634-32994646(+) CTGAGGAAGTGT >mm10_chr19:33001713-33001724(-)::chr19:33001712-33001724(-) AGCAGGAAGTAG >mm10_chr19:33001720-33001731(-)::chr19:33001719-33001731(-) AGAAGGAAGCAG >mm10_chr19:33001733-33001744(-)::chr19:33001732-33001744(-) AGAAGGAACTAT >mm10_chr19:33021061-33021072(-)::chr19:33021060-33021072(-) ACAAGGAAGGTA >mm10_chr19:33021323-33021334(+)::chr19:33021322-33021334(+) GAGAGGAAGGAG >mm10_chr19:33109284-33109295(-)::chr19:33109283-33109295(-) aaaaggaacttg >mm10_chr19:33119146-33119157(-)::chr19:33119145-33119157(-) aacaggaaatgg >mm10_chr19:33119166-33119177(-)::chr19:33119165-33119177(-) aaaaggaagagc >mm10_chr19:33119183-33119194(-)::chr19:33119182-33119194(-) agaaggaaggag >mm10_chr19:33119190-33119201(-)::chr19:33119189-33119201(-) gagaggaagaag >mm10_chr19:33136310-33136321(-)::chr19:33136309-33136321(-) acagggaagata >mm10_chr19:33143449-33143460(-)::chr19:33143448-33143460(-) ACAAGGAAAATA >mm10_chr19:33148845-33148856(+)::chr19:33148844-33148856(+) aggaggaagtta >mm10_chr19:33299835-33299846(+)::chr19:33299834-33299846(+) GCAGGGAAGTTC >mm10_chr19:33311136-33311147(+)::chr19:33311135-33311147(+) TCCAGGAAGATG >mm10_chr19:33311169-33311180(+)::chr19:33311168-33311180(+) ACGGGGAAGTAG >mm10_chr19:33319063-33319074(+)::chr19:33319062-33319074(+) AGGAGGAAGAGA >mm10_chr19:33319340-33319351(+)::chr19:33319339-33319351(+) gaaaggaagcac >mm10_chr19:33382575-33382586(+)::chr19:33382574-33382586(+) ATAAGGAAGAAA >mm10_chr19:33532265-33532276(-)::chr19:33532264-33532276(-) AGGAGGAAGATA >mm10_chr19:33532278-33532289(+)::chr19:33532277-33532289(+) TTAAGGAAGCCT >mm10_chr19:33532291-33532302(-)::chr19:33532290-33532302(-) CATAGGAAGTGG >mm10_chr19:33981345-33981356(+)::chr19:33981344-33981356(+) ggaatgaagtat >mm10_chr19:34008115-34008126(-)::chr19:34008114-34008126(-) TCAAGGAAGATG >mm10_chr19:34015087-34015098(+)::chr19:34015086-34015098(+) gaaaggaaatct >mm10_chr19:34015117-34015128(-)::chr19:34015116-34015128(-) TTAAGGAAGTAT >mm10_chr19:34021908-34021919(-)::chr19:34021907-34021919(-) ACCAGGAAGGAA >mm10_chr19:34043347-34043358(+)::chr19:34043346-34043358(+) TTAAGGAAGTAC >mm10_chr19:34044989-34045000(-)::chr19:34044988-34045000(-) AACAGGAAGCAA >mm10_chr19:34045026-34045037(-)::chr19:34045025-34045037(-) AGAAGGAAGTGA >mm10_chr19:34049838-34049849(+)::chr19:34049837-34049849(+) AACAGGAAGGGC >mm10_chr19:34057961-34057972(-)::chr19:34057960-34057972(-) GCAAGGAAGTAT >mm10_chr19:34057986-34057997(+)::chr19:34057985-34057997(+) ATGAGGAAGCCA >mm10_chr19:34089227-34089238(-)::chr19:34089226-34089238(-) GGAAGGAAGAGC >mm10_chr19:34089231-34089242(-)::chr19:34089230-34089242(-) AAGAGGAAGGAA >mm10_chr19:34091065-34091076(+)::chr19:34091064-34091076(+) TCAAGGAAATTC >mm10_chr19:34092642-34092653(+)::chr19:34092641-34092653(+) TTGAGGAAGTGA >mm10_chr19:34092680-34092691(+)::chr19:34092679-34092691(+) AAAAGGAAGCTC >mm10_chr19:34166081-34166092(+)::chr19:34166080-34166092(+) AAAAGGAAGCAC >mm10_chr19:34166127-34166138(+)::chr19:34166126-34166138(+) AGCAGGAAGAGT >mm10_chr19:34194514-34194525(-)::chr19:34194513-34194525(-) AAAGGGAAGTAT >mm10_chr19:34194564-34194575(-)::chr19:34194563-34194575(-) AGGAGGAAGAGG >mm10_chr19:34194571-34194582(-)::chr19:34194570-34194582(-) AACAGGAAGGAG >mm10_chr19:34213081-34213092(-)::chr19:34213080-34213092(-) ATGAGGAAATCA >mm10_chr19:34213100-34213111(-)::chr19:34213099-34213111(-) AGACGGAAGCAA >mm10_chr19:34224882-34224893(-)::chr19:34224881-34224893(-) CCAAGGAAGTGC >mm10_chr19:34239413-34239424(-)::chr19:34239412-34239424(-) AAGAGGAAGAAG >mm10_chr19:34240873-34240884(-)::chr19:34240872-34240884(-) AAGAGGAAGGGG >mm10_chr19:34248794-34248805(-)::chr19:34248793-34248805(-) AGCAGGAAGTTG >mm10_chr19:34254640-34254651(-)::chr19:34254639-34254651(-) AGGAGGAAGTGT >mm10_chr19:34270286-34270297(+)::chr19:34270285-34270297(+) AGCAGGAAGTGC >mm10_chr19:34270309-34270320(+)::chr19:34270308-34270320(+) TAAAGAAAGTGA >mm10_chr19:34396225-34396236(+)::chr19:34396224-34396236(+) CAAAGGAAGCCA >mm10_chr19:34412266-34412277(-)::chr19:34412265-34412277(-) agaaggaagatc >mm10_chr19:34412278-34412289(-)::chr19:34412277-34412289(-) aggaggaagaga >mm10_chr19:34412304-34412315(-)::chr19:34412303-34412315(-) aggaggaaggag >mm10_chr19:34420780-34420791(+)::chr19:34420779-34420791(+) TAAAGGAAACGG >mm10_chr19:34432070-34432081(-)::chr19:34432069-34432081(-) TCAAGGAAGTCA >mm10_chr19:34515848-34515859(-)::chr19:34515847-34515859(-) GGAGGGAAGTAG >mm10_chr19:34519327-34519338(-)::chr19:34519326-34519338(-) ACAAGGATGTGT >mm10_chr19:34519884-34519895(-)::chr19:34519883-34519895(-) ATGAGGAAGCAG >mm10_chr19:34527515-34527526(+)::chr19:34527514-34527526(+) ACCGGGAAGTGC >mm10_chr19:34539592-34539603(+)::chr19:34539591-34539603(+) AACCGGAAGTAA >mm10_chr19:34539637-34539648(-)::chr19:34539636-34539648(-) AACAGGAAGCCA >mm10_chr19:34545855-34545866(-)::chr19:34545854-34545866(-) ACAAGGAAGAAA >mm10_chr19:34562699-34562710(+)::chr19:34562698-34562710(+) AACAGGAAGTTC >mm10_chr19:34562738-34562749(+)::chr19:34562737-34562749(+) AACAGGAAGCCA >mm10_chr19:34594267-34594278(-)::chr19:34594266-34594278(-) CGAAGGAAAGGA >mm10_chr19:34605449-34605460(+)::chr19:34605448-34605460(+) TAAGGGAAGTGT >mm10_chr19:34716195-34716206(-)::chr19:34716194-34716206(-) GGCAGGAAGAGC >mm10_chr19:34723065-34723076(-)::chr19:34723064-34723076(-) TGGAGGAAATGA >mm10_chr19:34723090-34723101(-)::chr19:34723089-34723101(-) TGAAGGAAGTGT >mm10_chr19:34724769-34724780(+)::chr19:34724768-34724780(+) AGCAGGAAGCAC >mm10_chr19:34724783-34724794(+)::chr19:34724782-34724794(+) AGGAGGAAGGAG >mm10_chr19:34725527-34725538(+)::chr19:34725526-34725538(+) AACAGGAAGCAC >mm10_chr19:34725537-34725548(-)::chr19:34725536-34725548(-) GAAGGGAAGCGT >mm10_chr19:34725542-34725553(-)::chr19:34725541-34725553(-) AAGAGGAAGGGA >mm10_chr19:34735632-34735643(+)::chr19:34735631-34735643(+) aagaggaagaac >mm10_chr19:34735647-34735658(+)::chr19:34735646-34735658(+) aggaggaagagg >mm10_chr19:34735681-34735692(+)::chr19:34735680-34735692(+) AAgaggaagagg >mm10_chr19:34735687-34735698(+)::chr19:34735686-34735698(+) aagaggaagagg >mm10_chr19:34735705-34735716(+)::chr19:34735704-34735716(+) aggaggaagagc >mm10_chr19:34751493-34751504(+)::chr19:34751492-34751504(+) AGAAGGAAGcag >mm10_chr19:34804811-34804822(-)::chr19:34804810-34804822(-) GGAAGGAAGTAT >mm10_chr19:34804815-34804826(-)::chr19:34804814-34804826(-) GCCAGGAAGGAA >mm10_chr19:34922381-34922392(+)::chr19:34922380-34922392(+) GGGAGGAAGTGG >mm10_chr19:35924495-35924506(+)::chr19:35924494-35924506(+) AACAGGAAGTTG >mm10_chr19:35924505-35924516(+)::chr19:35924504-35924516(+) TGGAGGAAATAg >mm10_chr19:36006504-36006515(-)::chr19:36006503-36006515(-) acagggaagtgg >mm10_chr19:36117987-36117998(+)::chr19:36117986-36117998(+) agaaggaagagg >mm10_chr19:36117993-36118004(+)::chr19:36117992-36118004(+) aagaggaagagg >mm10_chr19:36120026-36120037(+)::chr19:36120025-36120037(+) ATCAGGAAGAAA >mm10_chr19:36129773-36129784(-)::chr19:36129772-36129784(-) ATAAGGAAGGCC >mm10_chr19:36129851-36129862(-)::chr19:36129850-36129862(-) ATAAGGAAGTGC >mm10_chr19:36236700-36236711(-)::chr19:36236699-36236711(-) ggcaggaactat >mm10_chr19:36236725-36236736(+)::chr19:36236724-36236736(+) cggaggaagtgg >mm10_chr19:36299415-36299426(+)::chr19:36299414-36299426(+) aagaggaagtgg >mm10_chr19:36333093-36333104(+)::chr19:36333092-36333104(+) gcaaggaagtga >mm10_chr19:36333121-36333132(-)::chr19:36333120-36333132(-) AAAGGGAAGTTA >mm10_chr19:36333149-36333160(-)::chr19:36333148-36333160(-) GGAAGGAAGAGC >mm10_chr19:36347502-36347513(+)::chr19:36347501-36347513(+) AGGAGGAAGCTG >mm10_chr19:36397184-36397195(+)::chr19:36397183-36397195(+) agaaggaagaAA >mm10_chr19:36400265-36400276(-)::chr19:36400264-36400276(-) ggaaggaagagg >mm10_chr19:36400277-36400288(-)::chr19:36400276-36400288(-) aggaggaagagg >mm10_chr19:36400292-36400303(-)::chr19:36400291-36400303(-) aggaggaagatg >mm10_chr19:36400304-36400315(-)::chr19:36400303-36400315(-) aagaggaagaag >mm10_chr19:36400310-36400321(-)::chr19:36400309-36400321(-) aagaggaagagg >mm10_chr19:36400409-36400420(-)::chr19:36400408-36400420(-) agaaggaagagg >mm10_chr19:36400421-36400432(-)::chr19:36400420-36400432(-) aagaggaagaag >mm10_chr19:36400427-36400438(-)::chr19:36400426-36400438(-) aagaggaagagg >mm10_chr19:36400433-36400444(-)::chr19:36400432-36400444(-) aggaggaagagg >mm10_chr19:36405046-36405057(+)::chr19:36405045-36405057(+) AGGAGGAAGAGG >mm10_chr19:36410223-36410234(-)::chr19:36410222-36410234(-) GAAAGGAAGCGA >mm10_chr19:36527090-36527101(+)::chr19:36527089-36527101(+) agcaggaaggct >mm10_chr19:36574212-36574223(-)::chr19:36574211-36574223(-) GGCAGGAAGGTC >mm10_chr19:36688555-36688566(-)::chr19:36688554-36688566(-) aggaggaagtgg >mm10_chr19:36699048-36699059(-)::chr19:36699047-36699059(-) TCTAGGAAGTCC >mm10_chr19:36800058-36800069(+)::chr19:36800057-36800069(+) TCAAGGAAGAGA >mm10_chr19:36818234-36818245(-)::chr19:36818233-36818245(-) tccaggaagtcc >mm10_chr19:36819418-36819429(+)::chr19:36819417-36819429(+) CTAAGGAAGTTC >mm10_chr19:36819446-36819457(+)::chr19:36819445-36819457(+) GGAAAGAAGTAA >mm10_chr19:36842227-36842238(-)::chr19:36842226-36842238(-) aggaggaaatgt >mm10_chr19:36915917-36915928(-)::chr19:36915916-36915928(-) ATGAGGAAGGTG >mm10_chr19:36915956-36915967(+)::chr19:36915955-36915967(+) GTAAGGAAATGC >mm10_chr19:36925731-36925742(-)::chr19:36925730-36925742(-) AGAAGGAaacac >mm10_chr19:36932847-36932858(+)::chr19:36932846-36932858(+) AAAAGGAAGTGT >mm10_chr19:36932875-36932886(+)::chr19:36932874-36932886(+) CTAAGGAAGGTA >mm10_chr19:36932888-36932899(-)::chr19:36932887-36932899(-) ATAAGGAACTAC >mm10_chr19:36952613-36952624(-)::chr19:36952612-36952624(-) agaaggaactac >mm10_chr19:37018794-37018805(-)::chr19:37018793-37018805(-) AAAAGGATGCGG >mm10_chr19:37048697-37048708(+)::chr19:37048696-37048708(+) ACAAGGAAGTGC >mm10_chr19:37049234-37049245(+)::chr19:37049233-37049245(+) ACCCGGAAGTCC >mm10_chr19:37063389-37063400(-)::chr19:37063388-37063400(-) AGAAGGAAATCC >mm10_chr19:37075767-37075778(-)::chr19:37075766-37075778(-) TCCAGGAAGTAA >mm10_chr19:37086705-37086716(+)::chr19:37086704-37086716(+) AGCAGGAAGCCA >mm10_chr19:37086759-37086770(+)::chr19:37086758-37086770(+) ACAAGGAAGACA >mm10_chr19:37086778-37086789(-)::chr19:37086777-37086789(-) AGGAGGAAGTCA >mm10_chr19:37090079-37090090(+)::chr19:37090078-37090090(+) aagaggaaggac >mm10_chr19:37173052-37173063(-)::chr19:37173051-37173063(-) TCCAGGAAGTGC >mm10_chr19:37221881-37221892(+)::chr19:37221880-37221892(+) TGAAGGAACTGT >mm10_chr19:37221949-37221960(+)::chr19:37221948-37221960(+) AGGAGGAAGTGT >mm10_chr19:37238806-37238817(-)::chr19:37238805-37238817(-) AAGAGGAAGACC >mm10_chr19:37340696-37340707(+)::chr19:37340695-37340707(+) AAGAGGAAGCGT >mm10_chr19:37344091-37344102(+)::chr19:37344090-37344102(+) ACAAGGAAGTCT >mm10_chr19:37370476-37370487(+)::chr19:37370475-37370487(+) AAGAGGAAGAGA >mm10_chr19:37561641-37561652(+)::chr19:37561640-37561652(+) AACAGGAAGAGA >mm10_chr19:37561677-37561688(+)::chr19:37561676-37561688(+) AACAGGAAGGAG >mm10_chr19:37561684-37561695(+)::chr19:37561683-37561695(+) AGGAGGAAGTCT >mm10_chr19:37719607-37719618(+)::chr19:37719606-37719618(+) AGAAGGAAGGAA >mm10_chr19:37719611-37719622(+)::chr19:37719610-37719622(+) GGAAGGAAGGCT >mm10_chr19:37740346-37740357(-)::chr19:37740345-37740357(-) ATGAGGAAGCTA >mm10_chr19:37759189-37759200(+)::chr19:37759188-37759200(+) aagaggaagaaa >mm10_chr19:37759198-37759209(+)::chr19:37759197-37759209(+) aaaaggaagaag >mm10_chr19:37759213-37759224(+)::chr19:37759212-37759224(+) aggaggaaatgg >mm10_chr19:37759234-37759245(+)::chr19:37759233-37759245(+) aagaggaagagg >mm10_chr19:37759243-37759254(+)::chr19:37759242-37759254(+) aggaggaagtag >mm10_chr19:37759261-37759272(+)::chr19:37759260-37759272(+) aagaggaagagg >mm10_chr19:37814621-37814632(+)::chr19:37814620-37814632(+) GACAGGAAGTGT >mm10_chr19:37824970-37824981(-)::chr19:37824969-37824981(-) GGTAGGAAGACA >mm10_chr19:37858491-37858502(-)::chr19:37858490-37858502(-) GGCAGGAAGAAA >mm10_chr19:37858715-37858726(+)::chr19:37858714-37858726(+) CAGAGGAAGTGT >mm10_chr19:37877958-37877969(+)::chr19:37877957-37877969(+) GGAAGGAGGTGA >mm10_chr19:37882825-37882836(+)::chr19:37882824-37882836(+) agaaggaaggga >mm10_chr19:37882846-37882857(+)::chr19:37882845-37882857(+) aggaggaagaga >mm10_chr19:37882858-37882869(+)::chr19:37882857-37882869(+) gggaggaaggaC >mm10_chr19:37959300-37959311(-)::chr19:37959299-37959311(-) acgaggaagtct >mm10_chr19:37959686-37959697(+)::chr19:37959685-37959697(+) AAAAGGAAATGC >mm10_chr19:37966217-37966228(-)::chr19:37966216-37966228(-) GACAGGAAGTCT >mm10_chr19:37966265-37966276(+)::chr19:37966264-37966276(+) AGCAGGAAGCAG >mm10_chr19:37973997-37974008(-)::chr19:37973996-37974008(-) AGGAGGAAGAAG >mm10_chr19:37974004-37974015(-)::chr19:37974003-37974015(-) AGGAGGAAGGAG >mm10_chr19:37974011-37974022(-)::chr19:37974010-37974022(-) GGGAGGAAGGAG >mm10_chr19:37975202-37975213(-)::chr19:37975201-37975213(-) ggcaggaagaag >mm10_chr19:38004471-38004482(+)::chr19:38004470-38004482(+) AAAAGGAAGCCA >mm10_chr19:38011501-38011512(+)::chr19:38011500-38011512(+) CTGAGGAAGTAC >mm10_chr19:38038531-38038542(+)::chr19:38038530-38038542(+) TCCCGGAAGTGA >mm10_chr19:38042325-38042336(+)::chr19:38042324-38042336(+) GGCAGGAAATGT >mm10_chr19:38042348-38042359(+)::chr19:38042347-38042359(+) GTAAGGAAATGC >mm10_chr19:38053950-38053961(+)::chr19:38053949-38053961(+) tcaaggaagtga >mm10_chr19:38242616-38242627(+)::chr19:38242615-38242627(+) AGAAGGAAGCAA >mm10_chr19:38430541-38430552(-)::chr19:38430540-38430552(-) TGGAGGAAGGAA >mm10_chr19:38430556-38430567(-)::chr19:38430555-38430567(-) AACCGGAAGTCT >mm10_chr19:38442482-38442493(+)::chr19:38442481-38442493(+) TGAAGGAAGGAG >mm10_chr19:38442535-38442546(+)::chr19:38442534-38442546(+) ACCAGGAAGGAA >mm10_chr19:38458459-38458470(-)::chr19:38458458-38458470(-) AGCAGGAAGAAC >mm10_chr19:38470408-38470419(-)::chr19:38470407-38470419(-) ACACGGAAGCAA >mm10_chr19:38470807-38470818(+)::chr19:38470806-38470818(+) AGGAGGAAGCTG >mm10_chr19:38526854-38526865(-)::chr19:38526853-38526865(-) ATAAAGAAGTGT >mm10_chr19:38526871-38526882(+)::chr19:38526870-38526882(+) TAAAGGAAGCTT >mm10_chr19:38526883-38526894(+)::chr19:38526882-38526894(+) CCGAGGAAGTTA >mm10_chr19:38530147-38530158(+)::chr19:38530146-38530158(+) AGGAGGAAGTTA >mm10_chr19:38554055-38554066(+)::chr19:38554054-38554066(+) GGCAGGAAGATT >mm10_chr19:38578856-38578867(+)::chr19:38578855-38578867(+) AGAAAGAAGTAC >mm10_chr19:38578868-38578879(+)::chr19:38578867-38578879(+) GGCAGGAAGTGT >mm10_chr19:38600315-38600326(+)::chr19:38600314-38600326(+) ACAAGGAAGTAT >mm10_chr19:38739455-38739466(+)::chr19:38739454-38739466(+) ACAAGGAAGTTC >mm10_chr19:38740517-38740528(-)::chr19:38740516-38740528(-) ACAAGGAAACAC >mm10_chr19:38745836-38745847(+)::chr19:38745835-38745847(+) ATGAGGAAGAGG >mm10_chr19:38745842-38745853(+)::chr19:38745841-38745853(+) AAGAGGAAGATG >mm10_chr19:38746399-38746410(-)::chr19:38746398-38746410(-) GGGAGGAAGGAG >mm10_chr19:38746437-38746448(-)::chr19:38746436-38746448(-) AGAAGGAAATGA >mm10_chr19:38746749-38746760(-)::chr19:38746748-38746760(-) atcaggaagacc >mm10_chr19:38758865-38758876(+)::chr19:38758864-38758876(+) AGCAGGAAGTCC >mm10_chr19:38761404-38761415(+)::chr19:38761403-38761415(+) TCAAGGAAATGT >mm10_chr19:38765805-38765816(-)::chr19:38765804-38765816(-) GACAGGAAGCGG >mm10_chr19:38819204-38819215(+)::chr19:38819203-38819215(+) AACCGGAAGACG >mm10_chr19:38819258-38819269(-)::chr19:38819257-38819269(-) AAGAGGAAGTCC >mm10_chr19:38837612-38837623(+)::chr19:38837611-38837623(+) CCCAGGAAGCGT >mm10_chr19:40241398-40241409(+)::chr19:40241397-40241409(+) TTAAGGAAGGAA >mm10_chr19:40251315-40251326(+)::chr19:40251314-40251326(+) AACAGGAACTAG >mm10_chr19:40305595-40305606(+)::chr19:40305594-40305606(+) gaaaggaagctc >mm10_chr19:40305637-40305648(+)::chr19:40305636-40305648(+) acaaggaagttt >mm10_chr19:40305930-40305941(+)::chr19:40305929-40305941(+) AGCAGGAACTGA >mm10_chr19:40305962-40305973(+)::chr19:40305961-40305973(+) GTGAGGAAGACA >mm10_chr19:40307747-40307758(+)::chr19:40307746-40307758(+) GGCAGGAAGCAG >mm10_chr19:40307754-40307765(+)::chr19:40307753-40307765(+) AGCAGGAAGCAT >mm10_chr19:40366275-40366286(+)::chr19:40366274-40366286(+) AGAAGGAAGTGA >mm10_chr19:40394653-40394664(-)::chr19:40394652-40394664(-) GGAAGTAAGTGT >mm10_chr19:40394657-40394668(-)::chr19:40394656-40394668(-) TGTAGGAAGTAA >mm10_chr19:40395416-40395427(+)::chr19:40395415-40395427(+) GCAAGGAAGACA >mm10_chr19:40437890-40437901(+)::chr19:40437889-40437901(+) AAAGGGAAGCGG >mm10_chr19:40487768-40487779(-)::chr19:40487767-40487779(-) AGAGGGAAGTTA >mm10_chr19:40487848-40487859(-)::chr19:40487847-40487859(-) aggaggaagAGG >mm10_chr19:40488057-40488068(+)::chr19:40488056-40488068(+) GTAAGGAAGAAA >mm10_chr19:40491057-40491068(+)::chr19:40491056-40491068(+) ACCAGGAAGCTA >mm10_chr19:40491069-40491080(+)::chr19:40491068-40491080(+) CAAAGGAAGCTG >mm10_chr19:40496460-40496471(-)::chr19:40496459-40496471(-) accaggaaggtc >mm10_chr19:40496477-40496488(-)::chr19:40496476-40496488(-) AGAAGGAAGTTG >mm10_chr19:40504625-40504636(-)::chr19:40504624-40504636(-) TTCAGGAAGTCG >mm10_chr19:40582451-40582462(-)::chr19:40582450-40582462(-) gacaggaagtag >mm10_chr19:40589468-40589479(+)::chr19:40589467-40589479(+) AAAAGGAAGGCG >mm10_chr19:40589511-40589522(+)::chr19:40589510-40589522(+) ACCAGGAAGAGG >mm10_chr19:40590491-40590502(+)::chr19:40590490-40590502(+) ACCAGGAAGTCA >mm10_chr19:40590503-40590514(+)::chr19:40590502-40590514(+) TGCAGGAAGTAG >mm10_chr19:40590549-40590560(-)::chr19:40590548-40590560(-) ccaaggaagcta >mm10_chr19:40611659-40611670(+)::chr19:40611658-40611670(+) ACCAGGAAGACA >mm10_chr19:40611670-40611681(+)::chr19:40611669-40611681(+) AGAAGGAAAAGA >mm10_chr19:40630818-40630829(-)::chr19:40630817-40630829(-) ggaaggaagaca >mm10_chr19:40630848-40630859(-)::chr19:40630847-40630859(-) acacggaaggaa >mm10_chr19:40637896-40637907(+)::chr19:40637895-40637907(+) ATGAGGAAGTGG >mm10_chr19:40637937-40637948(+)::chr19:40637936-40637948(+) AGAAGGAAACAA >mm10_chr19:40649087-40649098(+)::chr19:40649086-40649098(+) aggaggaagacc >mm10_chr19:40650257-40650268(-)::chr19:40650256-40650268(-) aacaggaagtgg >mm10_chr19:40650315-40650326(-)::chr19:40650314-40650326(-) agaaggaagtac >mm10_chr19:40654027-40654038(+)::chr19:40654026-40654038(+) agaaggcagtac >mm10_chr19:40654035-40654046(-)::chr19:40654034-40654046(-) tcacggaagtac >mm10_chr19:40654060-40654071(+)::chr19:40654059-40654071(+) tgcaggaaggag >mm10_chr19:40654105-40654116(+)::chr19:40654104-40654116(+) aagaggaagagc >mm10_chr19:40666038-40666049(-)::chr19:40666037-40666049(-) AGACGGAAATTG >mm10_chr19:40666076-40666087(+)::chr19:40666075-40666087(+) CGCAGGAAGTAC >mm10_chr19:40666084-40666095(-)::chr19:40666083-40666095(-) TTAAGGAAGTAC >mm10_chr19:40679119-40679130(-)::chr19:40679118-40679130(-) aagaggaagaga >mm10_chr19:40684351-40684362(+)::chr19:40684350-40684362(+) agaaggaaGAAC >mm10_chr19:40684404-40684415(+)::chr19:40684403-40684415(+) ACAAGGAAGCGC >mm10_chr19:40685364-40685375(-)::chr19:40685363-40685375(-) GAAAGGAAATAG >mm10_chr19:40739252-40739263(-)::chr19:40739251-40739263(-) AGGAGGAAGAGG >mm10_chr19:40832548-40832559(-)::chr19:40832547-40832559(-) ataaggacgttc >mm10_chr19:40859430-40859441(-)::chr19:40859429-40859441(-) TTCAGGAAGTCT >mm10_chr19:40888647-40888658(-)::chr19:40888646-40888658(-) AGAGGGAAGTCA >mm10_chr19:40888675-40888686(+)::chr19:40888674-40888686(+) ACTAGGAAGGAG >mm10_chr19:40889230-40889241(+)::chr19:40889229-40889241(+) ggaaggaaggga >mm10_chr19:40889254-40889265(+)::chr19:40889253-40889265(+) agaaggaaggaa >mm10_chr19:40889258-40889269(+)::chr19:40889257-40889269(+) ggaaggaagAAG >mm10_chr19:40979521-40979532(+)::chr19:40979520-40979532(+) AACAGGAAGTGC >mm10_chr19:40998599-40998610(-)::chr19:40998598-40998610(-) GCAAGGAAGTTC >mm10_chr19:41095346-41095357(+)::chr19:41095345-41095357(+) AGCAGGAAGAAG >mm10_chr19:41112716-41112727(-)::chr19:41112715-41112727(-) agcaggaagaat >mm10_chr19:41112759-41112770(-)::chr19:41112758-41112770(-) ttaaggaagtgt >mm10_chr19:41256613-41256624(+)::chr19:41256612-41256624(+) ACAAGGAAGGAG >mm10_chr19:41263375-41263386(+)::chr19:41263374-41263386(+) GGTAGGAAGAGG >mm10_chr19:41263411-41263422(-)::chr19:41263410-41263422(-) ACCAGGAAATAC >mm10_chr19:41264065-41264076(+)::chr19:41264064-41264076(+) CGCCGGAAGTCC >mm10_chr19:41270163-41270174(+)::chr19:41270162-41270174(+) acaaggaaagta >mm10_chr19:41306281-41306292(-)::chr19:41306280-41306292(-) ATGAGGAAATTG >mm10_chr19:41313990-41314001(+)::chr19:41313989-41314001(+) TGAAGGAAGTGT >mm10_chr19:41314013-41314024(+)::chr19:41314012-41314024(+) ACAAGGCAGTAA >mm10_chr19:41375197-41375208(+)::chr19:41375196-41375208(+) AAGAGGAAGAAA >mm10_chr19:41375903-41375914(-)::chr19:41375902-41375914(-) GGCAGGAAATGA >mm10_chr19:41379830-41379841(+)::chr19:41379829-41379841(+) acaaggaagcaa >mm10_chr19:41416195-41416206(-)::chr19:41416194-41416206(-) aggaggaaggAG >mm10_chr19:41416229-41416240(-)::chr19:41416228-41416240(-) agaaggaagaag >mm10_chr19:41466673-41466684(+)::chr19:41466672-41466684(+) ATAAGGAAGCAC >mm10_chr19:41482318-41482329(+)::chr19:41482317-41482329(+) GGTAGGAAGTGA >mm10_chr19:41514303-41514314(-)::chr19:41514302-41514314(-) AGGAGGAAGCat >mm10_chr19:41514310-41514321(-)::chr19:41514309-41514321(-) aGGAGGAAGGAG >mm10_chr19:41568500-41568511(-)::chr19:41568499-41568511(-) AGCAGGAAGTGG >mm10_chr19:41597662-41597673(-)::chr19:41597661-41597673(-) ATCAGGAAGGAG >mm10_chr19:41600864-41600875(+)::chr19:41600863-41600875(+) AGGAGGAAGCAG >mm10_chr19:41627266-41627277(+)::chr19:41627265-41627277(+) TGAAGGAAGAAT >mm10_chr19:41627281-41627292(+)::chr19:41627280-41627292(+) TCAAGGAACTGA >mm10_chr19:41643684-41643695(+)::chr19:41643683-41643695(+) aggaggaagggg >mm10_chr19:41643699-41643710(+)::chr19:41643698-41643710(+) aggaggaagaga >mm10_chr19:41643711-41643722(+)::chr19:41643710-41643722(+) aagaggaagagg >mm10_chr19:41643717-41643728(+)::chr19:41643716-41643728(+) aagaggaagagg >mm10_chr19:41643723-41643734(+)::chr19:41643722-41643734(+) aagaggaagagg >mm10_chr19:41664837-41664848(+)::chr19:41664836-41664848(+) AGGAGGAAGGAG >mm10_chr19:41664844-41664855(+)::chr19:41664843-41664855(+) AGGAGGAAGCAT >mm10_chr19:41838027-41838038(-)::chr19:41838026-41838038(-) GCCAGGAAGTCT >mm10_chr19:41838038-41838049(-)::chr19:41838037-41838049(-) TATAGGAAGGAG >mm10_chr19:41871447-41871458(-)::chr19:41871446-41871458(-) aagaggaagagg >mm10_chr19:41871453-41871464(-)::chr19:41871452-41871464(-) aggaggaagagg >mm10_chr19:41871462-41871473(-)::chr19:41871461-41871473(-) aggaggaagagg >mm10_chr19:41871775-41871786(+)::chr19:41871774-41871786(+) ATCCGGAAGTGC >mm10_chr19:41911683-41911694(+)::chr19:41911682-41911694(+) AAGAGGAAGCGC >mm10_chr19:41914135-41914146(-)::chr19:41914134-41914146(-) ACAAGGAAAGAC >mm10_chr19:41932831-41932842(-)::chr19:41932830-41932842(-) AGAAGGAAGTGG >mm10_chr19:41981136-41981147(+)::chr19:41981135-41981147(+) AGGGGGAAGTGG >mm10_chr19:41983097-41983108(+)::chr19:41983096-41983108(+) GGAAGGAAGATG >mm10_chr19:41983131-41983142(+)::chr19:41983130-41983142(+) GGAAGGATGTGT >mm10_chr19:41989963-41989974(+)::chr19:41989962-41989974(+) aagaggaagcac >mm10_chr19:41990006-41990017(+)::chr19:41990005-41990017(+) cagaggaagtca >mm10_chr19:42005715-42005726(+)::chr19:42005714-42005726(+) ggaaggaacttc >mm10_chr19:42005720-42005731(-)::chr19:42005719-42005731(-) tccaggaagttc >mm10_chr19:42008276-42008287(-)::chr19:42008275-42008287(-) CTAAGGAACTAA >mm10_chr19:42018027-42018038(+)::chr19:42018026-42018038(+) AGGAGGAAGGGG >mm10_chr19:42066932-42066943(-)::chr19:42066931-42066943(-) aggaggaagagg >mm10_chr19:42066963-42066974(-)::chr19:42066962-42066974(-) tggaggaaggag >mm10_chr19:42066972-42066983(-)::chr19:42066971-42066983(-) agaaggaagtgg >mm10_chr19:42068512-42068523(-)::chr19:42068511-42068523(-) TGCAGGAAGAGG >mm10_chr19:42068531-42068542(-)::chr19:42068530-42068542(-) TCCAGGAAGAGG >mm10_chr19:42068554-42068565(+)::chr19:42068553-42068565(+) TCCCGGAAGTGA >mm10_chr19:42080945-42080956(+)::chr19:42080944-42080956(+) GAGAGGAAGACA >mm10_chr19:42089443-42089454(-)::chr19:42089442-42089454(-) AGGAGGAAGAAG >mm10_chr19:42130831-42130842(-)::chr19:42130830-42130842(-) ATGAGGAAGAAT >mm10_chr19:42130851-42130862(-)::chr19:42130850-42130862(-) AGGAGGAACTAG >mm10_chr19:42147269-42147280(+)::chr19:42147268-42147280(+) AGAAggaaggaa >mm10_chr19:42157729-42157740(-)::chr19:42157728-42157740(-) GCCAGGAAGGGA >mm10_chr19:42167041-42167052(+)::chr19:42167040-42167052(+) CTAAGGAAGGAG >mm10_chr19:42179412-42179423(+)::chr19:42179411-42179423(+) GGCAGGAAGACC >mm10_chr19:42258344-42258355(-)::chr19:42258343-42258355(-) CTAAGGAAATGA >mm10_chr19:42258363-42258374(+)::chr19:42258362-42258374(+) AAGAGGAAGCGG >mm10_chr19:42307667-42307678(+)::chr19:42307666-42307678(+) agaaggaagaaa >mm10_chr19:42509322-42509333(-)::chr19:42509321-42509333(-) AGAAGGAAATAT >mm10_chr19:42510363-42510374(-)::chr19:42510362-42510374(-) AGGAGGAAGTAC >mm10_chr19:42512714-42512725(-)::chr19:42512713-42512725(-) agtaggaagatt >mm10_chr19:42518291-42518302(+)::chr19:42518290-42518302(+) TACAGGAAGAGA >mm10_chr19:42518322-42518333(+)::chr19:42518321-42518333(+) ATGAGGAAGGCA >mm10_chr19:42624394-42624405(-)::chr19:42624393-42624405(-) aggaggaagcat >mm10_chr19:42668644-42668655(+)::chr19:42668643-42668655(+) TACAGGAAGTCC >mm10_chr19:42670882-42670893(+)::chr19:42670881-42670893(+) cacgggaagtga >mm10_chr19:42677125-42677136(+)::chr19:42677124-42677136(+) aacaggaagcag >mm10_chr19:42677157-42677168(-)::chr19:42677156-42677168(-) tgcaggaagtgt >mm10_chr19:42694133-42694144(-)::chr19:42694132-42694144(-) AGCAGGAACTAA >mm10_chr19:42695325-42695336(-)::chr19:42695324-42695336(-) AGCAGGAAATAA >mm10_chr19:42722726-42722737(-)::chr19:42722725-42722737(-) AGAAGGAAGCAG >mm10_chr19:42736771-42736782(-)::chr19:42736770-42736782(-) ggaaggaagagg >mm10_chr19:42736775-42736786(-)::chr19:42736774-42736786(-) acgaggaaggaa >mm10_chr19:42736829-42736840(-)::chr19:42736828-42736840(-) aacaggaagtgt >mm10_chr19:42753371-42753382(-)::chr19:42753370-42753382(-) AGGAGGAAGCCA >mm10_chr19:42757809-42757820(+)::chr19:42757808-42757820(+) CACAGGAAGTAG >mm10_chr19:42757834-42757845(-)::chr19:42757833-42757845(-) TCCAGGAAGGGG >mm10_chr19:42780120-42780131(-)::chr19:42780119-42780131(-) TAAAGGCAGTGA >mm10_chr19:42881831-42881842(+)::chr19:42881830-42881842(+) agcaggaagcag >mm10_chr19:42881846-42881857(+)::chr19:42881845-42881857(+) tggaggaagtag >mm10_chr19:43017949-43017960(-)::chr19:43017948-43017960(-) AATAGGAAATGA >mm10_chr19:43256439-43256450(-)::chr19:43256438-43256450(-) ATGAGGAAGAAT >mm10_chr19:43417605-43417616(-)::chr19:43417604-43417616(-) ggaaggaaggct >mm10_chr19:43417609-43417620(-)::chr19:43417608-43417620(-) aggaggaaggaa >mm10_chr19:43417624-43417635(-)::chr19:43417623-43417635(-) ggaaggaaggca >mm10_chr19:43417628-43417639(-)::chr19:43417627-43417639(-) gggaggaaggaa >mm10_chr19:43500616-43500627(-)::chr19:43500615-43500627(-) AGAAGGAAGATG >mm10_chr19:43500623-43500634(-)::chr19:43500622-43500634(-) AGGAGGAAGAAG >mm10_chr19:43520548-43520559(+)::chr19:43520547-43520559(+) TCCGGGAAGTCA >mm10_chr19:43520597-43520608(+)::chr19:43520596-43520608(+) AAAAGGAAGAAC >mm10_chr19:43522088-43522099(-)::chr19:43522087-43522099(-) gcaaggaaggag >mm10_chr19:43526063-43526074(+)::chr19:43526062-43526074(+) ATACGGAAGGTC >mm10_chr19:43542582-43542593(+)::chr19:43542581-43542593(+) AGAAGGATGTTT >mm10_chr19:43571222-43571233(-)::chr19:43571221-43571233(-) GGGAGGAAGGGA >mm10_chr19:43583723-43583734(-)::chr19:43583722-43583734(-) AGAAGGAAGAAG >mm10_chr19:43585027-43585038(-)::chr19:43585026-43585038(-) AACAGGAAGGGG >mm10_chr19:43618864-43618875(-)::chr19:43618863-43618875(-) TCAAGGAAGACT >mm10_chr19:43618916-43618927(+)::chr19:43618915-43618927(+) AAGAGGAAGCAC >mm10_chr19:43618943-43618954(-)::chr19:43618942-43618954(-) ACAAGGAAGTCA >mm10_chr19:43638843-43638854(-)::chr19:43638842-43638854(-) TCAAGGAAGGCA >mm10_chr19:43642040-43642051(+)::chr19:43642039-43642051(+) AGGAGGAAGGAG >mm10_chr19:43651426-43651437(+)::chr19:43651425-43651437(+) ggcaggaagagc >mm10_chr19:43655958-43655969(+)::chr19:43655957-43655969(+) AAACGGAAGAGT >mm10_chr19:43667301-43667312(-)::chr19:43667300-43667312(-) TAGAGGAAGAAA >mm10_chr19:43669486-43669497(-)::chr19:43669485-43669497(-) TTAGGGAAGTAT >mm10_chr19:43671788-43671799(-)::chr19:43671787-43671799(-) AGAAGGAAGGGG >mm10_chr19:43682805-43682816(+)::chr19:43682804-43682816(+) agaaggaagctc >mm10_chr19:43696164-43696175(-)::chr19:43696163-43696175(-) acaaggaagagg >mm10_chr19:43696173-43696184(-)::chr19:43696172-43696184(-) aagaggaagaca >mm10_chr19:43696179-43696190(-)::chr19:43696178-43696190(-) aggaggaagagg >mm10_chr19:43696197-43696208(-)::chr19:43696196-43696208(-) aggaggaagaag >mm10_chr19:43696230-43696241(-)::chr19:43696229-43696241(-) gaaaggaagaca >mm10_chr19:43752701-43752712(+)::chr19:43752700-43752712(+) ACAAGGAAATAT >mm10_chr19:43752984-43752995(-)::chr19:43752983-43752995(-) ACCCGGAAGTGC >mm10_chr19:43760670-43760681(-)::chr19:43760669-43760681(-) AGAAGAAAGTCA >mm10_chr19:43803906-43803917(-)::chr19:43803905-43803917(-) GCCGGGAAGTGA >mm10_chr19:43803943-43803954(-)::chr19:43803942-43803954(-) ATCAGGAAGACT >mm10_chr19:43857502-43857513(-)::chr19:43857501-43857513(-) TGCAGGAAGCAG >mm10_chr19:43880382-43880393(+)::chr19:43880381-43880393(+) TCGGGGAAGTTG >mm10_chr19:43890584-43890595(-)::chr19:43890583-43890595(-) AACAGGAAGACT >mm10_chr19:43891100-43891111(+)::chr19:43891099-43891111(+) TGAAGGAAGAAC >mm10_chr19:43995966-43995977(+)::chr19:43995965-43995977(+) ACCAGGAAGGAG >mm10_chr19:43995995-43996006(+)::chr19:43995994-43996006(+) AGAAGGAAGTCC >mm10_chr19:44009097-44009108(-)::chr19:44009096-44009108(-) AACCGGAAGTAA >mm10_chr19:44018149-44018160(+)::chr19:44018148-44018160(+) aCAAGGAAAAGG >mm10_chr19:44018217-44018228(+)::chr19:44018216-44018228(+) AGAAGGAAGAGC >mm10_chr19:44067521-44067532(+)::chr19:44067520-44067532(+) ATAAGGAACTCC >mm10_chr19:44104564-44104575(+)::chr19:44104563-44104575(+) AAGAGGAAGGGG >mm10_chr19:44107489-44107500(-)::chr19:44107488-44107500(-) TAGCGGAAGTGA >mm10_chr19:44118561-44118572(+)::chr19:44118560-44118572(+) acacggaagaag >mm10_chr19:44118568-44118579(+)::chr19:44118567-44118579(+) agaaggaagctt >mm10_chr19:44176920-44176931(-)::chr19:44176919-44176931(-) agtaggaagtta >mm10_chr19:44176927-44176938(-)::chr19:44176926-44176938(-) tataggaagtag >mm10_chr19:44187241-44187252(-)::chr19:44187240-44187252(-) GACAGGAAGTGA >mm10_chr19:44187280-44187291(-)::chr19:44187279-44187291(-) ATAAGGAAAAAC >mm10_chr19:44207460-44207471(+)::chr19:44207459-44207471(+) AGCAGGAAGACA >mm10_chr19:44231962-44231973(-)::chr19:44231961-44231973(-) atagggaagtga >mm10_chr19:44247385-44247396(+)::chr19:44247384-44247396(+) tgaaggaagctt >mm10_chr19:44253606-44253617(-)::chr19:44253605-44253617(-) GGCAGGAAATGT >mm10_chr19:44265741-44265752(-)::chr19:44265740-44265752(-) aagaggaagaag >mm10_chr19:44267412-44267423(+)::chr19:44267411-44267423(+) ATACGGAAGACT >mm10_chr19:44280876-44280887(-)::chr19:44280875-44280887(-) CTAAGGAAGGGT >mm10_chr19:44281186-44281197(+)::chr19:44281185-44281197(+) AACAGGAAGCAG >mm10_chr19:44281232-44281243(-)::chr19:44281231-44281243(-) GACAGGAAGTCC >mm10_chr19:44284065-44284076(-)::chr19:44284064-44284076(-) AATAGGAAGTTT >mm10_chr19:44284087-44284098(+)::chr19:44284086-44284098(+) ATAAGGAAGCTG >mm10_chr19:44284103-44284114(+)::chr19:44284102-44284114(+) AGAAGGAAGATC >mm10_chr19:44314492-44314503(-)::chr19:44314491-44314503(-) TGGAGGAAGAGC >mm10_chr19:44326433-44326444(+)::chr19:44326432-44326444(+) aggaggaaattg >mm10_chr19:44349854-44349865(-)::chr19:44349853-44349865(-) AAGAGGAAGCGA >mm10_chr19:44363501-44363512(-)::chr19:44363500-44363512(-) GGAAGGAAGCTA >mm10_chr19:44363530-44363541(-)::chr19:44363529-44363541(-) GCAGGGAAGTAG >mm10_chr19:44376616-44376627(+)::chr19:44376615-44376627(+) CAAAGGAAGAGG >mm10_chr19:44386437-44386448(+)::chr19:44386436-44386448(+) TGCAGGAAGCAG >mm10_chr19:44388255-44388266(-)::chr19:44388254-44388266(-) GGTAGGAAGGGG >mm10_chr19:44388266-44388277(-)::chr19:44388265-44388277(-) AGTAGGAAGAGG >mm10_chr19:44418146-44418157(-)::chr19:44418145-44418157(-) AACAGGAAGAAT >mm10_chr19:44420562-44420573(+)::chr19:44420561-44420573(+) ATGAGGAAGCCA >mm10_chr19:44430485-44430496(+)::chr19:44430484-44430496(+) agcaggaaggaa >mm10_chr19:44430489-44430500(+)::chr19:44430488-44430500(+) ggaaggaaatgc >mm10_chr19:44436974-44436985(+)::chr19:44436973-44436985(+) agcaggaagctg >mm10_chr19:44458143-44458154(-)::chr19:44458142-44458154(-) ACAAGGAAGCAG >mm10_chr19:44458580-44458591(-)::chr19:44458579-44458591(-) GCCAGGAAGGTA >mm10_chr19:44458601-44458612(+)::chr19:44458600-44458612(+) AGGAGGAAGACA >mm10_chr19:44458621-44458632(+)::chr19:44458620-44458632(+) TAGAGGAAATGG >mm10_chr19:44467255-44467266(-)::chr19:44467254-44467266(-) agaaggaaattg >mm10_chr19:44467284-44467295(-)::chr19:44467283-44467295(-) ttgaggaagtat >mm10_chr19:44510734-44510745(-)::chr19:44510733-44510745(-) TTAAGGAAGCCG >mm10_chr19:44510754-44510765(+)::chr19:44510753-44510765(+) TAATGGAAGTGT >mm10_chr19:44510796-44510807(-)::chr19:44510795-44510807(-) GAAAGGAAGTCC >mm10_chr19:44510923-44510934(-)::chr19:44510922-44510934(-) AAGAGGAAGAGG >mm10_chr19:44683107-44683118(+)::chr19:44683106-44683118(+) TGCAGGAAGTGC >mm10_chr19:44787437-44787448(-)::chr19:44787436-44787448(-) GGAAGGAAGTGA >mm10_chr19:44787441-44787452(-)::chr19:44787440-44787452(-) TGAAGGAAGGAA >mm10_chr19:44898877-44898888(-)::chr19:44898876-44898888(-) agcaggaactga >mm10_chr19:44904888-44904899(+)::chr19:44904887-44904899(+) agcaggaagaac >mm10_chr19:44905949-44905960(-)::chr19:44905948-44905960(-) ACAAGGAAATAC >mm10_chr19:44907529-44907540(-)::chr19:44907528-44907540(-) AACAGGAAATAT >mm10_chr19:44985931-44985942(-)::chr19:44985930-44985942(-) AAGAGGAAGGAC >mm10_chr19:45013559-45013570(+)::chr19:45013558-45013570(+) TAGAGGAAGAGC >mm10_chr19:45023693-45023704(-)::chr19:45023692-45023704(-) aggaggaagagg >mm10_chr19:45023705-45023716(-)::chr19:45023704-45023716(-) aagaggaagagg >mm10_chr19:45023711-45023722(-)::chr19:45023710-45023722(-) aggaggaagagg >mm10_chr19:45025141-45025152(-)::chr19:45025140-45025152(-) agAAGGAAACGC >mm10_chr19:45049638-45049649(-)::chr19:45049637-45049649(-) ATCAGGAAGTTT >mm10_chr19:45058557-45058568(-)::chr19:45058556-45058568(-) GGGAGGAAGCAG >mm10_chr19:45122835-45122846(-)::chr19:45122834-45122846(-) GAGAGGAAGTCA >mm10_chr19:45143704-45143715(-)::chr19:45143703-45143715(-) ACAAGGAAGCGG >mm10_chr19:45160615-45160626(-)::chr19:45160614-45160626(-) TGAAGGAAGTGA >mm10_chr19:45209843-45209854(+)::chr19:45209842-45209854(+) AACCGGAAGTGT >mm10_chr19:45240158-45240169(+)::chr19:45240157-45240169(+) AACAGGAAGAAA >mm10_chr19:45252680-45252691(+)::chr19:45252679-45252691(+) AGCAGGAAGCGG >mm10_chr19:45309060-45309071(+)::chr19:45309059-45309071(+) ATGAGGAAGAGA >mm10_chr19:45309107-45309118(-)::chr19:45309106-45309118(-) GGCAGGAAGGGA >mm10_chr19:45363520-45363531(-)::chr19:45363519-45363531(-) GAGGGGAAGTCG >mm10_chr19:45363741-45363752(+)::chr19:45363740-45363752(+) GGAAGGAAGAGG >mm10_chr19:45455085-45455096(-)::chr19:45455084-45455096(-) ACAAGGAAGAGA >mm10_chr19:45473039-45473050(-)::chr19:45473038-45473050(-) GCACGGAAGAAA >mm10_chr19:45473233-45473244(-)::chr19:45473232-45473244(-) AGCAGGAAGTTG >mm10_chr19:45540773-45540784(+)::chr19:45540772-45540784(+) CGAAGGAAGGAG >mm10_chr19:45540793-45540804(+)::chr19:45540792-45540804(+) ATCAGGAAGACA >mm10_chr19:45544264-45544275(-)::chr19:45544263-45544275(-) AGGAGGAAGTCA >mm10_chr19:45560512-45560523(+)::chr19:45560511-45560523(+) GGGAGGAAGGGG >mm10_chr19:45560561-45560572(-)::chr19:45560560-45560572(-) CCCGGGAAGTAT >mm10_chr19:45560575-45560586(+)::chr19:45560574-45560586(+) ATCCGGAAGTGA >mm10_chr19:45627084-45627095(+)::chr19:45627083-45627095(+) CAAAGGAAGAGG >mm10_chr19:45627327-45627338(-)::chr19:45627326-45627338(-) tggaggaagagg >mm10_chr19:45631005-45631016(+)::chr19:45631004-45631016(+) AGGAGGAAGAAG >mm10_chr19:45660283-45660294(-)::chr19:45660282-45660294(-) AAGAGGAAGCGG >mm10_chr19:45673324-45673335(-)::chr19:45673323-45673335(-) CAAAGGAACTCG >mm10_chr19:45696240-45696251(-)::chr19:45696239-45696251(-) ACAGGGAAGTTT >mm10_chr19:45707319-45707330(-)::chr19:45707318-45707330(-) GGTAGGAAGTAA >mm10_chr19:45735797-45735808(+)::chr19:45735796-45735808(+) ACAAGGAAGAAG >mm10_chr19:45783524-45783535(-)::chr19:45783523-45783535(-) AACAGGAAGCGG >mm10_chr19:45785373-45785384(+)::chr19:45785372-45785384(+) ttgaggaagtca >mm10_chr19:45790747-45790758(-)::chr19:45790746-45790758(-) AGGAGGAAGTCA >mm10_chr19:45836270-45836281(-)::chr19:45836269-45836281(-) TCTAGGAAGTTC >mm10_chr19:45836328-45836339(+)::chr19:45836327-45836339(+) AGAAGGAAGTGG >mm10_chr19:45852324-45852335(-)::chr19:45852323-45852335(-) ACAGGGAAGTGC >mm10_chr19:45852349-45852360(-)::chr19:45852348-45852360(-) AGCAGGAAGACA >mm10_chr19:45880294-45880305(+)::chr19:45880293-45880305(+) tagaggaagaag >mm10_chr19:45880301-45880312(+)::chr19:45880300-45880312(+) agaaggaaaggg >mm10_chr19:46003522-46003533(+)::chr19:46003521-46003533(+) GGACGGAAGTGT >mm10_chr19:46014855-46014866(+)::chr19:46014854-46014866(+) ACAAGGAAGAGA >mm10_chr19:46036239-46036250(+)::chr19:46036238-46036250(+) ACCAGGAAGAAG >mm10_chr19:46036246-46036257(+)::chr19:46036245-46036257(+) AGAAGGAAAGAA >mm10_chr19:46036299-46036310(+)::chr19:46036298-46036310(+) GGAGGGAAGGCG >mm10_chr19:46039719-46039730(+)::chr19:46039718-46039730(+) AGGAGGAAGAGC >mm10_chr19:46049359-46049370(-)::chr19:46049358-46049370(-) AGAAGGAAGAAG >mm10_chr19:46049772-46049783(-)::chr19:46049771-46049783(-) ggaaggaagTTA >mm10_chr19:46049776-46049787(-)::chr19:46049775-46049787(-) ggaaggaaggaa >mm10_chr19:46049780-46049791(-)::chr19:46049779-46049791(-) ggaaggaaggaa >mm10_chr19:46049784-46049795(-)::chr19:46049783-46049795(-) ggaaggaaggaa >mm10_chr19:46049788-46049799(-)::chr19:46049787-46049799(-) ggaaggaaggaa >mm10_chr19:46049792-46049803(-)::chr19:46049791-46049803(-) ggaaggaaggaa >mm10_chr19:46049796-46049807(-)::chr19:46049795-46049807(-) ggaaggaaggaa >mm10_chr19:46049800-46049811(-)::chr19:46049799-46049811(-) ggaaggaaggaa >mm10_chr19:46049804-46049815(-)::chr19:46049803-46049815(-) ggaaggaaggaa >mm10_chr19:46049808-46049819(-)::chr19:46049807-46049819(-) ggaaggaaggaa >mm10_chr19:46049812-46049823(-)::chr19:46049811-46049823(-) ggaaggaaggaa >mm10_chr19:46049816-46049827(-)::chr19:46049815-46049827(-) ggaaggaaggaa >mm10_chr19:46049820-46049831(-)::chr19:46049819-46049831(-) ggaaggaaggaa >mm10_chr19:46049824-46049835(-)::chr19:46049823-46049835(-) ggaaggaaggaa >mm10_chr19:46049828-46049839(-)::chr19:46049827-46049839(-) gggaggaaggaa >mm10_chr19:46056516-46056527(-)::chr19:46056515-46056527(-) GAAAGGAACTAC >mm10_chr19:46063389-46063400(+)::chr19:46063388-46063400(+) GGTAGGAAGAAG >mm10_chr19:46105075-46105086(-)::chr19:46105074-46105086(-) tgaaggaagttt >mm10_chr19:46105106-46105117(-)::chr19:46105105-46105117(-) ctcaggaagtag >mm10_chr19:46105127-46105138(-)::chr19:46105126-46105138(-) tccaggaagaac >mm10_chr19:46154468-46154479(+)::chr19:46154467-46154479(+) ttcaggaagtcc >mm10_chr19:46154485-46154496(+)::chr19:46154484-46154496(+) atgaggaaggac >mm10_chr19:46179836-46179847(-)::chr19:46179835-46179847(-) aagaggaagagg >mm10_chr19:46179853-46179864(-)::chr19:46179852-46179864(-) aggaggaagggg >mm10_chr19:46179868-46179879(-)::chr19:46179867-46179879(-) gggaggaagaag >mm10_chr19:46179881-46179892(-)::chr19:46179880-46179892(-) aggaggaagaag >mm10_chr19:46179893-46179904(-)::chr19:46179892-46179904(-) agaaggaaggag >mm10_chr19:46179908-46179919(-)::chr19:46179907-46179919(-) aggaggaagagg >mm10_chr19:46186299-46186310(+)::chr19:46186298-46186310(+) ACCAGGAAGCAG >mm10_chr19:46222731-46222742(-)::chr19:46222730-46222742(-) AGAAGGAAAGGG >mm10_chr19:46249214-46249225(-)::chr19:46249213-46249225(-) TCCAGGAAGGGG >mm10_chr19:46284919-46284930(+)::chr19:46284918-46284930(+) ACCAGGAAGGAG >mm10_chr19:46297113-46297124(+)::chr19:46297112-46297124(+) ataaggaaatgt >mm10_chr19:46304318-46304329(-)::chr19:46304317-46304329(-) AAGAGGAAGGAG >mm10_chr19:46338952-46338963(-)::chr19:46338951-46338963(-) ACAAGGAAGAGG >mm10_chr19:46339359-46339370(-)::chr19:46339358-46339370(-) AGCAGGAAGAGA >mm10_chr19:46339695-46339706(+)::chr19:46339694-46339706(+) AGAAGGAAGGAG >mm10_chr19:46344080-46344091(+)::chr19:46344079-46344091(+) TGAAGGAAGAGG >mm10_chr19:46344086-46344097(+)::chr19:46344085-46344097(+) AAGAGGAAGTTT >mm10_chr19:46346497-46346508(+)::chr19:46346496-46346508(+) aagaggaagagg >mm10_chr19:46346503-46346514(+)::chr19:46346502-46346514(+) aagaggaagagg >mm10_chr19:46346509-46346520(+)::chr19:46346508-46346520(+) aagaggaagagg >mm10_chr19:46346515-46346526(+)::chr19:46346514-46346526(+) aagaggaaggag >mm10_chr19:46352815-46352826(-)::chr19:46352814-46352826(-) AGCAGGAAGACC >mm10_chr19:46358047-46358058(-)::chr19:46358046-46358058(-) GGAAGGAAGACT >mm10_chr19:46369272-46369283(-)::chr19:46369271-46369283(-) TCCAGGAAGAGG >mm10_chr19:46369320-46369331(-)::chr19:46369319-46369331(-) AACAGGAAGGAG >mm10_chr19:46389328-46389339(+)::chr19:46389327-46389339(+) ATTAGGAAGGTA >mm10_chr19:46457737-46457748(-)::chr19:46457736-46457748(-) AGCAGGAAATAG >mm10_chr19:46465971-46465982(+)::chr19:46465970-46465982(+) aggaggaagaag >mm10_chr19:46465993-46466004(+)::chr19:46465992-46466004(+) aggaggaAGGAA >mm10_chr19:46465997-46466008(+)::chr19:46465996-46466008(+) ggaAGGAAGGAA >mm10_chr19:46466001-46466012(+)::chr19:46466000-46466012(+) GGAAGGAAGGCA >mm10_chr19:46479704-46479715(-)::chr19:46479703-46479715(-) GGAAGGAAGTGT >mm10_chr19:46479708-46479719(-)::chr19:46479707-46479719(-) TCCAGGAAGGAA >mm10_chr19:46482015-46482026(+)::chr19:46482014-46482026(+) TCCAGGAAGGAA >mm10_chr19:46482019-46482030(+)::chr19:46482018-46482030(+) GGAAGGAAGGCC >mm10_chr19:46484251-46484262(-)::chr19:46484250-46484262(-) ACAAGGAAGAAA >mm10_chr19:46495359-46495370(-)::chr19:46495358-46495370(-) TCACGGAAGCGG >mm10_chr19:46503448-46503459(+)::chr19:46503447-46503459(+) atgaggaagtaa >mm10_chr19:46503763-46503774(+)::chr19:46503762-46503774(+) ACGGGGAAGACG >mm10_chr19:46504449-46504460(+)::chr19:46504448-46504460(+) TAAAGGAAGTGT >mm10_chr19:46506585-46506596(+)::chr19:46506584-46506596(+) AGAAGGAAGCCT >mm10_chr19:46511456-46511467(+)::chr19:46511455-46511467(+) GGCAGGAAATGA >mm10_chr19:46511466-46511477(+)::chr19:46511465-46511477(+) GACAGGAAGAAC >mm10_chr19:46523124-46523135(+)::chr19:46523123-46523135(+) aggaggaagagg >mm10_chr19:46523130-46523141(+)::chr19:46523129-46523141(+) aagaggaagagg >mm10_chr19:46523136-46523147(+)::chr19:46523135-46523147(+) aagaggaaggga >mm10_chr19:46523147-46523158(+)::chr19:46523146-46523158(+) aaaaggaagagg >mm10_chr19:46523153-46523164(+)::chr19:46523152-46523164(+) aagaggaagaga >mm10_chr19:46523164-46523175(+)::chr19:46523163-46523175(+) aaaaggaagaag >mm10_chr19:46523181-46523192(+)::chr19:46523180-46523192(+) tgaaggaagagg >mm10_chr19:46546927-46546938(+)::chr19:46546926-46546938(+) tagaggaagttg >mm10_chr19:46553894-46553905(-)::chr19:46553893-46553905(-) AGTAGGAAGAAG >mm10_chr19:46553903-46553914(-)::chr19:46553902-46553914(-) ggaaGGAAGAGT >mm10_chr19:46553907-46553918(-)::chr19:46553906-46553918(-) aaaaggaaGGAA >mm10_chr19:46599085-46599096(+)::chr19:46599084-46599096(+) agccggaagcgg >mm10_chr19:46599127-46599138(+)::chr19:46599126-46599138(+) aggaggaagcag >mm10_chr19:46629757-46629768(+)::chr19:46629756-46629768(+) AAAAGGATGTTG >mm10_chr19:46629801-46629812(-)::chr19:46629800-46629812(-) TCCAGGAAGAGA >mm10_chr19:46636776-46636787(-)::chr19:46636775-46636787(-) gaaaggaagaaa >mm10_chr19:46636788-46636799(-)::chr19:46636787-46636799(-) gcaaggaaggta >mm10_chr19:46636824-46636835(-)::chr19:46636823-46636835(-) acaaggaaggga >mm10_chr19:46636835-46636846(-)::chr19:46636834-46636846(-) GGCaggaaggaa >mm10_chr19:46659147-46659158(-)::chr19:46659146-46659158(-) ACCAGGAAGCTG >mm10_chr19:46689857-46689868(-)::chr19:46689856-46689868(-) AAGAGGAAGGAC >mm10_chr19:46698609-46698620(-)::chr19:46698608-46698620(-) aggaggaagaag >mm10_chr19:46698633-46698644(-)::chr19:46698632-46698644(-) aggaggaagaga >mm10_chr19:46698645-46698656(-)::chr19:46698644-46698656(-) agaaggaagagg >mm10_chr19:46792669-46792680(+)::chr19:46792668-46792680(+) AATAGGAAGAGA >mm10_chr19:46792684-46792695(-)::chr19:46792683-46792695(-) ACAAGGAAGTCT >mm10_chr19:46866777-46866788(-)::chr19:46866776-46866788(-) TGAAGGAAGGTC >mm10_chr19:46866854-46866865(+)::chr19:46866853-46866865(+) AACAGGAAGTAA >mm10_chr19:46899420-46899431(-)::chr19:46899419-46899431(-) GAGAGGAAGTGT >mm10_chr19:46899458-46899469(-)::chr19:46899457-46899469(-) GTAAGGAAGGCT >mm10_chr19:46901397-46901408(-)::chr19:46901396-46901408(-) AGCAGGATGTAG >mm10_chr19:46901404-46901415(-)::chr19:46901403-46901415(-) ATCAGGAAGCAG >mm10_chr19:46918761-46918772(+)::chr19:46918760-46918772(+) AGCAGGAAGGGT >mm10_chr19:46918777-46918788(-)::chr19:46918776-46918788(-) GCAAGGATGTGT >mm10_chr19:46963501-46963512(+)::chr19:46963500-46963512(+) acaaggaagaaa >mm10_chr19:46963514-46963525(+)::chr19:46963513-46963525(+) aggaggaaggag >mm10_chr19:46968816-46968827(+)::chr19:46968815-46968827(+) gcaaggaagctt >mm10_chr19:46982455-46982466(+)::chr19:46982454-46982466(+) TCAAGGAATTAA >mm10_chr19:46983736-46983747(+)::chr19:46983735-46983747(+) ATCAGGAAGAAA >mm10_chr19:47012924-47012935(-)::chr19:47012923-47012935(-) ACCAGGAAGCAA >mm10_chr19:47090730-47090741(-)::chr19:47090729-47090741(-) AACGGGAAGTAG >mm10_chr19:47169385-47169396(-)::chr19:47169384-47169396(-) TCCAGGAAGCGT >mm10_chr19:47169410-47169421(-)::chr19:47169409-47169421(-) AGCAGGAAGGAA >mm10_chr19:47178228-47178239(-)::chr19:47178227-47178239(-) TCGAGGAAGGGG >mm10_chr19:47178236-47178247(+)::chr19:47178235-47178247(+) TCGAGGAAGCCA >mm10_chr19:47194064-47194075(+)::chr19:47194063-47194075(+) tataggaagtag >mm10_chr19:47194097-47194108(-)::chr19:47194096-47194108(-) aaaaggaagtcc >mm10_chr19:47199021-47199032(-)::chr19:47199020-47199032(-) AGTAGGAAGCAA >mm10_chr19:47232741-47232752(+)::chr19:47232740-47232752(+) AGAAGGAAGTGA >mm10_chr19:47258651-47258662(-)::chr19:47258650-47258662(-) GGGAGGAAGGGC >mm10_chr19:47302374-47302385(-)::chr19:47302373-47302385(-) ggaaggaagcca >mm10_chr19:47305475-47305486(+)::chr19:47305474-47305486(+) GGTAGGAAGTGC >mm10_chr19:47317150-47317161(+)::chr19:47317149-47317161(+) AGCAGGAAGGGC >mm10_chr19:47317194-47317205(+)::chr19:47317193-47317205(+) AACAGGAAGCGG >mm10_chr19:47405695-47405706(+)::chr19:47405694-47405706(+) gagaggaagtgg >mm10_chr19:47405734-47405745(+)::chr19:47405733-47405745(+) aggaggaaggga >mm10_chr19:47405746-47405757(+)::chr19:47405745-47405757(+) aggaggaagTAA >mm10_chr19:47408860-47408871(+)::chr19:47408859-47408871(+) AGGAGGAAATTG >mm10_chr19:47408871-47408882(-)::chr19:47408870-47408882(-) AGCAGGAAGTCC >mm10_chr19:47412785-47412796(+)::chr19:47412784-47412796(+) ACCAGGAAATGC >mm10_chr19:47413200-47413211(+)::chr19:47413199-47413211(+) AGGAGGAAGGCA >mm10_chr19:47432626-47432637(+)::chr19:47432625-47432637(+) TCAGGGAAGTGA >mm10_chr19:47432643-47432654(-)::chr19:47432642-47432654(-) GGGAGGAAGTGG >mm10_chr19:47441727-47441738(-)::chr19:47441726-47441738(-) GGAAGGAAGTGA >mm10_chr19:47441731-47441742(-)::chr19:47441730-47441742(-) AGAAGGAAGGAA >mm10_chr19:47441768-47441779(-)::chr19:47441767-47441779(-) TGAAGGAAGTGT >mm10_chr19:47461591-47461602(+)::chr19:47461590-47461602(+) ACACGGAAGTGG >mm10_chr19:47461635-47461646(+)::chr19:47461634-47461646(+) GGGAGGAAATGA >mm10_chr19:47508175-47508186(-)::chr19:47508174-47508186(-) GCAAGGAAGAGG >mm10_chr19:47508559-47508570(+)::chr19:47508558-47508570(+) gggaggaagaga >mm10_chr19:47508585-47508596(+)::chr19:47508584-47508596(+) ggaaggaaggaa >mm10_chr19:47508589-47508600(+)::chr19:47508588-47508600(+) ggaaggaaggag >mm10_chr19:47508620-47508631(+)::chr19:47508619-47508631(+) AAGAGGAAGGAG >mm10_chr19:47508627-47508638(+)::chr19:47508626-47508638(+) AGGAGGAAGAGC >mm10_chr19:47537031-47537042(+)::chr19:47537030-47537042(+) AGAAGGAAGCGA >mm10_chr19:47537059-47537070(-)::chr19:47537058-47537070(-) GGAAGGAAATAC >mm10_chr19:47662472-47662483(-)::chr19:47662471-47662483(-) AGGAGGAAGCAG >mm10_chr19:47662482-47662493(-)::chr19:47662481-47662493(-) AAGAGGAAGCAG >mm10_chr19:47687681-47687692(+)::chr19:47687680-47687692(+) ATAAGGAAGTCT >mm10_chr19:47731093-47731104(-)::chr19:47731092-47731104(-) CTAAGGAAGTGT >mm10_chr19:47795721-47795732(+)::chr19:47795720-47795732(+) GCGAGGAAGGGG >mm10_chr19:47836814-47836825(+)::chr19:47836813-47836825(+) AGAAGGAAGGAG >mm10_chr19:47849857-47849868(+)::chr19:47849856-47849868(+) TTGAGGAAGTCT >mm10_chr19:47849890-47849901(-)::chr19:47849889-47849901(-) AGAAGGAAGTGT >mm10_chr19:47855710-47855721(+)::chr19:47855709-47855721(+) ACAAGGAAGTGT >mm10_chr19:47865619-47865630(-)::chr19:47865618-47865630(-) AAGAGGAAGTCG >mm10_chr19:47900781-47900792(+)::chr19:47900780-47900792(+) GGAAGGAAGAGG >mm10_chr19:47919290-47919301(-)::chr19:47919289-47919301(-) ACGCGGAAATGA >mm10_chr19:47919855-47919866(+)::chr19:47919854-47919866(+) AGCAGGAAGCGC >mm10_chr19:47944169-47944180(+)::chr19:47944168-47944180(+) AGGAGGAAATAG >mm10_chr19:48061304-48061315(-)::chr19:48061303-48061315(-) GGAAGGAAGAGA >mm10_chr19:48144398-48144409(+)::chr19:48144397-48144409(+) GTAAGGAAATTC >mm10_chr19:48378201-48378212(-)::chr19:48378200-48378212(-) AAAAGGAAGTCA >mm10_chr19:48379570-48379581(-)::chr19:48379569-48379581(-) AGAAGGAAAGAA >mm10_chr19:48379577-48379588(-)::chr19:48379576-48379588(-) CAAAGGAAGAAG >mm10_chr19:48379590-48379601(+)::chr19:48379589-48379601(+) AGGAGGAAGCAA >mm10_chr19:48402181-48402192(+)::chr19:48402180-48402192(+) ATAAGGATGTGT >mm10_chr19:48429922-48429933(-)::chr19:48429921-48429933(-) ATGAGGAAATCG >mm10_chr19:48429937-48429948(-)::chr19:48429936-48429948(-) GAAAGGAAATCC >mm10_chr19:48585095-48585106(-)::chr19:48585094-48585106(-) AAGAGGAAGACC >mm10_chr19:48585101-48585112(-)::chr19:48585100-48585112(-) GCCAGGAAGAGG >mm10_chr19:48728329-48728340(+)::chr19:48728328-48728340(+) aggaggaagtcc >mm10_chr19:48728361-48728372(+)::chr19:48728360-48728372(+) acagggaagttg >mm10_chr19:48777214-48777225(-)::chr19:48777213-48777225(-) aacaggaagtca >mm10_chr19:48782812-48782823(+)::chr19:48782811-48782823(+) AAGAGGAAGAAA >mm10_chr19:48995456-48995467(-)::chr19:48995455-48995467(-) aagaggaagcta >mm10_chr19:48995462-48995473(-)::chr19:48995461-48995473(-) aagaggaagagg >mm10_chr19:49510721-49510732(+)::chr19:49510720-49510732(+) CCAAGGAAGCCA >mm10_chr19:50142558-50142569(-)::chr19:50142557-50142569(-) AGAAGGAAGTTC >mm10_chr19:50385557-50385568(-)::chr19:50385556-50385568(-) ggaaggaaatgt >mm10_chr19:50436796-50436807(-)::chr19:50436795-50436807(-) AAAAGGAAATGC >mm10_chr19:50558230-50558241(+)::chr19:50558229-50558241(+) AAGAGGAAGTTT >mm10_chr19:50588537-50588548(+)::chr19:50588536-50588548(+) GAAAGGAAGGAC >mm10_chr19:51916491-51916502(+)::chr19:51916490-51916502(+) AGAAGGAATATA >mm10_chr19:52128649-52128660(+)::chr19:52128648-52128660(+) GTGAGGAAGGGA >mm10_chr19:52341415-52341426(+)::chr19:52341414-52341426(+) ggaaggaaatac >mm10_chr19:52444348-52444359(+)::chr19:52444347-52444359(+) CCCAGGAAGTAA >mm10_chr19:52444382-52444393(-)::chr19:52444381-52444393(-) AACAGGAAGTTG >mm10_chr19:52979248-52979259(-)::chr19:52979247-52979259(-) AGGAGGAAATGT >mm10_chr19:53038819-53038830(+)::chr19:53038818-53038830(+) AAGAGGAAGGAC >mm10_chr19:53038845-53038856(+)::chr19:53038844-53038856(+) CTAAGGAAGCTG >mm10_chr19:53044138-53044149(-)::chr19:53044137-53044149(-) TGGCGGAAGTCG >mm10_chr19:53044168-53044179(-)::chr19:53044167-53044179(-) ACCAGGAAGGGA >mm10_chr19:53049679-53049690(-)::chr19:53049678-53049690(-) GTAAGGAAGTCT >mm10_chr19:53058236-53058247(-)::chr19:53058235-53058247(-) AGGAGGAAGGGA >mm10_chr19:53088042-53088053(-)::chr19:53088041-53088053(-) AAGAGGAAGATG >mm10_chr19:53092319-53092330(+)::chr19:53092318-53092330(+) AACAGGAAGGAG >mm10_chr19:53092873-53092884(-)::chr19:53092872-53092884(-) aggaggaagagA >mm10_chr19:53092912-53092923(-)::chr19:53092911-53092923(-) aagaggaagaag >mm10_chr19:53092918-53092929(-)::chr19:53092917-53092929(-) aggaggaagagg >mm10_chr19:53092936-53092947(-)::chr19:53092935-53092947(-) aggaggaagaga >mm10_chr19:53092960-53092971(-)::chr19:53092959-53092971(-) aggaggaagagg >mm10_chr19:53141403-53141414(+)::chr19:53141402-53141414(+) ATGAGGAAGGAA >mm10_chr19:53141407-53141418(+)::chr19:53141406-53141418(+) GGAAGGAAATGA >mm10_chr19:53141412-53141423(+)::chr19:53141411-53141423(+) GAAATGAAGTGG >mm10_chr19:53153320-53153331(+)::chr19:53153319-53153331(+) AACAGGAAGCCC >mm10_chr19:53153376-53153387(-)::chr19:53153375-53153387(-) AATAGGAAGGAA >mm10_chr19:53157316-53157327(+)::chr19:53157315-53157327(+) AAAAGGAAATAA >mm10_chr19:53157374-53157385(+)::chr19:53157373-53157385(+) TGTAGGAAGTCA >mm10_chr19:53170804-53170815(-)::chr19:53170803-53170815(-) AGGAGGAAGTGG >mm10_chr19:53180477-53180488(+)::chr19:53180476-53180488(+) AAAAGGAAATGT >mm10_chr19:53180539-53180550(-)::chr19:53180538-53180550(-) GGCAGGAAGAAA >mm10_chr19:53259450-53259461(+)::chr19:53259449-53259461(+) CTGAGGAAGACG >mm10_chr19:53282098-53282109(+)::chr19:53282097-53282109(+) GCCAGGAAGTAG >mm10_chr19:53282125-53282136(-)::chr19:53282124-53282136(-) TCAAGGAAGACC >mm10_chr19:53282155-53282166(+)::chr19:53282154-53282166(+) ACCAGGAAATAC >mm10_chr19:53288346-53288357(+)::chr19:53288345-53288357(+) ACAAGGAAAAGA >mm10_chr19:53334876-53334887(-)::chr19:53334875-53334887(-) AGAAGGAAATAT >mm10_chr19:53394889-53394900(+)::chr19:53394888-53394900(+) AAAGGGAAGTTT >mm10_chr19:53460545-53460556(-)::chr19:53460544-53460556(-) AGGAGGAAGTTT >mm10_chr19:53464844-53464855(-)::chr19:53464843-53464855(-) AACAGGAAGGGG >mm10_chr19:53464894-53464905(-)::chr19:53464893-53464905(-) AAGAGGAAGTGG >mm10_chr19:53477026-53477037(-)::chr19:53477025-53477037(-) TCCAGGAAGAGG >mm10_chr19:53480747-53480758(-)::chr19:53480746-53480758(-) ACAAGGAAGCCC >mm10_chr19:53482263-53482274(-)::chr19:53482262-53482274(-) GACAGGAAGACA >mm10_chr19:53482282-53482293(+)::chr19:53482281-53482293(+) ACAGGGAAGATA >mm10_chr19:53488111-53488122(+)::chr19:53488110-53488122(+) ACCAGGAAGGGG >mm10_chr19:53507682-53507693(-)::chr19:53507681-53507693(-) AGAAGGAAGAGT >mm10_chr19:53517170-53517181(+)::chr19:53517169-53517181(+) AAGAGGAAGAGA >mm10_chr19:53543168-53543179(-)::chr19:53543167-53543179(-) TGGAGGAAATTA >mm10_chr19:53548281-53548292(-)::chr19:53548280-53548292(-) agaaggaagagg >mm10_chr19:53548293-53548304(-)::chr19:53548292-53548304(-) aggaggaagagg >mm10_chr19:53554661-53554672(+)::chr19:53554660-53554672(+) AACAGGAAGGAT >mm10_chr19:53554679-53554690(+)::chr19:53554678-53554690(+) AGAACGAAGTGT >mm10_chr19:53554742-53554753(-)::chr19:53554741-53554753(-) CTAGGGAAGTAC >mm10_chr19:53748731-53748742(+)::chr19:53748730-53748742(+) ATGAGGAAGTGA >mm10_chr19:53748765-53748776(+)::chr19:53748764-53748776(+) AGGAGGAAGACT >mm10_chr19:53751629-53751640(-)::chr19:53751628-53751640(-) ACCAGGAAGAGA >mm10_chr19:53773568-53773579(-)::chr19:53773567-53773579(-) AAACGGAAGTGT >mm10_chr19:53787058-53787069(-)::chr19:53787057-53787069(-) ACAAGGATGTAA >mm10_chr19:53792727-53792738(+)::chr19:53792726-53792738(+) CACGGGAAGTAG >mm10_chr19:53800861-53800872(+)::chr19:53800860-53800872(+) AGAAGGAAGAGA >mm10_chr19:53850792-53850803(+)::chr19:53850791-53850803(+) GGGCGGAAGTTG >mm10_chr19:53853671-53853682(+)::chr19:53853670-53853682(+) TCCAGGAAGTGG >mm10_chr19:53886885-53886896(+)::chr19:53886884-53886896(+) ACAAGGAAGATC >mm10_chr19:53889537-53889548(-)::chr19:53889536-53889548(-) AACGGGAAGTCC >mm10_chr19:53895010-53895021(-)::chr19:53895009-53895021(-) GGAGGGAAGTAG >mm10_chr19:53905244-53905255(-)::chr19:53905243-53905255(-) ACAAGGAAGTCC >mm10_chr19:54039240-54039251(-)::chr19:54039239-54039251(-) CAAAGGAAGGAG >mm10_chr19:54108418-54108429(-)::chr19:54108417-54108429(-) agaaggaagaag >mm10_chr19:54108429-54108440(-)::chr19:54108428-54108440(-) aagaggaagaaa >mm10_chr19:54722187-54722198(-)::chr19:54722186-54722198(-) AGGAGGAAGCAC >mm10_chr19:54734141-54734152(-)::chr19:54734140-54734152(-) AAGGGGAAGTAT >mm10_chr19:55063404-55063415(+)::chr19:55063403-55063415(+) CTGAGGAAGTTG >mm10_chr19:55092865-55092876(-)::chr19:55092864-55092876(-) ATAAGGAAGAGT >mm10_chr19:55095716-55095727(+)::chr19:55095715-55095727(+) GGAAGGAAATCC >mm10_chr19:55097648-55097659(+)::chr19:55097647-55097659(+) TCAAGGAAGTAT >mm10_chr19:55099546-55099557(+)::chr19:55099545-55099557(+) TGGAGGAAGGGG >mm10_chr19:55118416-55118427(+)::chr19:55118415-55118427(+) TCAAGGAAGAGC >mm10_chr19:55118437-55118448(+)::chr19:55118436-55118448(+) agcaggaagatg >mm10_chr19:55137239-55137250(+)::chr19:55137238-55137250(+) ACAAGGAAGTTT >mm10_chr19:55137250-55137261(+)::chr19:55137249-55137261(+) TCCAGGAAGTCC >mm10_chr19:55162419-55162430(+)::chr19:55162418-55162430(+) tcaaggaaatcc >mm10_chr19:55207936-55207947(-)::chr19:55207935-55207947(-) TGGAGGAAGTGG >mm10_chr19:55232497-55232508(+)::chr19:55232496-55232508(+) atgaggaaatta >mm10_chr19:55253910-55253921(+)::chr19:55253909-55253921(+) ACCAGGAAGTGA >mm10_chr19:55254070-55254081(+)::chr19:55254069-55254081(+) GTGAGGAAGGGA >mm10_chr19:55257883-55257894(+)::chr19:55257882-55257894(+) AGCAGGAAGGCC >mm10_chr19:55269311-55269322(-)::chr19:55269310-55269322(-) GGAAGGAAATGC >mm10_chr19:55271502-55271513(-)::chr19:55271501-55271513(-) TGGAGGAAATGG >mm10_chr19:55316301-55316312(+)::chr19:55316300-55316312(+) ACCAGGAAGCGG >mm10_chr19:55401644-55401655(+)::chr19:55401643-55401655(+) GCCAGGAAGTAG >mm10_chr19:55401675-55401686(-)::chr19:55401674-55401686(-) GCCAGGAAGTGC >mm10_chr19:55414215-55414226(-)::chr19:55414214-55414226(-) ACGAGGAAGAAG >mm10_chr19:55418938-55418949(+)::chr19:55418937-55418949(+) TCAAGGAAGACA >mm10_chr19:55463863-55463874(+)::chr19:55463862-55463874(+) CAAAGGAAGAGG >mm10_chr19:55496322-55496333(+)::chr19:55496321-55496333(+) TGCAGGAAGCGT >mm10_chr19:55496354-55496365(-)::chr19:55496353-55496365(-) AGAAGGAAATAG >mm10_chr19:55537492-55537503(-)::chr19:55537491-55537503(-) ACCAGGAAGTAA >mm10_chr19:55539300-55539311(-)::chr19:55539299-55539311(-) AGGAGGAAGCAG >mm10_chr19:55616653-55616664(-)::chr19:55616652-55616664(-) TAGAGGAAATGG >mm10_chr19:55689664-55689675(+)::chr19:55689663-55689675(+) AGGAGGAAGAGA >mm10_chr19:55689719-55689730(+)::chr19:55689718-55689730(+) ATAGGGAAGAAC >mm10_chr19:55710046-55710057(-)::chr19:55710045-55710057(-) AAGAGGAAGTGT >mm10_chr19:55714156-55714167(+)::chr19:55714155-55714167(+) ACAAGGAAGACA >mm10_chr19:55719197-55719208(+)::chr19:55719196-55719208(+) TCCAGGAAGTAG >mm10_chr19:55743579-55743590(-)::chr19:55743578-55743590(-) GGAAGGAAGAGA >mm10_chr19:55745123-55745134(+)::chr19:55745122-55745134(+) ACAAGGAAGGTT >mm10_chr19:55754327-55754338(-)::chr19:55754326-55754338(-) TGAAGGAAGGAC >mm10_chr19:55757004-55757015(+)::chr19:55757003-55757015(+) AGGAGGAAGATG >mm10_chr19:55771221-55771232(+)::chr19:55771220-55771232(+) AGAAGGAAAGAG >mm10_chr19:55775030-55775041(-)::chr19:55775029-55775041(-) AAAAGGAAGCAG >mm10_chr19:55790799-55790810(-)::chr19:55790798-55790810(-) AGAAGGAAGTAA >mm10_chr19:55791275-55791286(+)::chr19:55791274-55791286(+) aggaggaagcaa >mm10_chr19:55791302-55791313(+)::chr19:55791301-55791313(+) tggaggaagaac >mm10_chr19:55798141-55798152(+)::chr19:55798140-55798152(+) GACAGGAAGGCA >mm10_chr19:55798792-55798803(-)::chr19:55798791-55798803(-) ACAAGGATGTCT >mm10_chr19:55828641-55828652(-)::chr19:55828640-55828652(-) AAACGGAAGTGG >mm10_chr19:55839307-55839318(+)::chr19:55839306-55839318(+) ACGAGGAAGTGT >mm10_chr19:55842365-55842376(+)::chr19:55842364-55842376(+) AGAAGGAAAGGA >mm10_chr19:55842378-55842389(+)::chr19:55842377-55842389(+) TACAGGAAGAGA >mm10_chr19:55848319-55848330(-)::chr19:55848318-55848330(-) TCAAGGAAGCCC >mm10_chr19:55865203-55865214(+)::chr19:55865202-55865214(+) ATAAGGAAATGG >mm10_chr19:55865235-55865246(-)::chr19:55865234-55865246(-) ATAAGGAAGAGA >mm10_chr19:55876624-55876635(+)::chr19:55876623-55876635(+) ACCAGGAAGCAG >mm10_chr19:55890158-55890169(-)::chr19:55890157-55890169(-) ggaaggaagAGG >mm10_chr19:55890162-55890173(-)::chr19:55890161-55890173(-) ggaaggaaggaa >mm10_chr19:55890166-55890177(-)::chr19:55890165-55890177(-) gggaggaaggaa >mm10_chr19:55890174-55890185(-)::chr19:55890173-55890185(-) agaaggaaggga >mm10_chr19:55890181-55890192(-)::chr19:55890180-55890192(-) ggaaggaagaag >mm10_chr19:55890185-55890196(-)::chr19:55890184-55890196(-) GAaaggaaggaa >mm10_chr19:55897771-55897782(+)::chr19:55897770-55897782(+) GGAAGGAAGAGA >mm10_chr19:55911088-55911099(+)::chr19:55911087-55911099(+) GGCAGGAAGGAA >mm10_chr19:55911092-55911103(+)::chr19:55911091-55911103(+) GGAAGGAAGTGG >mm10_chr19:55955250-55955261(+)::chr19:55955249-55955261(+) TCCAGGAAGAGG >mm10_chr19:55956999-55957010(+)::chr19:55956998-55957010(+) ctgaggaagtca >mm10_chr19:55962159-55962170(+)::chr19:55962158-55962170(+) agaaggaaaaga >mm10_chr19:55962483-55962494(+)::chr19:55962482-55962494(+) aggaggaagtga >mm10_chr19:56017491-56017502(-)::chr19:56017490-56017502(-) ACAAGGAACGGG >mm10_chr19:56029675-56029686(+)::chr19:56029674-56029686(+) CGTGGGAAGTCG >mm10_chr19:56029695-56029706(-)::chr19:56029694-56029706(-) AGCAGGAAGAAG >mm10_chr19:56035125-56035136(-)::chr19:56035124-56035136(-) ACAAGGAAGCCT >mm10_chr19:56035166-56035177(+)::chr19:56035165-56035177(+) ACAAGTAAGTCT >mm10_chr19:56055619-56055630(+)::chr19:56055618-56055630(+) AGAAGGAAATAG >mm10_chr19:56069122-56069133(-)::chr19:56069121-56069133(-) GGAAGGATGTGC >mm10_chr19:56069151-56069162(-)::chr19:56069150-56069162(-) AGGAGGAAGAGA >mm10_chr19:56069515-56069526(-)::chr19:56069514-56069526(-) aagaggaagtca >mm10_chr19:56074208-56074219(-)::chr19:56074207-56074219(-) AGACGGAAGCAA >mm10_chr19:56080888-56080899(+)::chr19:56080887-56080899(+) aaaaggaaatga >mm10_chr19:56102864-56102875(+)::chr19:56102863-56102875(+) AGGAGGAAATAT >mm10_chr19:56170994-56171005(-)::chr19:56170993-56171005(-) ATGAGGAAGCAT >mm10_chr19:56225232-56225243(-)::chr19:56225231-56225243(-) AAGAGGAAGTCA >mm10_chr19:56225238-56225249(-)::chr19:56225237-56225249(-) ACCAGGAAGAGG >mm10_chr19:56256928-56256939(+)::chr19:56256927-56256939(+) AAGAGGAAGAGG >mm10_chr19:56256934-56256945(+)::chr19:56256933-56256945(+) AAGAGGAAGTGA >mm10_chr19:56264279-56264290(-)::chr19:56264278-56264290(-) AGAAGGAAATAG >mm10_chr19:56264300-56264311(-)::chr19:56264299-56264311(-) TGCAGGAAGTCC >mm10_chr19:56264314-56264325(-)::chr19:56264313-56264325(-) GGCAGGAAGTGG >mm10_chr19:56356724-56356735(+)::chr19:56356723-56356735(+) ACCAGGAAATAG >mm10_chr19:56356748-56356759(-)::chr19:56356747-56356759(-) GGAAGGAAGAGA >mm10_chr19:56356752-56356763(-)::chr19:56356751-56356763(-) GGGAGGAAGGAA >mm10_chr19:56357144-56357155(-)::chr19:56357143-56357155(-) TCCAGGAAGTCT >mm10_chr19:56398501-56398512(-)::chr19:56398500-56398512(-) atagggaagtgc >mm10_chr19:56400360-56400371(+)::chr19:56400359-56400371(+) tgcaggaaggtg >mm10_chr19:56406065-56406076(-)::chr19:56406064-56406076(-) ccaaggaaggct >mm10_chr19:56418596-56418607(-)::chr19:56418595-56418607(-) atagggaagttt >mm10_chr19:56419273-56419284(+)::chr19:56419272-56419284(+) ACAGGGAAGTCC >mm10_chr19:56432880-56432891(-)::chr19:56432879-56432891(-) GACAGGAAATAG >mm10_chr19:56438855-56438866(-)::chr19:56438854-56438866(-) agcaggaagaga >mm10_chr19:56458676-56458687(-)::chr19:56458675-56458687(-) tggaggaagatt >mm10_chr19:56458705-56458716(-)::chr19:56458704-56458716(-) atcaggaaggaa >mm10_chr19:56461571-56461582(-)::chr19:56461570-56461582(-) AGCAGGAAGTTC >mm10_chr19:56471836-56471847(+)::chr19:56471835-56471847(+) AGCAGGAAGACA >mm10_chr19:56495412-56495423(+)::chr19:56495411-56495423(+) AGCAGGAAGTAC >mm10_chr19:56510435-56510446(+)::chr19:56510434-56510446(+) GGCAGGAAATGT >mm10_chr19:56566139-56566150(+)::chr19:56566138-56566150(+) AGAAGGAAATGA >mm10_chr19:56566174-56566185(+)::chr19:56566173-56566185(+) TGAAGGAAGGAG >mm10_chr19:56586404-56586415(+)::chr19:56586403-56586415(+) TGAAGGAAGCAC >mm10_chr19:56586422-56586433(-)::chr19:56586421-56586433(-) AGCAGGAAGTAC >mm10_chr19:56651735-56651746(-)::chr19:56651734-56651746(-) agcaggaagtgg >mm10_chr19:56653566-56653577(-)::chr19:56653565-56653577(-) AGTAGGAAGTAT >mm10_chr19:56664589-56664600(+)::chr19:56664588-56664600(+) AGCCGGAAGTCC >mm10_chr19:56665459-56665470(-)::chr19:56665458-56665470(-) GACAGGAAATAA >mm10_chr19:56669008-56669019(-)::chr19:56669007-56669019(-) GGAAGGAAGGAG >mm10_chr19:56669036-56669047(+)::chr19:56669035-56669047(+) AGCAGGAAGAAC >mm10_chr19:56676818-56676829(+)::chr19:56676817-56676829(+) AATAGGAAGAGG >mm10_chr19:56703877-56703888(+)::chr19:56703876-56703888(+) ACAAGGAAATGA >mm10_chr19:56717939-56717950(+)::chr19:56717938-56717950(+) ACCaggaagagg >mm10_chr19:56717963-56717974(+)::chr19:56717962-56717974(+) gggaggaagggg >mm10_chr19:56724293-56724304(+)::chr19:56724292-56724304(+) GCCAGGAAGTGT >mm10_chr19:56726189-56726200(+)::chr19:56726188-56726200(+) AAAAGAAAGTAG >mm10_chr19:56726208-56726219(+)::chr19:56726207-56726219(+) Agaaggaaagaa >mm10_chr19:56727306-56727317(-)::chr19:56727305-56727317(-) CGGAGGAAGTCA >mm10_chr19:56744235-56744246(-)::chr19:56744234-56744246(-) GTAAGGAACTCG >mm10_chr19:56774670-56774681(-)::chr19:56774669-56774681(-) CAGAGGAAGTCT >mm10_chr19:56833053-56833064(-)::chr19:56833052-56833064(-) aagaggaagtgg >mm10_chr19:56833059-56833070(-)::chr19:56833058-56833070(-) gtaaggaagagg >mm10_chr19:56878034-56878045(+)::chr19:56878033-56878045(+) ACAAGGAAGACG >mm10_chr19:56878067-56878078(-)::chr19:56878066-56878078(-) GCCAGGAAGTTT >mm10_chr19:56908857-56908868(-)::chr19:56908856-56908868(-) AACAGGAAGACC >mm10_chr19:56915115-56915126(-)::chr19:56915114-56915126(-) CAAAGGAAGACC >mm10_chr19:56949664-56949675(+)::chr19:56949663-56949675(+) acaaggaagtcc >mm10_chr19:56949689-56949700(-)::chr19:56949688-56949700(-) GACAGGAAGTTG >mm10_chr19:57014608-57014619(-)::chr19:57014607-57014619(-) TAAAGGAAGGAT >mm10_chr19:57014627-57014638(-)::chr19:57014626-57014638(-) ATAAGGAAGCTT >mm10_chr19:57017524-57017535(-)::chr19:57017523-57017535(-) AACAGGAAGTTA >mm10_chr19:57017561-57017572(-)::chr19:57017560-57017572(-) AGAAGCAAGTGC >mm10_chr19:57056756-57056767(-)::chr19:57056755-57056767(-) accaggaagagg >mm10_chr19:57143833-57143844(+)::chr19:57143832-57143844(+) AGAAGGAAATCA >mm10_chr19:57188721-57188732(+)::chr19:57188720-57188732(+) ATCAGGAAATGA >mm10_chr19:57204382-57204393(-)::chr19:57204381-57204393(-) agaaggaaaaca >mm10_chr19:57204449-57204460(+)::chr19:57204448-57204460(+) cgcaggaaggaC >mm10_chr19:57267015-57267026(-)::chr19:57267014-57267026(-) AAAGGGAAGTTC >mm10_chr19:57273073-57273084(-)::chr19:57273072-57273084(-) ACAAGTAAGTCG >mm10_chr19:57273084-57273095(-)::chr19:57273083-57273095(-) GCCAGGAAGAAA >mm10_chr19:57273106-57273117(+)::chr19:57273105-57273117(+) ATGAGGAAGAGA >mm10_chr19:57293263-57293274(-)::chr19:57293262-57293274(-) agaaggaagaag >mm10_chr19:57293275-57293286(-)::chr19:57293274-57293286(-) aagaggaagagg >mm10_chr19:57293294-57293305(-)::chr19:57293293-57293305(-) agaaggaagagg >mm10_chr19:57293318-57293329(-)::chr19:57293317-57293329(-) aagaggaagaag >mm10_chr19:57293324-57293335(-)::chr19:57293323-57293335(-) aGAaggaagagg >mm10_chr19:57354817-57354828(+)::chr19:57354816-57354828(+) atgaggaagtac >mm10_chr19:57354860-57354871(-)::chr19:57354859-57354871(-) aggaggaaggga >mm10_chr19:57366523-57366534(-)::chr19:57366522-57366534(-) TCTAGGAAGTTT >mm10_chr19:57378856-57378867(-)::chr19:57378855-57378867(-) GGGAGGAAGTAG >mm10_chr19:57544594-57544605(+)::chr19:57544593-57544605(+) AAACGGAAATGC >mm10_chr19:57648817-57648828(+)::chr19:57648816-57648828(+) gacaggaagtac >mm10_chr19:57648832-57648843(+)::chr19:57648831-57648843(+) aacaggaagtgc >mm10_chr19:57648863-57648874(+)::chr19:57648862-57648874(+) gacaggaagtac >mm10_chr19:57648878-57648889(+)::chr19:57648877-57648889(+) gacaggaagtgc >mm10_chr19:57674825-57674836(+)::chr19:57674824-57674836(+) AACAGGAAGAGA >mm10_chr19:57737101-57737112(+)::chr19:57737100-57737112(+) aaaaggaacgtt >mm10_chr19:57803263-57803274(+)::chr19:57803262-57803274(+) AGAATGAAGTAC >mm10_chr19:57803297-57803308(-)::chr19:57803296-57803308(-) TACAGGAAGAAA >mm10_chr19:57803307-57803318(-)::chr19:57803306-57803318(-) TCCAGGAAGTTA >mm10_chr19:57803656-57803667(-)::chr19:57803655-57803667(-) AGGAGGAAGGAA >mm10_chr19:57909616-57909627(-)::chr19:57909615-57909627(-) GAGAGGAAGTGG >mm10_chr19:58059685-58059696(+)::chr19:58059684-58059696(+) ACCAGGAAGTAG >mm10_chr19:58059733-58059744(+)::chr19:58059732-58059744(+) TACAGGAAATAC >mm10_chr19:58089412-58089423(-)::chr19:58089411-58089423(-) AGCAGGAAGTGG >mm10_chr19:58097357-58097368(+)::chr19:58097356-58097368(+) ggaagcaagtgg >mm10_chr19:58101721-58101732(-)::chr19:58101720-58101732(-) tgaaggaaggag >mm10_chr19:58105624-58105635(+)::chr19:58105623-58105635(+) GGCAGGAAGGGC >mm10_chr19:58107012-58107023(-)::chr19:58107011-58107023(-) accaggaagtag >mm10_chr19:58183863-58183874(+)::chr19:58183862-58183874(+) AGCAGGAAATAA >mm10_chr19:58717202-58717213(-)::chr19:58717201-58717213(-) aacaggaagaaa >mm10_chr19:58751795-58751806(+)::chr19:58751794-58751806(+) gccaggaagagg >mm10_chr19:58776528-58776539(-)::chr19:58776527-58776539(-) CTAAGGAAGACT >mm10_chr19:58782274-58782285(-)::chr19:58782273-58782285(-) ataaggaagcta >mm10_chr19:58904073-58904084(+)::chr19:58904072-58904084(+) GATAGGAAGAAA >mm10_chr19:58988954-58988965(-)::chr19:58988953-58988965(-) GAAAGGAAATGA >mm10_chr19:58988981-58988992(-)::chr19:58988980-58988992(-) AAAAGTAAGTGG >mm10_chr19:59002352-59002363(-)::chr19:59002351-59002363(-) AGAAGGAAGTCT >mm10_chr19:59002359-59002370(-)::chr19:59002358-59002370(-) AGAAGGAAGAAG >mm10_chr19:59010204-59010215(+)::chr19:59010203-59010215(+) ACAAGGAAGTAC >mm10_chr19:59075620-59075631(+)::chr19:59075619-59075631(+) ACCCGGAAGTTG >mm10_chr19:59247965-59247976(+)::chr19:59247964-59247976(+) ACAAGGAAACTA >mm10_chr19:59279303-59279314(-)::chr19:59279302-59279314(-) ACCAGGAAGGTC >mm10_chr19:59279323-59279334(+)::chr19:59279322-59279334(+) AAGAGGAAGTCC >mm10_chr19:59281235-59281246(-)::chr19:59281234-59281246(-) CCAAGGAAGTTG >mm10_chr19:59323534-59323545(+)::chr19:59323533-59323545(+) tccaggaagttg >mm10_chr19:59350541-59350552(-)::chr19:59350540-59350552(-) TGGAGGAAGTCC >mm10_chr19:59369739-59369750(-)::chr19:59369738-59369750(-) GGAAGGAAGAGG >mm10_chr19:59369743-59369754(-)::chr19:59369742-59369754(-) GGTAGGAAGGAA >mm10_chr19:59458367-59458378(+)::chr19:59458366-59458378(+) aggaggaagagg >mm10_chr19:59458391-59458402(+)::chr19:59458390-59458402(+) acgaggaagagg >mm10_chr19:59458409-59458420(+)::chr19:59458408-59458420(+) agagggaagcgg >mm10_chr19:59585955-59585966(-)::chr19:59585954-59585966(-) ACCAGGAAGTTG >mm10_chr19:59920285-59920296(-)::chr19:59920284-59920296(-) ACAAGCAAGTTA >mm10_chr19:59920314-59920325(-)::chr19:59920313-59920325(-) ATAAGGAAAGAA >mm10_chr19:59920322-59920333(-)::chr19:59920321-59920333(-) AAAAGGAAATAA >mm10_chr19:59930766-59930777(-)::chr19:59930765-59930777(-) AGGAGGAAGTGT >mm10_chr19:59930790-59930801(+)::chr19:59930789-59930801(+) TAAAGGAAGATG >mm10_chr19:59933072-59933083(+)::chr19:59933071-59933083(+) TCAAGGAAGCAG >mm10_chr19:59933092-59933103(+)::chr19:59933091-59933103(+) AGCAGGAAGAAT >mm10_chr19:59954169-59954180(+)::chr19:59954168-59954180(+) GAGAGGAAGTCA >mm10_chr19:59961111-59961122(-)::chr19:59961110-59961122(-) ATCAGGAAATgg >mm10_chr19:59961139-59961150(-)::chr19:59961138-59961150(-) GAAAGTAAGTGG >mm10_chr19:59961156-59961167(-)::chr19:59961155-59961167(-) CACAGGAAGTTT >mm10_chr19:59970565-59970576(+)::chr19:59970564-59970576(+) agaaggaagaga >mm10_chr19:59970636-59970647(+)::chr19:59970635-59970647(+) acaaggaaacta >mm10_chr19:60001406-60001417(+)::chr19:60001405-60001417(+) TTCAGGAAGTTC >mm10_chr19:60033372-60033383(+)::chr19:60033371-60033383(+) CAAAGGAAGCAC >mm10_chr19:60033403-60033414(-)::chr19:60033402-60033414(-) AAAAGGAAATGT >mm10_chr19:60042097-60042108(-)::chr19:60042096-60042108(-) TAAAGGAAGGAC >mm10_chr19:60062335-60062346(-)::chr19:60062334-60062346(-) AAAAGGAAGAGA >mm10_chr19:60095505-60095516(+)::chr19:60095504-60095516(+) GGGAGGAAATGG >mm10_chr19:60095539-60095550(+)::chr19:60095538-60095550(+) AACAGGAAGAAC >mm10_chr19:60118516-60118527(-)::chr19:60118515-60118527(-) TTGAGGAAGTTG >mm10_chr19:60197288-60197299(-)::chr19:60197287-60197299(-) AGAAGGAAGGAT >mm10_chr19:60326766-60326777(-)::chr19:60326765-60326777(-) GCCAGGAAATAA >mm10_chr19:60392290-60392301(-)::chr19:60392289-60392301(-) ATGAGGAAGTGC >mm10_chr19:60459412-60459423(+)::chr19:60459411-60459423(+) tgaaggaaatgg >mm10_chr19:60472797-60472808(+)::chr19:60472796-60472808(+) ATCAGGAAGCTG >mm10_chr19:60472808-60472819(-)::chr19:60472807-60472819(-) AGCAGGAAATAC >mm10_chr19:60472840-60472851(-)::chr19:60472839-60472851(-) TCCAGGAAGATA >mm10_chr19:60555283-60555294(-)::chr19:60555282-60555294(-) CCAAGGAAGTGT >mm10_chr19:60581011-60581022(+)::chr19:60581010-60581022(+) GCCAGGAAGGCA >mm10_chr19:60616670-60616681(+)::chr19:60616669-60616681(+) AGCAGGAATTAT >mm10_chr19:60664069-60664080(+)::chr19:60664068-60664080(+) AGGAGGAAGGAA >mm10_chr19:60811474-60811485(+)::chr19:60811473-60811485(+) AGAAGGAAGTTT >mm10_chr19:60873656-60873667(+)::chr19:60873655-60873667(+) GACAGGAAATTA >mm10_chr19:60889194-60889205(-)::chr19:60889193-60889205(-) GCCAGGAAATAG >mm10_chr19:60889223-60889234(-)::chr19:60889222-60889234(-) AGAAGGAAAAGC >mm10_chr19:60946774-60946785(+)::chr19:60946773-60946785(+) GTGAGGAAGTTA >mm10_chr19:61048869-61048880(+)::chr19:61048868-61048880(+) GGGAGGAAGTAT >mm10_chr19:61052727-61052738(+)::chr19:61052726-61052738(+) ACAGGGAAGTTT >mm10_chr19:61062133-61062144(-)::chr19:61062132-61062144(-) TACAGGAAGACT >mm10_chr19:61141135-61141146(-)::chr19:61141134-61141146(-) GCAAGGTAGTGA >mm10_chr19:61141187-61141198(-)::chr19:61141186-61141198(-) AGAAGGAAGTGC >mm10_chr19:61141194-61141205(-)::chr19:61141193-61141205(-) AGGAGGAAGAAG >mm10_chr19:61265411-61265422(-)::chr19:61265410-61265422(-) ACAAGGAAGCTC >mm10_chr19:61265617-61265628(+)::chr19:61265616-61265628(+) ACAAGGAAGTAG >mm10_chr2:3050312-3050323(+)::chr2:3050311-3050323(+) taaaggaattgg >mm10_chr2:3283973-3283984(+)::chr2:3283972-3283984(+) AGGCGGAAGTGG >mm10_chr2:3291328-3291339(+)::chr2:3291327-3291339(+) ATAAGGAAAGGT >mm10_chr2:3305889-3305900(-)::chr2:3305888-3305900(-) GGAAGGAAATGG >mm10_chr2:3365482-3365493(+)::chr2:3365481-3365493(+) agcaggaagaaa >mm10_chr2:3365495-3365506(+)::chr2:3365494-3365506(+) agaaggaaaagt >mm10_chr2:3365513-3365524(+)::chr2:3365512-3365524(+) aggaggaaatgg >mm10_chr2:3365533-3365544(+)::chr2:3365532-3365544(+) aagaggaagaaa >mm10_chr2:3387666-3387677(-)::chr2:3387665-3387677(-) ATGAGGAAGAGC >mm10_chr2:3391430-3391441(-)::chr2:3391429-3391441(-) ACAAGGATGTTG >mm10_chr2:3391916-3391927(+)::chr2:3391915-3391927(+) AACAGGAAGAAT >mm10_chr2:3397036-3397047(-)::chr2:3397035-3397047(-) agcaggaaggaa >mm10_chr2:3397047-3397058(-)::chr2:3397046-3397058(-) accaggaagcaa >mm10_chr2:3420271-3420282(+)::chr2:3420270-3420282(+) ACGAGGAAGGCA >mm10_chr2:3473691-3473702(-)::chr2:3473690-3473702(-) ATGAGGAAGGAC >mm10_chr2:3473715-3473726(-)::chr2:3473714-3473726(-) ACAATGAAGTCC >mm10_chr2:3513088-3513099(+)::chr2:3513087-3513099(+) GTAAGGAAGGTG >mm10_chr2:3520059-3520070(-)::chr2:3520058-3520070(-) TTAAGGAAGTGG >mm10_chr2:3602013-3602024(-)::chr2:3602012-3602024(-) GGAAGGAAGAGC >mm10_chr2:3609390-3609401(-)::chr2:3609389-3609401(-) AGGAGGAAGCTG >mm10_chr2:3609448-3609459(-)::chr2:3609447-3609459(-) ACCAGGAAGTGA >mm10_chr2:3621557-3621568(+)::chr2:3621556-3621568(+) ataaggaaatgg >mm10_chr2:3621610-3621621(+)::chr2:3621609-3621621(+) gcacggaagagg >mm10_chr2:3631563-3631574(+)::chr2:3631562-3631574(+) TGCAGGAAGGGC >mm10_chr2:3631593-3631604(-)::chr2:3631592-3631604(-) TGAAGGATGTCA >mm10_chr2:3658052-3658063(+)::chr2:3658051-3658063(+) GGGAGGAAGTGA >mm10_chr2:3660165-3660176(+)::chr2:3660164-3660176(+) TCAAGGAAGTGA >mm10_chr2:3664342-3664353(-)::chr2:3664341-3664353(-) ACCAGGAAGAGC >mm10_chr2:3668986-3668997(-)::chr2:3668985-3668997(-) ACAAGGAAGCTG >mm10_chr2:3669044-3669055(-)::chr2:3669043-3669055(-) ggaaggaaggTC >mm10_chr2:3669048-3669059(-)::chr2:3669047-3669059(-) ggaaggaaggaa >mm10_chr2:3669052-3669063(-)::chr2:3669051-3669063(-) ggaaggaaggaa >mm10_chr2:3669056-3669067(-)::chr2:3669055-3669067(-) gggaggaaggaa >mm10_chr2:3700161-3700172(-)::chr2:3700160-3700172(-) AAAAGGAAATGG >mm10_chr2:3700187-3700198(-)::chr2:3700186-3700198(-) ACAAGGAAATGA >mm10_chr2:3713235-3713246(-)::chr2:3713234-3713246(-) ACCAGGAAGGTG >mm10_chr2:3723885-3723896(-)::chr2:3723884-3723896(-) ACGAGGAAGAAG >mm10_chr2:3723925-3723936(-)::chr2:3723924-3723936(-) TCAAGGAAGTGG >mm10_chr2:3738489-3738500(-)::chr2:3738488-3738500(-) GAAAGGAAATAT >mm10_chr2:3755609-3755620(+)::chr2:3755608-3755620(+) ACCAGGAAGGAC >mm10_chr2:3760378-3760389(+)::chr2:3760377-3760389(+) ATGAGGAAGTTG >mm10_chr2:3838682-3838693(+)::chr2:3838681-3838693(+) ctgaggaagtaa >mm10_chr2:4196204-4196215(-)::chr2:4196203-4196215(-) AGGAGGATGTAA >mm10_chr2:4253180-4253191(-)::chr2:4253179-4253191(-) gCCAGGAAGCGC >mm10_chr2:4253248-4253259(+)::chr2:4253247-4253259(+) agcaggaagaac >mm10_chr2:4262968-4262979(+)::chr2:4262967-4262979(+) GGAAGGAAGCTG >mm10_chr2:4345770-4345781(-)::chr2:4345769-4345781(-) GGAAGGAAGCAA >mm10_chr2:4346333-4346344(+)::chr2:4346332-4346344(+) GAGAGGAAGTAG >mm10_chr2:4362199-4362210(+)::chr2:4362198-4362210(+) AGTAGGAAGTAA >mm10_chr2:4391274-4391285(-)::chr2:4391273-4391285(-) agcaggaaggac >mm10_chr2:4391888-4391899(-)::chr2:4391887-4391899(-) TCGGGGAAGTGC >mm10_chr2:4391947-4391958(-)::chr2:4391946-4391958(-) AGCAGGAAGCCG >mm10_chr2:4391954-4391965(-)::chr2:4391953-4391965(-) AGCAGGAAGCAG >mm10_chr2:4410256-4410267(-)::chr2:4410255-4410267(-) ATGAGGAAGGGG >mm10_chr2:4452711-4452722(+)::chr2:4452710-4452722(+) TGGAGGAAGATA >mm10_chr2:4452741-4452752(-)::chr2:4452740-4452752(-) CAAAGGAAATGA >mm10_chr2:4452754-4452765(-)::chr2:4452753-4452765(-) TCAAGGATGTGT >mm10_chr2:4452763-4452774(-)::chr2:4452762-4452774(-) CCCAGGAAGTCA >mm10_chr2:4474600-4474611(+)::chr2:4474599-4474611(+) atagggaagTGT >mm10_chr2:4499470-4499481(-)::chr2:4499469-4499481(-) AGCAGGAAGTGG >mm10_chr2:4499501-4499512(+)::chr2:4499500-4499512(+) GGAAGGAAGCTG >mm10_chr2:4559707-4559718(+)::chr2:4559706-4559718(+) GGCAGGAAGTGG >mm10_chr2:4570550-4570561(-)::chr2:4570549-4570561(-) AGCAGGAAGGGC >mm10_chr2:4592979-4592990(-)::chr2:4592978-4592990(-) AGCAGGAAGGAG >mm10_chr2:4601460-4601471(-)::chr2:4601459-4601471(-) TCCAGGAAGGAA >mm10_chr2:4612632-4612643(-)::chr2:4612631-4612643(-) ATGAGGAAGTTT >mm10_chr2:4614693-4614704(-)::chr2:4614692-4614704(-) GTCAGGAAGGGC >mm10_chr2:4628322-4628333(-)::chr2:4628321-4628333(-) ATAAGGAAGTAG >mm10_chr2:4652118-4652129(-)::chr2:4652117-4652129(-) CGCCGGAAGCGG >mm10_chr2:4652145-4652156(+)::chr2:4652144-4652156(+) GAAAGGAAGGTA >mm10_chr2:4718256-4718267(+)::chr2:4718255-4718267(+) AGCAGGAAATCC >mm10_chr2:4722291-4722302(-)::chr2:4722290-4722302(-) ACAAGGAAGAAA >mm10_chr2:4822908-4822919(+)::chr2:4822907-4822919(+) GTCAGGAAGGAG >mm10_chr2:4822915-4822926(+)::chr2:4822914-4822926(+) AGGAGGAAGTGC >mm10_chr2:4904871-4904882(-)::chr2:4904870-4904882(-) AGCAGGAAGCTG >mm10_chr2:5012910-5012921(-)::chr2:5012909-5012921(-) AACCGGAAGTTC >mm10_chr2:5014764-5014775(+)::chr2:5014763-5014775(+) agcaggaagaca >mm10_chr2:5025528-5025539(+)::chr2:5025527-5025539(+) ttaaggatgtgt >mm10_chr2:5063515-5063526(-)::chr2:5063514-5063526(-) AGGAGGAAGTGG >mm10_chr2:5140146-5140157(+)::chr2:5140145-5140157(+) agcaggaagcag >mm10_chr2:5140216-5140227(-)::chr2:5140215-5140227(-) tggaggaaataa >mm10_chr2:5294880-5294891(-)::chr2:5294879-5294891(-) ACAAGGAAGAAG >mm10_chr2:5315618-5315629(+)::chr2:5315617-5315629(+) AGAAGGAAGGCT >mm10_chr2:5317137-5317148(-)::chr2:5317136-5317148(-) ACAGGGAAGTAG >mm10_chr2:5327175-5327186(+)::chr2:5327174-5327186(+) GAAAGGAAGCTA >mm10_chr2:5346258-5346269(+)::chr2:5346257-5346269(+) TCAAGGAAGTAA >mm10_chr2:5370205-5370216(+)::chr2:5370204-5370216(+) ATGAGGAAGGGA >mm10_chr2:5405818-5405829(+)::chr2:5405817-5405829(+) GAGAGGAAGAGA >mm10_chr2:5427184-5427195(+)::chr2:5427183-5427195(+) GCAAGGAAGTAG >mm10_chr2:5464665-5464676(-)::chr2:5464664-5464676(-) GCCAGGAAGTAA >mm10_chr2:5464700-5464711(+)::chr2:5464699-5464711(+) ACGAGGAAATAC >mm10_chr2:5577817-5577828(-)::chr2:5577816-5577828(-) AACGGGAAGTGG >mm10_chr2:5613247-5613258(+)::chr2:5613246-5613258(+) ACCAGGAAGGCT >mm10_chr2:5669052-5669063(-)::chr2:5669051-5669063(-) CACAGGAAGTTG >mm10_chr2:5714464-5714475(-)::chr2:5714463-5714475(-) GGCAGGAAGTCT >mm10_chr2:5801467-5801478(-)::chr2:5801466-5801478(-) TGGAGGAAGTTA >mm10_chr2:5811561-5811572(+)::chr2:5811560-5811572(+) ACAAGGAAGCAA >mm10_chr2:5811601-5811612(+)::chr2:5811600-5811612(+) AGGAGGAAGTAG >mm10_chr2:5824883-5824894(-)::chr2:5824882-5824894(-) TTGAGGAAGTAA >mm10_chr2:5845241-5845252(+)::chr2:5845240-5845252(+) AGGAGGACGTGT >mm10_chr2:5871950-5871961(+)::chr2:5871949-5871961(+) CCAAGGAAGTCT >mm10_chr2:5951086-5951097(-)::chr2:5951085-5951097(-) GGCGGGAAGTGG >mm10_chr2:5957751-5957762(+)::chr2:5957750-5957762(+) agaaggaagagg >mm10_chr2:5957757-5957768(+)::chr2:5957756-5957768(+) aagaggaagaaa >mm10_chr2:5957775-5957786(+)::chr2:5957774-5957786(+) aacaggaagagg >mm10_chr2:5957781-5957792(+)::chr2:5957780-5957792(+) aagaggaagaga >mm10_chr2:5957823-5957834(+)::chr2:5957822-5957834(+) AGCAGGAAGAAG >mm10_chr2:6048050-6048061(+)::chr2:6048049-6048061(+) TAAAGAAAGTGA >mm10_chr2:6048086-6048097(+)::chr2:6048085-6048097(+) AGCAGGAAGGGA >mm10_chr2:6048117-6048128(+)::chr2:6048116-6048128(+) GTCAGGAAGTTA >mm10_chr2:6072100-6072111(+)::chr2:6072099-6072111(+) AGGAGGAAGCGG >mm10_chr2:6085804-6085815(+)::chr2:6085803-6085815(+) AGCAGGAAGCCA >mm10_chr2:6097343-6097354(-)::chr2:6097342-6097354(-) AGGAGGAAGGGG >mm10_chr2:6103020-6103031(-)::chr2:6103019-6103031(-) AAAAGGACGTTA >mm10_chr2:6103068-6103079(+)::chr2:6103067-6103079(+) GCACGGAAGTAA >mm10_chr2:6118459-6118470(+)::chr2:6118458-6118470(+) GATAGGAAGTGC >mm10_chr2:6126088-6126099(-)::chr2:6126087-6126099(-) agaaggaaagaa >mm10_chr2:6126100-6126111(-)::chr2:6126099-6126111(-) gacaggaagcag >mm10_chr2:6157934-6157945(-)::chr2:6157933-6157945(-) aggaggaagtcc >mm10_chr2:6157964-6157975(-)::chr2:6157963-6157975(-) aggaggaagaag >mm10_chr2:6162903-6162914(+)::chr2:6162902-6162914(+) GGCAGGAAGAGC >mm10_chr2:6194630-6194641(-)::chr2:6194629-6194641(-) GGGAGGAAGACA >mm10_chr2:6204249-6204260(-)::chr2:6204248-6204260(-) ACCAGGAAGTTG >mm10_chr2:6208770-6208781(+)::chr2:6208769-6208781(+) ACAAGGAAGAGA >mm10_chr2:6208807-6208818(-)::chr2:6208806-6208818(-) ACCAGGAAGAAA >mm10_chr2:6209398-6209409(-)::chr2:6209397-6209409(-) AATAGGAAGCAT >mm10_chr2:6210099-6210110(+)::chr2:6210098-6210110(+) ACACGGAAGTTG >mm10_chr2:6238586-6238597(-)::chr2:6238585-6238597(-) CTCAGGAAGTGC >mm10_chr2:6238622-6238633(-)::chr2:6238621-6238633(-) gaaggGAAGTGT >mm10_chr2:6238627-6238638(-)::chr2:6238626-6238638(-) ggaaggaaggGA >mm10_chr2:6238631-6238642(-)::chr2:6238630-6238642(-) ggaaggaaggaa >mm10_chr2:6238635-6238646(-)::chr2:6238634-6238646(-) ggaaggaaggaa >mm10_chr2:6238639-6238650(-)::chr2:6238638-6238650(-) ggaaggaaggaa >mm10_chr2:6238643-6238654(-)::chr2:6238642-6238654(-) ggaaggaaggaa >mm10_chr2:6265146-6265157(+)::chr2:6265145-6265157(+) ATCAGGAAGGAA >mm10_chr2:6265150-6265161(+)::chr2:6265149-6265161(+) GGAAGGAAGGAA >mm10_chr2:6265154-6265165(+)::chr2:6265153-6265165(+) GGAAGGAAGAAG >mm10_chr2:6272695-6272706(+)::chr2:6272694-6272706(+) ACCAGGAAGAAC >mm10_chr2:6273641-6273652(+)::chr2:6273640-6273652(+) GGGAGGAAGTTA >mm10_chr2:6295180-6295191(-)::chr2:6295179-6295191(-) AGCAGGAAGTTG >mm10_chr2:6295197-6295208(-)::chr2:6295196-6295208(-) GGAAGGAAGTAG >mm10_chr2:6299498-6299509(-)::chr2:6299497-6299509(-) aagaggaagaaa >mm10_chr2:6299504-6299515(-)::chr2:6299503-6299515(-) aagaggaagagg >mm10_chr2:6299510-6299521(-)::chr2:6299509-6299521(-) aggaggaagagg >mm10_chr2:6322802-6322813(-)::chr2:6322801-6322813(-) ACCAGGAAGTTC >mm10_chr2:6324074-6324085(-)::chr2:6324073-6324085(-) ggaaggaaggaT >mm10_chr2:6324078-6324089(-)::chr2:6324077-6324089(-) agaaggaaggaa >mm10_chr2:6324090-6324101(-)::chr2:6324089-6324101(-) ggaaggaaggaa >mm10_chr2:6349137-6349148(+)::chr2:6349136-6349148(+) ACAAGGAAGACT >mm10_chr2:6363347-6363358(+)::chr2:6363346-6363358(+) GAAGGGAAGTCA >mm10_chr2:6363378-6363389(+)::chr2:6363377-6363389(+) AGGAGGAAGTGT >mm10_chr2:6365999-6366010(-)::chr2:6365998-6366010(-) agagggaagtga >mm10_chr2:6366029-6366040(-)::chr2:6366028-6366040(-) aggaggaaggaa >mm10_chr2:6366036-6366047(-)::chr2:6366035-6366047(-) TCaaggaaggag >mm10_chr2:6380658-6380669(+)::chr2:6380657-6380669(+) TGGAGGAAGTAG >mm10_chr2:6381756-6381767(+)::chr2:6381755-6381767(+) agaaggaagaag >mm10_chr2:6405272-6405283(-)::chr2:6405271-6405283(-) tgaaggaagaag >mm10_chr2:6405522-6405533(-)::chr2:6405521-6405533(-) GTAAGGACGTGT >mm10_chr2:6413903-6413914(-)::chr2:6413902-6413914(-) agcaggaagtcc >mm10_chr2:6463838-6463849(+)::chr2:6463837-6463849(+) taaaggaaacga >mm10_chr2:6468883-6468894(-)::chr2:6468882-6468894(-) ATAAGGAAAATC >mm10_chr2:6478520-6478531(+)::chr2:6478519-6478531(+) TGGAGGAAGTCC >mm10_chr2:6582898-6582909(-)::chr2:6582897-6582909(-) aacaggaagtaa >mm10_chr2:6582954-6582965(-)::chr2:6582953-6582965(-) acaaggaacttc >mm10_chr2:6674600-6674611(+)::chr2:6674599-6674611(+) AAGAGGAAGTGA >mm10_chr2:6674633-6674644(+)::chr2:6674632-6674644(+) TCAAGGAAGACA >mm10_chr2:6717152-6717163(-)::chr2:6717151-6717163(-) ACTAGGAAATAA >mm10_chr2:6717159-6717170(-)::chr2:6717158-6717170(-) AGAAGGAACTAG >mm10_chr2:6718375-6718386(+)::chr2:6718374-6718386(+) aagaggaagaag >mm10_chr2:6718387-6718398(+)::chr2:6718386-6718398(+) aagaggaagaga >mm10_chr2:6718408-6718419(+)::chr2:6718407-6718419(+) aggaggaagaag >mm10_chr2:6718438-6718449(+)::chr2:6718437-6718449(+) aggaggaagagg >mm10_chr2:6726376-6726387(-)::chr2:6726375-6726387(-) GTAGGGAAGTAG >mm10_chr2:6731041-6731052(-)::chr2:6731040-6731052(-) AGGAGGAAGTTC >mm10_chr2:6733559-6733570(-)::chr2:6733558-6733570(-) AAAAGGAAGCTG >mm10_chr2:6733601-6733612(+)::chr2:6733600-6733612(+) AGCAGGAAGTAG >mm10_chr2:6789861-6789872(-)::chr2:6789860-6789872(-) ACAAAGAAGTAG >mm10_chr2:6861849-6861860(-)::chr2:6861848-6861860(-) agaaggaaaaga >mm10_chr2:7087577-7087588(-)::chr2:7087576-7087588(-) GTCAGGAAGTAG >mm10_chr2:7089197-7089208(+)::chr2:7089196-7089208(+) GTGAGGAAGAAG >mm10_chr2:7127471-7127482(+)::chr2:7127470-7127482(+) AGGAGGAAGTGA >mm10_chr2:7127479-7127490(+)::chr2:7127478-7127490(+) GTGAGGAAGTTA >mm10_chr2:7422619-7422630(-)::chr2:7422618-7422630(-) agagggaaatac >mm10_chr2:7588405-7588416(-)::chr2:7588404-7588416(-) GAAAGGAAGTAA >mm10_chr2:7716023-7716034(+)::chr2:7716022-7716034(+) aggaggaaggat >mm10_chr2:8155350-8155361(+)::chr2:8155349-8155361(+) GACAGGAAGTTT >mm10_chr2:8155424-8155435(+)::chr2:8155423-8155435(+) TCAGGGAAGTGG >mm10_chr2:8441476-8441487(-)::chr2:8441475-8441487(-) GGAAGGCAGTTA >mm10_chr2:8441480-8441491(-)::chr2:8441479-8441491(-) ATCAGGAAGGCA >mm10_chr2:8638701-8638712(+)::chr2:8638700-8638712(+) gagaggaaggag >mm10_chr2:8638722-8638733(-)::chr2:8638721-8638733(-) agcaggaagaac >mm10_chr2:8638738-8638749(-)::chr2:8638737-8638749(-) aggaggaagcta >mm10_chr2:8671345-8671356(-)::chr2:8671344-8671356(-) AGGAGGAAATAG >mm10_chr2:8729420-8729431(-)::chr2:8729419-8729431(-) TCAAGGAAGAGA >mm10_chr2:8729586-8729597(-)::chr2:8729585-8729597(-) GGGAGGAAGAAG >mm10_chr2:8735178-8735189(+)::chr2:8735177-8735189(+) CTAAGGAAGAGC >mm10_chr2:8756726-8756737(-)::chr2:8756725-8756737(-) ATGAGGAAGCAG >mm10_chr2:8942614-8942625(-)::chr2:8942613-8942625(-) GAGAGGAAGTTT >mm10_chr2:9044236-9044247(+)::chr2:9044235-9044247(+) tggaggaagtgt >mm10_chr2:9044271-9044282(-)::chr2:9044270-9044282(-) aggaggaagatc >mm10_chr2:9103926-9103937(+)::chr2:9103925-9103937(+) ACCAGGAAGCAC >mm10_chr2:9310457-9310468(+)::chr2:9310456-9310468(+) ATAAGGAAAAGA >mm10_chr2:9355176-9355187(+)::chr2:9355175-9355187(+) GTGAGGAAGTCG >mm10_chr2:9402332-9402343(-)::chr2:9402331-9402343(-) AGAATGAAGTAA >mm10_chr2:9413388-9413399(+)::chr2:9413387-9413399(+) atcaggaagaga >mm10_chr2:9413430-9413441(-)::chr2:9413429-9413441(-) aagaggaagaaa >mm10_chr2:9452331-9452342(+)::chr2:9452330-9452342(+) agaaggaagagg >mm10_chr2:9452343-9452354(+)::chr2:9452342-9452354(+) aggaggaagaag >mm10_chr2:9452352-9452363(+)::chr2:9452351-9452363(+) aagaggaagagg >mm10_chr2:9452361-9452372(+)::chr2:9452360-9452372(+) aggaggaagaca >mm10_chr2:9452373-9452384(+)::chr2:9452372-9452384(+) atgaggaagagg >mm10_chr2:9452401-9452412(+)::chr2:9452400-9452412(+) aggaggaagagc >mm10_chr2:9456930-9456941(-)::chr2:9456929-9456941(-) TGGAGGAAGTGC >mm10_chr2:9468635-9468646(-)::chr2:9468634-9468646(-) TAGAGGAAGAAT >mm10_chr2:9474208-9474219(+)::chr2:9474207-9474219(+) aggaggaagcag >mm10_chr2:9474220-9474231(+)::chr2:9474219-9474231(+) aggaggaagagg >mm10_chr2:9474238-9474249(+)::chr2:9474237-9474249(+) aggaggaAGTAG >mm10_chr2:9474250-9474261(+)::chr2:9474249-9474261(+) ATGAGGAAGTAC >mm10_chr2:9493702-9493713(-)::chr2:9493701-9493713(-) AGGAGGAAGGAA >mm10_chr2:9493784-9493795(-)::chr2:9493783-9493795(-) ATAAGGAAATTC >mm10_chr2:9524129-9524140(-)::chr2:9524128-9524140(-) AAGAGGAAATAA >mm10_chr2:9524135-9524146(-)::chr2:9524134-9524146(-) AAGAGGAAGAGG >mm10_chr2:9527486-9527497(-)::chr2:9527485-9527497(-) GGAAGGAAGTTA >mm10_chr2:9563522-9563533(+)::chr2:9563521-9563533(+) CTCAGGAAGTAT >mm10_chr2:9577072-9577083(+)::chr2:9577071-9577083(+) AGAAGGAAAAAC >mm10_chr2:9583961-9583972(-)::chr2:9583960-9583972(-) aacaggaagaga >mm10_chr2:9590039-9590050(+)::chr2:9590038-9590050(+) AGAAGGAAATGA >mm10_chr2:9590050-9590061(+)::chr2:9590049-9590061(+) AGAAGGAAGGGA >mm10_chr2:9597857-9597868(-)::chr2:9597856-9597868(-) TCCAGGAAGTCA >mm10_chr2:9610339-9610350(+)::chr2:9610338-9610350(+) AACAGGAAATAG >mm10_chr2:9653220-9653231(+)::chr2:9653219-9653231(+) TCCAGGAAGAGG >mm10_chr2:9653258-9653269(+)::chr2:9653257-9653269(+) GTGAGGAAGATA >mm10_chr2:9662352-9662363(-)::chr2:9662351-9662363(-) aagaggaagcag >mm10_chr2:9681855-9681866(-)::chr2:9681854-9681866(-) GAAAGGAAGAAA >mm10_chr2:9691905-9691916(-)::chr2:9691904-9691916(-) AGAAGGATGTGA >mm10_chr2:9724187-9724198(-)::chr2:9724186-9724198(-) aagaggaagagg >mm10_chr2:9724193-9724204(-)::chr2:9724192-9724204(-) aagaggaagagg >mm10_chr2:9724199-9724210(-)::chr2:9724198-9724210(-) aggaggaagagg >mm10_chr2:9724211-9724222(-)::chr2:9724210-9724222(-) aggaggaagagg >mm10_chr2:9724223-9724234(-)::chr2:9724222-9724234(-) aagaggaagaga >mm10_chr2:9737696-9737707(+)::chr2:9737695-9737707(+) agaaggaagcaa >mm10_chr2:9737704-9737715(+)::chr2:9737703-9737715(+) gcaaggaaggaa >mm10_chr2:9737708-9737719(+)::chr2:9737707-9737719(+) ggaaggaaggaa >mm10_chr2:9737712-9737723(+)::chr2:9737711-9737723(+) ggaaggaaggaa >mm10_chr2:9737716-9737727(+)::chr2:9737715-9737727(+) ggaaggaagcaa >mm10_chr2:9737724-9737735(+)::chr2:9737723-9737735(+) gcaaggaaggaa >mm10_chr2:9737728-9737739(+)::chr2:9737727-9737739(+) ggaaggaaggaa >mm10_chr2:9737732-9737743(+)::chr2:9737731-9737743(+) ggaaggaaggaa >mm10_chr2:9737736-9737747(+)::chr2:9737735-9737747(+) ggaaggaaggag >mm10_chr2:9737743-9737754(+)::chr2:9737742-9737754(+) aggaggaaggTG >mm10_chr2:9757977-9757988(+)::chr2:9757976-9757988(+) tggaggaagaga >mm10_chr2:9778585-9778596(+)::chr2:9778584-9778596(+) ccaaggaaataa >mm10_chr2:9780241-9780252(+)::chr2:9780240-9780252(+) CAAAGGAAATAA >mm10_chr2:9805761-9805772(+)::chr2:9805760-9805772(+) AAGAGGAAGAGC >mm10_chr2:9811360-9811371(+)::chr2:9811359-9811371(+) ATAAGGAAATAG >mm10_chr2:9818311-9818322(+)::chr2:9818310-9818322(+) AGAAGGAAACGT >mm10_chr2:9818374-9818385(+)::chr2:9818373-9818385(+) AGAGGGAAGGGA >mm10_chr2:9818612-9818623(-)::chr2:9818611-9818623(-) ACCAGGAAGGCA >mm10_chr2:9818658-9818669(+)::chr2:9818657-9818669(+) AAAAGGAAGTAG >mm10_chr2:9818854-9818865(+)::chr2:9818853-9818865(+) AGGAGGAAGTCC >mm10_chr2:9820849-9820860(-)::chr2:9820848-9820860(-) AGCAGGAAGTGC >mm10_chr2:9821121-9821132(-)::chr2:9821120-9821132(-) ggcaggaagtcg >mm10_chr2:9832712-9832723(+)::chr2:9832711-9832723(+) CTAAGGAAGCAG >mm10_chr2:9832775-9832786(-)::chr2:9832774-9832786(-) GGAAGGAAGCAC >mm10_chr2:9832779-9832790(-)::chr2:9832778-9832790(-) TGGAGGAAGGAA >mm10_chr2:9858784-9858795(-)::chr2:9858783-9858795(-) AGAAGGAAGGAG >mm10_chr2:9861705-9861716(-)::chr2:9861704-9861716(-) ATGAGGAAGTAG >mm10_chr2:9865402-9865413(+)::chr2:9865401-9865413(+) gggaggaaggaa >mm10_chr2:9865406-9865417(+)::chr2:9865405-9865417(+) ggaaggaaggaa >mm10_chr2:9865410-9865421(+)::chr2:9865409-9865421(+) ggaaggaaggaa >mm10_chr2:9865414-9865425(+)::chr2:9865413-9865425(+) ggaaggaaggaa >mm10_chr2:9865418-9865429(+)::chr2:9865417-9865429(+) ggaaggaaggaa >mm10_chr2:9865422-9865433(+)::chr2:9865421-9865433(+) ggaaggaaggaa >mm10_chr2:9865426-9865437(+)::chr2:9865425-9865437(+) ggaaggaagagg >mm10_chr2:9865437-9865448(+)::chr2:9865436-9865448(+) gggaggaaatgg >mm10_chr2:9865455-9865466(+)::chr2:9865454-9865466(+) agaaggaagaag >mm10_chr2:9865462-9865473(+)::chr2:9865461-9865473(+) agaaggaagaaa >mm10_chr2:9867685-9867696(+)::chr2:9867684-9867696(+) TTAAGGAAGCGG >mm10_chr2:9870956-9870967(-)::chr2:9870955-9870967(-) ACTAGGAAGCGG >mm10_chr2:9871379-9871390(-)::chr2:9871378-9871390(-) GAAAGGAAATCA >mm10_chr2:9871406-9871417(+)::chr2:9871405-9871417(+) GGGAGGAAGACA >mm10_chr2:9871416-9871427(-)::chr2:9871415-9871427(-) AAAAGGAAGCTG >mm10_chr2:9872087-9872098(+)::chr2:9872086-9872098(+) gaaaggaagagg >mm10_chr2:9884631-9884642(-)::chr2:9884630-9884642(-) GCAGGGAAGCGG >mm10_chr2:9889570-9889581(-)::chr2:9889569-9889581(-) AGGAGGAAGGGT >mm10_chr2:9989199-9989210(-)::chr2:9989198-9989210(-) TGTAGGAAGTAA >mm10_chr2:9989224-9989235(+)::chr2:9989223-9989235(+) TGAAGGAAGCTG >mm10_chr2:10006899-10006910(-)::chr2:10006898-10006910(-) AGAAGGAAGGGA >mm10_chr2:10006961-10006972(-)::chr2:10006960-10006972(-) GAACGGAAGTCT >mm10_chr2:10080539-10080550(-)::chr2:10080538-10080550(-) AGCAGGAAATGG >mm10_chr2:10184626-10184637(-)::chr2:10184625-10184637(-) GGAAGGAAGCAG >mm10_chr2:10184630-10184641(-)::chr2:10184629-10184641(-) AAAAGGAAGGAA >mm10_chr2:10261528-10261539(-)::chr2:10261527-10261539(-) TTGAGGAAGTGT >mm10_chr2:10262888-10262899(-)::chr2:10262887-10262899(-) GGAAGGAAGCTG >mm10_chr2:10262892-10262903(-)::chr2:10262891-10262903(-) GTAAGGAAGGAA >mm10_chr2:10284964-10284975(-)::chr2:10284963-10284975(-) AGGAGGAAGGAA >mm10_chr2:10301838-10301849(-)::chr2:10301837-10301849(-) TGCAGGAAGCCG >mm10_chr2:10340324-10340335(+)::chr2:10340323-10340335(+) CAAAGGAAGAGG >mm10_chr2:10340330-10340341(+)::chr2:10340329-10340341(+) AAGAGGAAATGA >mm10_chr2:10423064-10423075(+)::chr2:10423063-10423075(+) TCGAGGAAGCAC >mm10_chr2:10825674-10825685(+)::chr2:10825673-10825685(+) TTGAGGAAGTGT >mm10_chr2:11144523-11144534(-)::chr2:11144522-11144534(-) agcaggaagctc >mm10_chr2:11169943-11169954(-)::chr2:11169942-11169954(-) ACAAGGAACTCC >mm10_chr2:11172701-11172712(-)::chr2:11172700-11172712(-) AGAAGGAAGCGC >mm10_chr2:11172708-11172719(-)::chr2:11172707-11172719(-) CAAAGGAAGAAG >mm10_chr2:11193335-11193346(-)::chr2:11193334-11193346(-) GGCGGGAAGTGA >mm10_chr2:11214574-11214585(-)::chr2:11214573-11214585(-) ACAAGGAAACTA >mm10_chr2:11236577-11236588(+)::chr2:11236576-11236588(+) ACAAGGAAGGGT >mm10_chr2:11239702-11239713(-)::chr2:11239701-11239713(-) AGAAGGAAACAG >mm10_chr2:11239718-11239729(-)::chr2:11239717-11239729(-) AAGAGGAAGAGA >mm10_chr2:11239741-11239752(-)::chr2:11239740-11239752(-) GGAAGGAACTTT >mm10_chr2:11239745-11239756(-)::chr2:11239744-11239756(-) CAAAGGAAGGAA >mm10_chr2:11288587-11288598(+)::chr2:11288586-11288598(+) AAAATGAAGTTG >mm10_chr2:11333491-11333502(-)::chr2:11333490-11333502(-) ATGAGGAAGCAC >mm10_chr2:11338963-11338974(+)::chr2:11338962-11338974(+) tacaggaagcCA >mm10_chr2:11339001-11339012(-)::chr2:11339000-11339012(-) ATCAGGAAGAAA >mm10_chr2:11375323-11375334(+)::chr2:11375322-11375334(+) AGCAGGAAGTCA >mm10_chr2:11398557-11398568(+)::chr2:11398556-11398568(+) TTCAGGAAGTCT >mm10_chr2:11398611-11398622(-)::chr2:11398610-11398622(-) CAACGGAAGTTT >mm10_chr2:11398847-11398858(-)::chr2:11398846-11398858(-) AGCAGGAAGGGA >mm10_chr2:11417630-11417641(-)::chr2:11417629-11417641(-) acacggaagaga >mm10_chr2:11482401-11482412(-)::chr2:11482400-11482412(-) AGAAGGAAGCGG >mm10_chr2:11482416-11482427(-)::chr2:11482415-11482427(-) GCCAGGAAGGAG >mm10_chr2:11482429-11482440(-)::chr2:11482428-11482440(-) TGAAGGAAGCCG >mm10_chr2:11482456-11482467(+)::chr2:11482455-11482467(+) TCGAGGAAGTAG >mm10_chr2:11486497-11486508(+)::chr2:11486496-11486508(+) AGCAGGAAGTTT >mm10_chr2:11486538-11486549(+)::chr2:11486537-11486549(+) ACCAGGAAGATG >mm10_chr2:11486564-11486575(+)::chr2:11486563-11486575(+) ACCAGGAAGATG >mm10_chr2:11530222-11530233(-)::chr2:11530221-11530233(-) TCAAGGAAGTGT >mm10_chr2:11535430-11535441(+)::chr2:11535429-11535441(+) GCCAGGAAGGCG >mm10_chr2:11588133-11588144(-)::chr2:11588132-11588144(-) gagaggaagtgt >mm10_chr2:11588178-11588189(+)::chr2:11588177-11588189(+) aacaggaaatgg >mm10_chr2:11588199-11588210(+)::chr2:11588198-11588210(+) AACAGGAAGTGT >mm10_chr2:11659374-11659385(+)::chr2:11659373-11659385(+) aggaggaagagg >mm10_chr2:11659412-11659423(+)::chr2:11659411-11659423(+) aacaggaaggag >mm10_chr2:11690292-11690303(-)::chr2:11690291-11690303(-) GAAAGGAAGAAG >mm10_chr2:11708750-11708761(+)::chr2:11708749-11708761(+) ATGAGGAAGTGT >mm10_chr2:11708783-11708794(+)::chr2:11708782-11708794(+) GCCAGGAAGAAA >mm10_chr2:11713639-11713650(+)::chr2:11713638-11713650(+) atagggaagtca >mm10_chr2:11713659-11713670(-)::chr2:11713658-11713670(-) aaaaggaagtat >mm10_chr2:11715647-11715658(+)::chr2:11715646-11715658(+) tgaaggaaggaa >mm10_chr2:11715651-11715662(+)::chr2:11715650-11715662(+) ggaaggaaggaa >mm10_chr2:11715655-11715666(+)::chr2:11715654-11715666(+) ggaaggaaggaa >mm10_chr2:11715659-11715670(+)::chr2:11715658-11715670(+) ggaaggaaggaa >mm10_chr2:11715663-11715674(+)::chr2:11715662-11715674(+) ggaaggaaggaa >mm10_chr2:11715667-11715678(+)::chr2:11715666-11715678(+) ggaaggaagtgt >mm10_chr2:11722133-11722144(+)::chr2:11722132-11722144(+) ACAAGGAACTTA >mm10_chr2:11722756-11722767(+)::chr2:11722755-11722767(+) ACAAGGAAGACA >mm10_chr2:11722797-11722808(+)::chr2:11722796-11722808(+) AGCAGGAACTAA >mm10_chr2:11742729-11742740(+)::chr2:11742728-11742740(+) GTAAGGAACGGG >mm10_chr2:11742767-11742778(-)::chr2:11742766-11742778(-) AGGAGGAAGATA >mm10_chr2:11767250-11767261(-)::chr2:11767249-11767261(-) GTCAGGAAGTGC >mm10_chr2:11776925-11776936(-)::chr2:11776924-11776936(-) AAATGGAAGTCG >mm10_chr2:11776972-11776983(-)::chr2:11776971-11776983(-) TCGAGGAACTAG >mm10_chr2:11776982-11776993(+)::chr2:11776981-11776993(+) GAAAGGAAGCCA >mm10_chr2:11778551-11778562(-)::chr2:11778550-11778562(-) AGGAGGAAGACC >mm10_chr2:12047926-12047937(+)::chr2:12047925-12047937(+) ctgaggaagtag >mm10_chr2:12053773-12053784(+)::chr2:12053772-12053784(+) AGGAGGAAGAGT >mm10_chr2:12096093-12096104(-)::chr2:12096092-12096104(-) GGAAGAAAGTGT >mm10_chr2:12096097-12096108(-)::chr2:12096096-12096108(-) ACAAGGAAGAAA >mm10_chr2:12153559-12153570(+)::chr2:12153558-12153570(+) ATAAGGAAGAAA >mm10_chr2:12153570-12153581(+)::chr2:12153569-12153581(+) ACAAGGAAGCCA >mm10_chr2:12153997-12154008(+)::chr2:12153996-12154008(+) TTCAGGAAGTAT >mm10_chr2:12160929-12160940(-)::chr2:12160928-12160940(-) AGAAGGAACATA >mm10_chr2:12176033-12176044(+)::chr2:12176032-12176044(+) GTAAGGAAGGAC >mm10_chr2:12176094-12176105(-)::chr2:12176093-12176105(-) TGAAGGAAGTTT >mm10_chr2:12208348-12208359(-)::chr2:12208347-12208359(-) AGCAGGAAGTTG >mm10_chr2:12208364-12208375(+)::chr2:12208363-12208375(+) AAGAGGAAATAT >mm10_chr2:12229861-12229872(+)::chr2:12229860-12229872(+) TAAAGGAACTGT >mm10_chr2:12256118-12256129(-)::chr2:12256117-12256129(-) TCAAGGAAGCGT >mm10_chr2:12306331-12306342(+)::chr2:12306330-12306342(+) ATCAGGAAGGTC >mm10_chr2:12317521-12317532(-)::chr2:12317520-12317532(-) ACCAGGAAGACA >mm10_chr2:12317538-12317549(+)::chr2:12317537-12317549(+) AAGAGGAAGTCT >mm10_chr2:12419462-12419473(-)::chr2:12419461-12419473(-) AGCCGGAAGTCA >mm10_chr2:12419469-12419480(-)::chr2:12419468-12419480(-) TAAAGGAAGCCG >mm10_chr2:12614654-12614665(-)::chr2:12614653-12614665(-) gacaggaagagc >mm10_chr2:12924150-12924161(+)::chr2:12924149-12924161(+) TGAAGGAAGCCC >mm10_chr2:12950313-12950324(-)::chr2:12950312-12950324(-) AGGAGGAAGAGG >mm10_chr2:12950325-12950336(-)::chr2:12950324-12950336(-) TCCAGGAAGAGG >mm10_chr2:13165728-13165739(+)::chr2:13165727-13165739(+) acacggaagagt >mm10_chr2:13165776-13165787(+)::chr2:13165775-13165787(+) gggaggaagagg >mm10_chr2:13204758-13204769(-)::chr2:13204757-13204769(-) ACAAGGAAGAGT >mm10_chr2:13224784-13224795(+)::chr2:13224783-13224795(+) ATGAGGAAGACA >mm10_chr2:13270959-13270970(-)::chr2:13270958-13270970(-) AGCCGGAAGTGG >mm10_chr2:13351012-13351023(-)::chr2:13351011-13351023(-) AGAAGGAAATGC >mm10_chr2:13378382-13378393(-)::chr2:13378381-13378393(-) GGAAGGAAATAG >mm10_chr2:13378386-13378397(-)::chr2:13378385-13378397(-) GGAAGGAAGGAA >mm10_chr2:13378390-13378401(-)::chr2:13378389-13378401(-) AGAAGGAAGGAA >mm10_chr2:13413787-13413798(+)::chr2:13413786-13413798(+) ACCAGGAAGGGA >mm10_chr2:13568808-13568819(+)::chr2:13568807-13568819(+) AGCAGGAAGTAA >mm10_chr2:13630862-13630873(+)::chr2:13630861-13630873(+) Agaaggaagaaa >mm10_chr2:13653318-13653329(-)::chr2:13653317-13653329(-) TGAAGGAAAATA >mm10_chr2:13718479-13718490(+)::chr2:13718478-13718490(+) ATGAGGAAGGAG >mm10_chr2:13718486-13718497(+)::chr2:13718485-13718497(+) AGGAGGATGTAT >mm10_chr2:13722525-13722536(-)::chr2:13722524-13722536(-) agaaggaaggga >mm10_chr2:13744492-13744503(-)::chr2:13744491-13744503(-) aggaggaagagg >mm10_chr2:13744507-13744518(-)::chr2:13744506-13744518(-) aaaaggaagagg >mm10_chr2:13744553-13744564(-)::chr2:13744552-13744564(-) tttaggaagtaa >mm10_chr2:13768106-13768117(+)::chr2:13768105-13768117(+) ACAAGGAAAAGG >mm10_chr2:13768127-13768138(-)::chr2:13768126-13768138(-) GGAAGGAAGAGG >mm10_chr2:13768131-13768142(-)::chr2:13768130-13768142(-) AGACGGAAGGAA >mm10_chr2:13782071-13782082(+)::chr2:13782070-13782082(+) AGGAGGAAGAGC >mm10_chr2:14108274-14108285(-)::chr2:14108273-14108285(-) ggaaggaaggGT >mm10_chr2:14108278-14108289(-)::chr2:14108277-14108289(-) ggaaggaaggaa >mm10_chr2:14108282-14108293(-)::chr2:14108281-14108293(-) ggaaggaaggaa >mm10_chr2:14108286-14108297(-)::chr2:14108285-14108297(-) ggaaggaaggaa >mm10_chr2:14108290-14108301(-)::chr2:14108289-14108301(-) ggaaggaaggaa >mm10_chr2:14108294-14108305(-)::chr2:14108293-14108305(-) ggaaggaaggaa >mm10_chr2:14108298-14108309(-)::chr2:14108297-14108309(-) ggaaggaaggaa >mm10_chr2:14108302-14108313(-)::chr2:14108301-14108313(-) ggaaggaaggaa >mm10_chr2:14108306-14108317(-)::chr2:14108305-14108317(-) ggaaggaaggaa >mm10_chr2:14108310-14108321(-)::chr2:14108309-14108321(-) ggaaggaaggaa >mm10_chr2:14108314-14108325(-)::chr2:14108313-14108325(-) ggaaggaaggaa >mm10_chr2:14108318-14108329(-)::chr2:14108317-14108329(-) ggaaggaaggaa >mm10_chr2:14110025-14110036(-)::chr2:14110024-14110036(-) accaggaagtct >mm10_chr2:14115418-14115429(+)::chr2:14115417-14115429(+) ACGAGCAAGTCG >mm10_chr2:14165851-14165862(-)::chr2:14165850-14165862(-) TCCAGGAAGTCC >mm10_chr2:14165877-14165888(+)::chr2:14165876-14165888(+) ACCAGGATGTAG >mm10_chr2:14175693-14175704(-)::chr2:14175692-14175704(-) gggaggaagaag >mm10_chr2:14175728-14175739(-)::chr2:14175727-14175739(-) agaaggaagaaa >mm10_chr2:14204579-14204590(-)::chr2:14204578-14204590(-) GAAAGGAAGGGA >mm10_chr2:14211885-14211896(-)::chr2:14211884-14211896(-) accaggaagaag >mm10_chr2:14266416-14266427(+)::chr2:14266415-14266427(+) ATAGGGAAGAGA >mm10_chr2:14824314-14824325(+)::chr2:14824313-14824325(+) GGCAGGAAGTGT >mm10_chr2:14851519-14851530(+)::chr2:14851518-14851530(+) ttaaggaaatgt >mm10_chr2:14851539-14851550(+)::chr2:14851538-14851550(+) tgaaggaagtag >mm10_chr2:15058378-15058389(-)::chr2:15058377-15058389(-) GTGAGGAAGAAA >mm10_chr2:16172367-16172378(-)::chr2:16172366-16172378(-) gtcaggaaggac >mm10_chr2:16212994-16213005(-)::chr2:16212993-16213005(-) AAGAGGAAGCAT >mm10_chr2:16219261-16219272(-)::chr2:16219260-16219272(-) gagAGgaagagg >mm10_chr2:16219275-16219286(-)::chr2:16219274-16219286(-) aggaggaagagg >mm10_chr2:16238152-16238163(-)::chr2:16238151-16238163(-) atgaggaagagt >mm10_chr2:16491575-16491586(-)::chr2:16491574-16491586(-) TCAAGGAAGGAA >mm10_chr2:16632442-16632453(+)::chr2:16632441-16632453(+) AACAGGAAGTTG >mm10_chr2:16722012-16722023(+)::chr2:16722011-16722023(+) GACAGGAAGTAC >mm10_chr2:17552822-17552833(+)::chr2:17552821-17552833(+) gacaggaagaga >mm10_chr2:17610602-17610613(-)::chr2:17610601-17610613(-) GGAAGGACGTGA >mm10_chr2:17610606-17610617(-)::chr2:17610605-17610617(-) ACAAGGAAGGAC >mm10_chr2:17650299-17650310(-)::chr2:17650298-17650310(-) GAAATGAAGTAT >mm10_chr2:17741649-17741660(-)::chr2:17741648-17741660(-) GGAAGGAAATCC >mm10_chr2:17741653-17741664(-)::chr2:17741652-17741664(-) CCGAGGAAGGAA >mm10_chr2:17769208-17769219(-)::chr2:17769207-17769219(-) AGAAGGCAGTGA >mm10_chr2:17769250-17769261(+)::chr2:17769249-17769261(+) ACAAGGAAAGAA >mm10_chr2:17788446-17788457(+)::chr2:17788445-17788457(+) TAGAGGAAGTTT >mm10_chr2:17788506-17788517(+)::chr2:17788505-17788517(+) ATAGGGAAGGGA >mm10_chr2:17788511-17788522(+)::chr2:17788510-17788522(+) GAAGGGAAGTCT >mm10_chr2:17853117-17853128(+)::chr2:17853116-17853128(+) TTAAGGAAGCCA >mm10_chr2:17853131-17853142(+)::chr2:17853130-17853142(+) CCACGGAAGTAA >mm10_chr2:17870768-17870779(+)::chr2:17870767-17870779(+) ATGAGGAAGTGA >mm10_chr2:17886145-17886156(-)::chr2:17886144-17886156(-) agcaggaactga >mm10_chr2:17886152-17886163(-)::chr2:17886151-17886163(-) agcaggaagcag >mm10_chr2:17886159-17886170(-)::chr2:17886158-17886170(-) agcaggaagcag >mm10_chr2:18013139-18013150(-)::chr2:18013138-18013150(-) caaaggaagaca >mm10_chr2:18031488-18031499(-)::chr2:18031487-18031499(-) GTAAGGAAATGC >mm10_chr2:18047082-18047093(-)::chr2:18047081-18047093(-) aggaggaagagg >mm10_chr2:18047100-18047111(-)::chr2:18047099-18047111(-) aggaggaaggag >mm10_chr2:18049706-18049717(-)::chr2:18049705-18049717(-) AGAAGGAAGTAT >mm10_chr2:18057457-18057468(-)::chr2:18057456-18057468(-) AGAAGGAAATAC >mm10_chr2:18063840-18063851(-)::chr2:18063839-18063851(-) AGGAGGAAGGAA >mm10_chr2:18087709-18087720(-)::chr2:18087708-18087720(-) acaaggaagtgg >mm10_chr2:18087832-18087843(-)::chr2:18087831-18087843(-) ataaggaaggaa >mm10_chr2:18087874-18087885(-)::chr2:18087873-18087885(-) acaaggaagtaa >mm10_chr2:18144391-18144402(-)::chr2:18144390-18144402(-) agcaggaaggag >mm10_chr2:18286076-18286087(-)::chr2:18286075-18286087(-) AAGAGGAAGTGG >mm10_chr2:18286094-18286105(-)::chr2:18286093-18286105(-) AGAAGGAAGTTC >mm10_chr2:18314677-18314688(-)::chr2:18314676-18314688(-) ACAAGGAACTCT >mm10_chr2:18386744-18386755(-)::chr2:18386743-18386755(-) TGACGGAAATTA >mm10_chr2:18386760-18386771(-)::chr2:18386759-18386771(-) ACAAGGAAGAGT >mm10_chr2:18392094-18392105(-)::chr2:18392093-18392105(-) AGAAGGAAGAGA >mm10_chr2:18392101-18392112(-)::chr2:18392100-18392112(-) TGAAGGAAGAAG >mm10_chr2:18392871-18392882(+)::chr2:18392870-18392882(+) GGCCGGAAGTAG >mm10_chr2:18464171-18464182(+)::chr2:18464170-18464182(+) gacaggaagaag >mm10_chr2:18605447-18605458(+)::chr2:18605446-18605458(+) AAGAGGAAGAGT >mm10_chr2:18605520-18605531(-)::chr2:18605519-18605531(-) AGACGGAAGAGG >mm10_chr2:18608980-18608991(+)::chr2:18608979-18608991(+) TGCAGGAAGGAG >mm10_chr2:18610757-18610768(-)::chr2:18610756-18610768(-) AGAAGGAAGCAC >mm10_chr2:18635285-18635296(+)::chr2:18635284-18635296(+) ccaaggaagcat >mm10_chr2:18725693-18725704(-)::chr2:18725692-18725704(-) TAAAGGAAGGGG >mm10_chr2:18728098-18728109(-)::chr2:18728097-18728109(-) accaggaagaac >mm10_chr2:18728467-18728478(-)::chr2:18728466-18728478(-) TGGAGGAAGTAG >mm10_chr2:18767142-18767153(-)::chr2:18767141-18767153(-) GCCGGGAAGTAG >mm10_chr2:18794602-18794613(-)::chr2:18794601-18794613(-) CTAAGGAAATAA >mm10_chr2:18816253-18816264(-)::chr2:18816252-18816264(-) AAGAGGAAGAGA >mm10_chr2:18821850-18821861(-)::chr2:18821849-18821861(-) TCAAGGAAGATG >mm10_chr2:18821899-18821910(-)::chr2:18821898-18821910(-) ATACGGAAGGAA >mm10_chr2:18835761-18835772(+)::chr2:18835760-18835772(+) AGTAGGAAGGGG >mm10_chr2:18998268-18998279(-)::chr2:18998267-18998279(-) ACGCGGAAGCCG >mm10_chr2:19099105-19099116(-)::chr2:19099104-19099116(-) caaaggaaggtc >mm10_chr2:19240731-19240742(-)::chr2:19240730-19240742(-) aggaggaagtgg >mm10_chr2:19240747-19240758(-)::chr2:19240746-19240758(-) aggaggaagggg >mm10_chr2:19242949-19242960(-)::chr2:19242948-19242960(-) AAAAGGAAGATG >mm10_chr2:19300507-19300518(+)::chr2:19300506-19300518(+) aagaggaagaag >mm10_chr2:19415028-19415039(-)::chr2:19415027-19415039(-) AAAAGGATGTGA >mm10_chr2:19657212-19657223(+)::chr2:19657211-19657223(+) CCAAGGAAGGCT >mm10_chr2:19701538-19701549(-)::chr2:19701537-19701549(-) GGCAGGAAGTCT >mm10_chr2:19701554-19701565(-)::chr2:19701553-19701565(-) ATAATGAAGTAT >mm10_chr2:19703360-19703371(-)::chr2:19703359-19703371(-) CCAAGGAAGGTG >mm10_chr2:19716123-19716134(-)::chr2:19716122-19716134(-) AACAGGAAATGC >mm10_chr2:19726837-19726848(+)::chr2:19726836-19726848(+) GAAAGGATGTGA >mm10_chr2:19928826-19928837(+)::chr2:19928825-19928837(+) TCCAGGAAGAAA >mm10_chr2:19928855-19928866(+)::chr2:19928854-19928866(+) AAAAGGAAGGAT >mm10_chr2:19928859-19928870(+)::chr2:19928858-19928870(+) GGAAGGATGTGA >mm10_chr2:19928873-19928884(+)::chr2:19928872-19928884(+) AGAAGGAACTTG >mm10_chr2:20053119-20053130(-)::chr2:20053118-20053130(-) GAAAGGAAGAAG >mm10_chr2:20070879-20070890(-)::chr2:20070878-20070890(-) AAGAGGAAGTCA >mm10_chr2:20073372-20073383(+)::chr2:20073371-20073383(+) AGCAGGAAGGGT >mm10_chr2:20264243-20264254(+)::chr2:20264242-20264254(+) TGCAGGAAGAAA >mm10_chr2:20264487-20264498(+)::chr2:20264486-20264498(+) AGAAGGAAATTG >mm10_chr2:20340147-20340158(-)::chr2:20340146-20340158(-) AGCAGGAAGTTT >mm10_chr2:20353053-20353064(-)::chr2:20353052-20353064(-) ACCAGGAAGCAA >mm10_chr2:20353118-20353129(+)::chr2:20353117-20353129(+) GGTAGGAAGTGT >mm10_chr2:20359017-20359028(+)::chr2:20359016-20359028(+) acaaggaagctc >mm10_chr2:20359033-20359044(+)::chr2:20359032-20359044(+) agcaggaaggta >mm10_chr2:20359061-20359072(+)::chr2:20359060-20359072(+) ttcaggaagtcc >mm10_chr2:20526442-20526453(+)::chr2:20526441-20526453(+) GGCAGGAAGAGG >mm10_chr2:20528288-20528299(-)::chr2:20528287-20528299(-) TGAAGGAAGGGA >mm10_chr2:20560777-20560788(+)::chr2:20560776-20560788(+) ATGAGGAAGGAG >mm10_chr2:20561307-20561318(+)::chr2:20561306-20561318(+) ACAAGGAAGCAG >mm10_chr2:20561350-20561361(+)::chr2:20561349-20561361(+) GTCAGGAAATAG >mm10_chr2:20561385-20561396(+)::chr2:20561384-20561396(+) GTAAGGAAGAAA >mm10_chr2:20563404-20563415(+)::chr2:20563403-20563415(+) ACCAGGAAATTA >mm10_chr2:20602873-20602884(-)::chr2:20602872-20602884(-) AACAGGAAGTGA >mm10_chr2:20649919-20649930(-)::chr2:20649918-20649930(-) GAAAGGACGTGG >mm10_chr2:20669589-20669600(-)::chr2:20669588-20669600(-) acaaggaagccc >mm10_chr2:20722403-20722414(+)::chr2:20722402-20722414(+) GGAAGGAACGTT >mm10_chr2:20748700-20748711(+)::chr2:20748699-20748711(+) GGAAGGAAGTGC >mm10_chr2:20798719-20798730(-)::chr2:20798718-20798730(-) tGGAGGAAGGGG >mm10_chr2:20798737-20798748(+)::chr2:20798736-20798748(+) aggaggaagaag >mm10_chr2:20810475-20810486(-)::chr2:20810474-20810486(-) TCGAGGAAGCAA >mm10_chr2:20811488-20811499(+)::chr2:20811487-20811499(+) GTAAGGAAGTCC >mm10_chr2:20811730-20811741(+)::chr2:20811729-20811741(+) AGAAGGAATGCG >mm10_chr2:20894236-20894247(+)::chr2:20894235-20894247(+) atgaggaagtga >mm10_chr2:20899482-20899493(+)::chr2:20899481-20899493(+) CCGAGGAAGTGC >mm10_chr2:20899522-20899533(+)::chr2:20899521-20899533(+) TGAAGGAAGTGA >mm10_chr2:20901573-20901584(+)::chr2:20901572-20901584(+) ataaggaaatcc >mm10_chr2:20925028-20925039(+)::chr2:20925027-20925039(+) AGAAAGAAGTGA >mm10_chr2:20925044-20925055(+)::chr2:20925043-20925055(+) AAGAGGAAGAGG >mm10_chr2:20962151-20962162(+)::chr2:20962150-20962162(+) aacaggaagtga >mm10_chr2:20962164-20962175(+)::chr2:20962163-20962175(+) ggcaggaaggtg >mm10_chr2:20969351-20969362(+)::chr2:20969350-20969362(+) ATAAGGGAGTGT >mm10_chr2:20969385-20969396(-)::chr2:20969384-20969396(-) CGCAGGAAGCTA >mm10_chr2:20976538-20976549(-)::chr2:20976537-20976549(-) accaggaaggga >mm10_chr2:20976715-20976726(-)::chr2:20976714-20976726(-) ttcaggaagttc >mm10_chr2:20976759-20976770(-)::chr2:20976758-20976770(-) gcagggaagtac >mm10_chr2:20986139-20986150(-)::chr2:20986138-20986150(-) agaaggaagaat >mm10_chr2:20987363-20987374(+)::chr2:20987362-20987374(+) aaaaggaagagg >mm10_chr2:20987369-20987380(+)::chr2:20987368-20987380(+) aagaggaaggga >mm10_chr2:20987378-20987389(+)::chr2:20987377-20987389(+) ggaaggaagagg >mm10_chr2:21022555-21022566(-)::chr2:21022554-21022566(-) AAGAGGAAGTAT >mm10_chr2:21022561-21022572(-)::chr2:21022560-21022572(-) ACCAGGAAGAGG >mm10_chr2:21022574-21022585(+)::chr2:21022573-21022585(+) TTCAGGAAGTCC >mm10_chr2:21030857-21030868(+)::chr2:21030856-21030868(+) AAGAGGAAGCAA >mm10_chr2:21037774-21037785(+)::chr2:21037773-21037785(+) tccaggaagtca >mm10_chr2:21037810-21037821(-)::chr2:21037809-21037821(-) agaaggaagcaa >mm10_chr2:21065227-21065238(-)::chr2:21065226-21065238(-) GGAAGGAAGCCC >mm10_chr2:21065231-21065242(-)::chr2:21065230-21065242(-) AAGAGGAAGGAA >mm10_chr2:21091850-21091861(-)::chr2:21091849-21091861(-) ACCAGGAAGGGA >mm10_chr2:21122536-21122547(+)::chr2:21122535-21122547(+) accaggaagccc >mm10_chr2:21128512-21128523(-)::chr2:21128511-21128523(-) taaaggaaggaa >mm10_chr2:21183126-21183137(+)::chr2:21183125-21183137(+) AACAGGAAGCTG >mm10_chr2:21205794-21205805(-)::chr2:21205793-21205805(-) AAAGGGAAGCGC >mm10_chr2:21235493-21235504(-)::chr2:21235492-21235504(-) TTCAGGAAGTAA >mm10_chr2:21263390-21263401(-)::chr2:21263389-21263401(-) CTGAGGAAGTAG >mm10_chr2:21270135-21270146(-)::chr2:21270134-21270146(-) GAGAGGAAGTAG >mm10_chr2:21281151-21281162(-)::chr2:21281150-21281162(-) GGAAGGAACTGT >mm10_chr2:21281155-21281166(-)::chr2:21281154-21281166(-) GACAGGAAGGAA >mm10_chr2:21376435-21376446(+)::chr2:21376434-21376446(+) CAAAGGAAATGA >mm10_chr2:21405885-21405896(+)::chr2:21405884-21405896(+) AAAGGGAAGTAA >mm10_chr2:21405896-21405907(-)::chr2:21405895-21405907(-) ATAAGGAAGTTT >mm10_chr2:21426635-21426646(-)::chr2:21426634-21426646(-) AGCAGGAAGCCC >mm10_chr2:21638165-21638176(-)::chr2:21638164-21638176(-) AGCCGGAAGTTC >mm10_chr2:21670111-21670122(+)::chr2:21670110-21670122(+) aaaaggaaattg >mm10_chr2:21676791-21676802(+)::chr2:21676790-21676802(+) TTAAGGAAGTTT >mm10_chr2:21676801-21676812(-)::chr2:21676800-21676812(-) AGAGGGAAGAAA >mm10_chr2:21676825-21676836(+)::chr2:21676824-21676836(+) TTAAGGAAGTTT >mm10_chr2:21676842-21676853(+)::chr2:21676841-21676853(+) TCAAGGAAGTAG >mm10_chr2:21704839-21704850(+)::chr2:21704838-21704850(+) attaggaagtaa >mm10_chr2:21854005-21854016(+)::chr2:21854004-21854016(+) AGCAGGAAGGAA >mm10_chr2:21854009-21854020(+)::chr2:21854008-21854020(+) GGAAGGAAAATA >mm10_chr2:21858091-21858102(+)::chr2:21858090-21858102(+) accaggaagttt >mm10_chr2:21918356-21918367(-)::chr2:21918355-21918367(-) ACAGGGAAGTGG >mm10_chr2:21918387-21918398(+)::chr2:21918386-21918398(+) TGCAGGAAGCAT >mm10_chr2:22773622-22773633(+)::chr2:22773621-22773633(+) TCCAGGAAGTCT >mm10_chr2:22773648-22773659(+)::chr2:22773647-22773659(+) TTGAGGAAGTGC >mm10_chr2:22877278-22877289(+)::chr2:22877277-22877289(+) AGAAGGAAGTAC >mm10_chr2:22877332-22877343(+)::chr2:22877331-22877343(+) ATCAGGAAATGA >mm10_chr2:22927984-22927995(-)::chr2:22927983-22927995(-) AAAAGGAAGAAA >mm10_chr2:22927996-22928007(-)::chr2:22927995-22928007(-) AAAAGGAAGGAA >mm10_chr2:22928473-22928484(+)::chr2:22928472-22928484(+) AGCAGGAAGTAG >mm10_chr2:22963171-22963182(+)::chr2:22963170-22963182(+) TAGAGGAAGTAC >mm10_chr2:23007606-23007617(-)::chr2:23007605-23007617(-) tGGAGGAAGGAG >mm10_chr2:23007615-23007626(+)::chr2:23007614-23007626(+) CCaaggaaggaa >mm10_chr2:23007619-23007630(+)::chr2:23007618-23007630(+) ggaaggaaggaa >mm10_chr2:23007623-23007634(+)::chr2:23007622-23007634(+) ggaaggaaggaa >mm10_chr2:23007627-23007638(+)::chr2:23007626-23007638(+) ggaaggaaggaa >mm10_chr2:23007631-23007642(+)::chr2:23007630-23007642(+) ggaaggaaggaa >mm10_chr2:23007635-23007646(+)::chr2:23007634-23007646(+) ggaaggaaggaa >mm10_chr2:23007639-23007650(+)::chr2:23007638-23007650(+) ggaaggaaggaa >mm10_chr2:23007643-23007654(+)::chr2:23007642-23007654(+) ggaaggaaggaa >mm10_chr2:23007647-23007658(+)::chr2:23007646-23007658(+) ggaaggaaggaa >mm10_chr2:23007651-23007662(+)::chr2:23007650-23007662(+) ggaaggaaggaG >mm10_chr2:23040082-23040093(-)::chr2:23040081-23040093(-) GAGAGGAAGCGA >mm10_chr2:23068121-23068132(+)::chr2:23068120-23068132(+) ACAAGGAAAAAC >mm10_chr2:23069341-23069352(+)::chr2:23069340-23069352(+) AGGAGGAAGGAA >mm10_chr2:23069345-23069356(+)::chr2:23069344-23069356(+) GGAAGGAACCGG >mm10_chr2:23409783-23409794(-)::chr2:23409782-23409794(-) tcaaggaaattt >mm10_chr2:23571646-23571657(+)::chr2:23571645-23571657(+) ATGAGGAAGGAG >mm10_chr2:23572130-23572141(-)::chr2:23572129-23572141(-) CGCCGGAAGTTC >mm10_chr2:23572155-23572166(-)::chr2:23572154-23572166(-) AGCAGGAAATTG >mm10_chr2:23780204-23780215(+)::chr2:23780203-23780215(+) GTAAGGGAGTAT >mm10_chr2:23790617-23790628(-)::chr2:23790616-23790628(-) cacaggaagtag >mm10_chr2:23859805-23859816(+)::chr2:23859804-23859816(+) agcaggaaataa >mm10_chr2:24048863-24048874(-)::chr2:24048862-24048874(-) CAGAGGAAGTTA >mm10_chr2:24048878-24048889(-)::chr2:24048877-24048889(-) AGAAGGAACTCG >mm10_chr2:24087391-24087402(-)::chr2:24087390-24087402(-) AGACGGAAGCAG >mm10_chr2:24091064-24091075(-)::chr2:24091063-24091075(-) ATGAGGAAGAAG >mm10_chr2:24187696-24187707(+)::chr2:24187695-24187707(+) AACAGGAAGAGA >mm10_chr2:24215245-24215256(-)::chr2:24215244-24215256(-) ATCAGGAAATAG >mm10_chr2:24276956-24276967(+)::chr2:24276955-24276967(+) tgaaggaaggaa >mm10_chr2:24277013-24277024(-)::chr2:24277012-24277024(-) TTCAGGAAGTAG >mm10_chr2:24335494-24335505(+)::chr2:24335493-24335505(+) AGCAGGAAGTTA >mm10_chr2:24342944-24342955(+)::chr2:24342943-24342955(+) ACGAGGAAATTG >mm10_chr2:24368096-24368107(+)::chr2:24368095-24368107(+) CCCAGGAAGGCG >mm10_chr2:24384507-24384518(+)::chr2:24384506-24384518(+) ATAAGGAATTGC >mm10_chr2:24589112-24589123(-)::chr2:24589111-24589123(-) ATAAGGAAAATG >mm10_chr2:24605295-24605306(-)::chr2:24605294-24605306(-) GGAAGGAAATGG >mm10_chr2:24605299-24605310(-)::chr2:24605298-24605310(-) GGCAGGAAGGAA >mm10_chr2:24605338-24605349(-)::chr2:24605337-24605349(-) TAGAGGAAGAAG >mm10_chr2:24635577-24635588(-)::chr2:24635576-24635588(-) GAAGGGAAGTGC >mm10_chr2:24645038-24645049(+)::chr2:24645037-24645049(+) tcaaggaagtat >mm10_chr2:24645050-24645061(+)::chr2:24645049-24645061(+) atcaggaaatgc >mm10_chr2:24767522-24767533(+)::chr2:24767521-24767533(+) GAAAGGAAATTG >mm10_chr2:24809959-24809970(+)::chr2:24809958-24809970(+) AAAAGGAAGATA >mm10_chr2:24809975-24809986(+)::chr2:24809974-24809986(+) aggaggaagagg >mm10_chr2:24809981-24809992(+)::chr2:24809980-24809992(+) aagaggaagaag >mm10_chr2:24864234-24864245(+)::chr2:24864233-24864245(+) TAAAGGAAGCAT >mm10_chr2:24864252-24864263(+)::chr2:24864251-24864263(+) ACCAGGAAGCAT >mm10_chr2:24864270-24864281(+)::chr2:24864269-24864281(+) ACCAGGAAGCAT >mm10_chr2:24864288-24864299(+)::chr2:24864287-24864299(+) ACCAGGAAGGAC >mm10_chr2:24869687-24869698(+)::chr2:24869686-24869698(+) aggaggaagtct >mm10_chr2:24880786-24880797(+)::chr2:24880785-24880797(+) TCAAGGAAGTTC >mm10_chr2:24884278-24884289(+)::chr2:24884277-24884289(+) CTGAGGAAGTGC >mm10_chr2:24884341-24884352(+)::chr2:24884340-24884352(+) ACCAGGAAGCGA >mm10_chr2:24902835-24902846(-)::chr2:24902834-24902846(-) acaaggaaatgt >mm10_chr2:24904878-24904889(+)::chr2:24904877-24904889(+) gagaggaaggga >mm10_chr2:24904950-24904961(-)::chr2:24904949-24904961(-) ATGGGGAAGTAG >mm10_chr2:24906037-24906048(+)::chr2:24906036-24906048(+) ATCAGGAAGTCT >mm10_chr2:24906342-24906353(+)::chr2:24906341-24906353(+) gagaggaagaga >mm10_chr2:24906362-24906373(+)::chr2:24906361-24906373(+) aggaggaagaag >mm10_chr2:24906399-24906410(+)::chr2:24906398-24906410(+) aggaggaagagC >mm10_chr2:24927124-24927135(+)::chr2:24927123-24927135(+) ACCAGGAAGCAG >mm10_chr2:24927131-24927142(+)::chr2:24927130-24927142(+) AGCAGGAACTGA >mm10_chr2:24927143-24927154(+)::chr2:24927142-24927154(+) AAAGGGAAGTTG >mm10_chr2:24928312-24928323(-)::chr2:24928311-24928323(-) GGAAGGAAATCA >mm10_chr2:24929252-24929263(-)::chr2:24929251-24929263(-) TGCAGGAAGTCT >mm10_chr2:24953979-24953990(+)::chr2:24953978-24953990(+) AGCAGGAAATGA >mm10_chr2:24962428-24962439(+)::chr2:24962427-24962439(+) TGAGGGAAGTGG >mm10_chr2:24976078-24976089(+)::chr2:24976077-24976089(+) AGACGGAAGCAC >mm10_chr2:24976451-24976462(-)::chr2:24976450-24976462(-) ACAGGGAAATAC >mm10_chr2:24977301-24977312(+)::chr2:24977300-24977312(+) ATGAGGAAGTAG >mm10_chr2:25066999-25067010(-)::chr2:25066998-25067010(-) AGAAGGAAGAGA >mm10_chr2:25077946-25077957(-)::chr2:25077945-25077957(-) accaggaagtca >mm10_chr2:25106337-25106348(-)::chr2:25106336-25106348(-) GCAAGGAAACGA >mm10_chr2:25106372-25106383(-)::chr2:25106371-25106383(-) TGCAGGAAGTGC >mm10_chr2:25106540-25106551(-)::chr2:25106539-25106551(-) AGAAGGAAAGAG >mm10_chr2:25133718-25133729(-)::chr2:25133717-25133729(-) AAATGGaagtgt >mm10_chr2:25176788-25176799(-)::chr2:25176787-25176799(-) CGCAGGAAGCAA >mm10_chr2:25177203-25177214(-)::chr2:25177202-25177214(-) AGGAGGAAGCTA >mm10_chr2:25196033-25196044(+)::chr2:25196032-25196044(+) ACCAGGAAGCCC >mm10_chr2:25196658-25196669(+)::chr2:25196657-25196669(+) AGCAGGAAATGC >mm10_chr2:25237510-25237521(+)::chr2:25237509-25237521(+) TCAGGGAAGTGG >mm10_chr2:25255407-25255418(+)::chr2:25255406-25255418(+) AACAGGAAATGA >mm10_chr2:25265158-25265169(+)::chr2:25265157-25265169(+) ACAAGGAAATCA >mm10_chr2:25265178-25265189(-)::chr2:25265177-25265189(-) ATCAGGAAGAAT >mm10_chr2:25286366-25286377(+)::chr2:25286365-25286377(+) GTCAGGAAATAC >mm10_chr2:25287263-25287274(-)::chr2:25287262-25287274(-) AAGAGGAAATGT >mm10_chr2:25287269-25287280(-)::chr2:25287268-25287280(-) GGCAGGAAGAGG >mm10_chr2:25332644-25332655(+)::chr2:25332643-25332655(+) GCCAGGAAATAC >mm10_chr2:25366373-25366384(+)::chr2:25366372-25366384(+) ACCAGGAAGTCT >mm10_chr2:25374196-25374207(+)::chr2:25374195-25374207(+) ATAAGGATGTTG >mm10_chr2:25395704-25395715(+)::chr2:25395703-25395715(+) AGAAGGAAGAAC >mm10_chr2:25403141-25403152(-)::chr2:25403140-25403152(-) AGGAGGAAGAGG >mm10_chr2:25403162-25403173(-)::chr2:25403161-25403173(-) GCCGGGAAGCGA >mm10_chr2:25417657-25417668(-)::chr2:25417656-25417668(-) CCAAGGAAGTCC >mm10_chr2:25451450-25451461(-)::chr2:25451449-25451461(-) ggaaggaagcca >mm10_chr2:25461039-25461050(+)::chr2:25461038-25461050(+) GGACGGAAGGAC >mm10_chr2:25495561-25495572(-)::chr2:25495560-25495572(-) AAGAGGAAGATG >mm10_chr2:25498063-25498074(-)::chr2:25498062-25498074(-) AACAGGAAGCAG >mm10_chr2:25528351-25528362(+)::chr2:25528350-25528362(+) ACAGGGAAGTAA >mm10_chr2:25547026-25547037(+)::chr2:25547025-25547037(+) AGACGGAAGCAG >mm10_chr2:25608615-25608626(-)::chr2:25608614-25608626(-) TAGAGGAAGGAG >mm10_chr2:25621412-25621423(-)::chr2:25621411-25621423(-) AAGAGGAAGTTT >mm10_chr2:25628106-25628117(-)::chr2:25628105-25628117(-) agaaggaggtgg >mm10_chr2:25628115-25628126(-)::chr2:25628114-25628126(-) agaaggaagaga >mm10_chr2:25634621-25634632(+)::chr2:25634620-25634632(+) AGGAGGAAGTTT >mm10_chr2:25641319-25641330(+)::chr2:25641318-25641330(+) ACAAGGAAATGA >mm10_chr2:25663458-25663469(-)::chr2:25663457-25663469(-) AACAGGAAGATG >mm10_chr2:25663996-25664007(+)::chr2:25663995-25664007(+) AACAGGAAGATC >mm10_chr2:25694620-25694631(+)::chr2:25694619-25694631(+) ACAAGGAAGTCT >mm10_chr2:25696166-25696177(-)::chr2:25696165-25696177(-) ACAGGGAAGTGA >mm10_chr2:25718060-25718071(+)::chr2:25718059-25718071(+) TACAGGAAGCAg >mm10_chr2:25920092-25920103(-)::chr2:25920091-25920103(-) CCAGGGAAGTAC >mm10_chr2:25920114-25920125(-)::chr2:25920113-25920125(-) TGGAGGAAGAGC >mm10_chr2:25931752-25931763(+)::chr2:25931751-25931763(+) GTAAGGAAGCTG >mm10_chr2:25931769-25931780(-)::chr2:25931768-25931780(-) CCCAGGAAGTTA >mm10_chr2:26083097-26083108(-)::chr2:26083096-26083108(-) AGCAGGAAGTGT >mm10_chr2:26091126-26091137(+)::chr2:26091125-26091137(+) ACAAGGAAGGTG >mm10_chr2:26122738-26122749(+)::chr2:26122737-26122749(+) GGCAGGAAGTGC >mm10_chr2:26234951-26234962(+)::chr2:26234950-26234962(+) GGCAGGAAGCCA >mm10_chr2:26234962-26234973(+)::chr2:26234961-26234973(+) AGCAGGAAGCAT >mm10_chr2:26252830-26252841(+)::chr2:26252829-26252841(+) GACAGGAAGTTC >mm10_chr2:26252849-26252860(-)::chr2:26252848-26252860(-) ACCAGGAAGTAT >mm10_chr2:26252903-26252914(+)::chr2:26252902-26252914(+) ACCAGGAAGTTC >mm10_chr2:26254223-26254234(+)::chr2:26254222-26254234(+) GCCAGGAAGATC >mm10_chr2:26254242-26254253(+)::chr2:26254241-26254253(+) GGAAGGAAGCTC >mm10_chr2:26311876-26311887(+)::chr2:26311875-26311887(+) GGCAGGAAGTAG >mm10_chr2:26311911-26311922(+)::chr2:26311910-26311922(+) AGGAGGAAGTGT >mm10_chr2:26311927-26311938(-)::chr2:26311926-26311938(-) AGCAGGAAGTGG >mm10_chr2:26360087-26360098(-)::chr2:26360086-26360098(-) AGGAGGAAGTCA >mm10_chr2:26361013-26361024(+)::chr2:26361012-26361024(+) AGAGGGAAGTAG >mm10_chr2:26389332-26389343(+)::chr2:26389331-26389343(+) AAGCGGAAGTGA >mm10_chr2:26447570-26447581(+)::chr2:26447569-26447581(+) TACAGGAAGAGG >mm10_chr2:26475231-26475242(+)::chr2:26475230-26475242(+) AGCAGGAAGCTG >mm10_chr2:26493052-26493063(+)::chr2:26493051-26493063(+) CGTAGGAAGAGG >mm10_chr2:26493058-26493069(+)::chr2:26493057-26493069(+) AAGAGGAAGTGT >mm10_chr2:26493093-26493104(+)::chr2:26493092-26493104(+) TGGAGGAAGGAA >mm10_chr2:26493097-26493108(+)::chr2:26493096-26493108(+) GGAAGGAAGTAT >mm10_chr2:26500220-26500231(+)::chr2:26500219-26500231(+) AGGAGGAAGGGC >mm10_chr2:26525911-26525922(-)::chr2:26525910-26525922(-) ACCAGGAAATGT >mm10_chr2:26537823-26537834(-)::chr2:26537822-26537834(-) gaaaggaaggaa >mm10_chr2:26537836-26537847(-)::chr2:26537835-26537847(-) aggaggaagcta >mm10_chr2:26537843-26537854(-)::chr2:26537842-26537854(-) gtaaggaaggag >mm10_chr2:26537851-26537862(-)::chr2:26537850-26537862(-) gggaggaagtaa >mm10_chr2:26552355-26552366(+)::chr2:26552354-26552366(+) ACAAGGAAGGTA >mm10_chr2:26578601-26578612(-)::chr2:26578600-26578612(-) GAGAGGAAGGGG >mm10_chr2:26599486-26599497(-)::chr2:26599485-26599497(-) ACGAggaagata >mm10_chr2:26599509-26599520(+)::chr2:26599508-26599520(+) ATAAGGAAGTCA >mm10_chr2:26603623-26603634(+)::chr2:26603622-26603634(+) AAGAGGAAGTTA >mm10_chr2:26607541-26607552(-)::chr2:26607540-26607552(-) TCCAGGAAGTAC >mm10_chr2:26607600-26607611(+)::chr2:26607599-26607611(+) ggcaggaagggc >mm10_chr2:26612205-26612216(-)::chr2:26612204-26612216(-) ACAAGGAAGGAG >mm10_chr2:26621584-26621595(+)::chr2:26621583-26621595(+) GCAAGGAAACGC >mm10_chr2:26623187-26623198(+)::chr2:26623186-26623198(+) CACAGGAAGTTG >mm10_chr2:26640261-26640272(-)::chr2:26640260-26640272(-) TAAAGGACGTGG >mm10_chr2:26650726-26650737(-)::chr2:26650725-26650737(-) AACAGGAAGTGG >mm10_chr2:26744535-26744546(-)::chr2:26744534-26744546(-) AAAAGGAAGTAG >mm10_chr2:26744556-26744567(-)::chr2:26744555-26744567(-) AGGAGGAAGCAC >mm10_chr2:26816480-26816491(+)::chr2:26816479-26816491(+) gagaggaagtca >mm10_chr2:26916535-26916546(+)::chr2:26916534-26916546(+) GCCAGGAAGGAA >mm10_chr2:26950490-26950501(+)::chr2:26950489-26950501(+) AAAAGGTAGTGC >mm10_chr2:26951839-26951850(+)::chr2:26951838-26951850(+) AACAGGAAGAAA >mm10_chr2:26955202-26955213(-)::chr2:26955201-26955213(-) AGGAGGAAGGAG >mm10_chr2:27246872-27246883(-)::chr2:27246871-27246883(-) GCAAGGAAGGAG >mm10_chr2:27319793-27319804(-)::chr2:27319792-27319804(-) aggaggaagaag >mm10_chr2:27319835-27319846(-)::chr2:27319834-27319846(-) aggaggaagagg >mm10_chr2:27329693-27329704(-)::chr2:27329692-27329704(-) ATAAGGAAAAGG >mm10_chr2:27381503-27381514(-)::chr2:27381502-27381514(-) AACAGGAAGTGG >mm10_chr2:27382030-27382041(+)::chr2:27382029-27382041(+) AGCAGGAAGAAA >mm10_chr2:27409230-27409241(+)::chr2:27409229-27409241(+) TCAAGGAAGTCT >mm10_chr2:27409261-27409272(-)::chr2:27409260-27409272(-) GTCAGGAAATAA >mm10_chr2:27418842-27418853(+)::chr2:27418841-27418853(+) ACCAGGAAGTAG >mm10_chr2:27418849-27418860(+)::chr2:27418848-27418860(+) AGTAGGAAGCAA >mm10_chr2:27460862-27460873(+)::chr2:27460861-27460873(+) ACCGGGAAGTGC >mm10_chr2:27474674-27474685(-)::chr2:27474673-27474685(-) ACGAGGAAGCCA >mm10_chr2:27486874-27486885(+)::chr2:27486873-27486885(+) TGAAGGAAGTAT >mm10_chr2:27486926-27486937(-)::chr2:27486925-27486937(-) GACAGGAAGAGC >mm10_chr2:27593526-27593537(-)::chr2:27593525-27593537(-) ACCAGGAAGTGT >mm10_chr2:27602694-27602705(+)::chr2:27602693-27602705(+) ACTAGGAAGTGA >mm10_chr2:27606014-27606025(-)::chr2:27606013-27606025(-) AGCAGGAAGTGT >mm10_chr2:27606073-27606084(+)::chr2:27606072-27606084(+) AACAGGAAGAAC >mm10_chr2:27620657-27620668(-)::chr2:27620656-27620668(-) aggaggaagagg >mm10_chr2:27620673-27620684(-)::chr2:27620672-27620684(-) aggaggaagaga >mm10_chr2:27620685-27620696(-)::chr2:27620684-27620696(-) aagaggaagaag >mm10_chr2:27626104-27626115(-)::chr2:27626103-27626115(-) AAACGGAAGTGC >mm10_chr2:27632603-27632614(-)::chr2:27632602-27632614(-) aggaggaaattg >mm10_chr2:27632621-27632632(-)::chr2:27632620-27632632(-) ggaaggaagggg >mm10_chr2:27633302-27633313(+)::chr2:27633301-27633313(+) AGCAGGAAGAGG >mm10_chr2:27667977-27667988(+)::chr2:27667976-27667988(+) ATGAGGAAGACA >mm10_chr2:27671697-27671708(+)::chr2:27671696-27671708(+) AGGAGGAAGCTG >mm10_chr2:27688175-27688186(-)::chr2:27688174-27688186(-) GGAAGGAAGAAC >mm10_chr2:27690887-27690898(+)::chr2:27690886-27690898(+) GGTAGGAAGGAA >mm10_chr2:27690891-27690902(+)::chr2:27690890-27690902(+) GGAAGGAAGGCT >mm10_chr2:27690918-27690929(+)::chr2:27690917-27690929(+) ATGAGGAAATTA >mm10_chr2:27705570-27705581(-)::chr2:27705569-27705581(-) AAAAGGAAGAAG >mm10_chr2:27705618-27705629(-)::chr2:27705617-27705629(-) agagggaaggga >mm10_chr2:27705638-27705649(-)::chr2:27705637-27705649(-) ggaaggaaggag >mm10_chr2:27715460-27715471(+)::chr2:27715459-27715471(+) GGAGGGAAGTCT >mm10_chr2:27716610-27716621(-)::chr2:27716609-27716621(-) CTAAGGAAGTGG >mm10_chr2:27716639-27716650(+)::chr2:27716638-27716650(+) aagaggaaataa >mm10_chr2:27718399-27718410(-)::chr2:27718398-27718410(-) CAAAGGAAGGCT >mm10_chr2:27733742-27733753(-)::chr2:27733741-27733753(-) ACAAGGAAGCTG >mm10_chr2:27734315-27734326(-)::chr2:27734314-27734326(-) ATCAGGAAGTGA >mm10_chr2:27735234-27735245(+)::chr2:27735233-27735245(+) ACCAGGAAGAGT >mm10_chr2:27735257-27735268(-)::chr2:27735256-27735268(-) ACCAGGAAGGAG >mm10_chr2:27745228-27745239(-)::chr2:27745227-27745239(-) GGAAGGAAATGC >mm10_chr2:27745232-27745243(-)::chr2:27745231-27745243(-) GTCAGGAAGGAA >mm10_chr2:27745249-27745260(-)::chr2:27745248-27745260(-) TGCAGGAAGCTA >mm10_chr2:27758541-27758552(-)::chr2:27758540-27758552(-) GGCAGGAAGCCA >mm10_chr2:27761537-27761548(-)::chr2:27761536-27761548(-) AGCAGGAAGCTC >mm10_chr2:27763646-27763657(-)::chr2:27763645-27763657(-) CTGAGGAAGTGG >mm10_chr2:27892808-27892819(+)::chr2:27892807-27892819(+) gacaggaaggaa >mm10_chr2:27913032-27913043(-)::chr2:27913031-27913043(-) TGGAGGAAGATG >mm10_chr2:27913041-27913052(-)::chr2:27913040-27913052(-) TGGAGGAAGTGG >mm10_chr2:27922306-27922317(-)::chr2:27922305-27922317(-) ATGAGGAAGACT >mm10_chr2:27939611-27939622(+)::chr2:27939610-27939622(+) GATAGGAAGTGT >mm10_chr2:28037904-28037915(-)::chr2:28037903-28037915(-) AAAAGGAAGCAA >mm10_chr2:28037911-28037922(-)::chr2:28037910-28037922(-) ACAAGGAAAAAG >mm10_chr2:28037922-28037933(-)::chr2:28037921-28037933(-) TGCAGGAAGGAA >mm10_chr2:28058429-28058440(-)::chr2:28058428-28058440(-) AGCAGGAAGTGA >mm10_chr2:28067413-28067424(+)::chr2:28067412-28067424(+) acaaggaaaaaa >mm10_chr2:28354563-28354574(+)::chr2:28354562-28354574(+) accaggaagtat >mm10_chr2:28355127-28355138(+)::chr2:28355126-28355138(+) acaaggaaAATG >mm10_chr2:28370479-28370490(-)::chr2:28370478-28370490(-) ATTAGGAAGTAC >mm10_chr2:28370537-28370548(+)::chr2:28370536-28370548(+) GCAAGGAAGGAA >mm10_chr2:28431086-28431097(+)::chr2:28431085-28431097(+) ACAAGGAAACCG >mm10_chr2:28433525-28433536(+)::chr2:28433524-28433536(+) GGAAGGAAGAGA >mm10_chr2:28433549-28433560(+)::chr2:28433548-28433560(+) gagaggaagtgg >mm10_chr2:28468058-28468069(+)::chr2:28468057-28468069(+) GGCAGGAAGAGT >mm10_chr2:28495296-28495307(-)::chr2:28495295-28495307(-) tagaggaagtta >mm10_chr2:28495316-28495327(+)::chr2:28495315-28495327(+) aggaggaaggag >mm10_chr2:28521543-28521554(-)::chr2:28521542-28521554(-) ACAAGGAAGTTA >mm10_chr2:28531347-28531358(+)::chr2:28531346-28531358(+) aaaaGGAAGAGG >mm10_chr2:28531353-28531364(+)::chr2:28531352-28531364(+) AAGAGGAAGAGG >mm10_chr2:28535953-28535964(-)::chr2:28535952-28535964(-) CGGAGGAAGAAA >mm10_chr2:28540016-28540027(+)::chr2:28540015-28540027(+) GCAAGGAAGTGA >mm10_chr2:28546207-28546218(-)::chr2:28546206-28546218(-) AGCAGGAAGCTG >mm10_chr2:28546262-28546273(-)::chr2:28546261-28546273(-) TCAGGGAAGTAG >mm10_chr2:28560194-28560205(-)::chr2:28560193-28560205(-) AGGAGGAAATGT >mm10_chr2:28561445-28561456(-)::chr2:28561444-28561456(-) GGGCGGAAGTAG >mm10_chr2:28564605-28564616(+)::chr2:28564604-28564616(+) AGGAGGAAGCTG >mm10_chr2:28566690-28566701(-)::chr2:28566689-28566701(-) GGCAGGAAGTAG >mm10_chr2:28566726-28566737(+)::chr2:28566725-28566737(+) AGCAGGAAGCAG >mm10_chr2:28575497-28575508(+)::chr2:28575496-28575508(+) ATAAGGAAGAAA >mm10_chr2:28622862-28622873(+)::chr2:28622861-28622873(+) TCCAGGAAGTTT >mm10_chr2:28630377-28630388(-)::chr2:28630376-28630388(-) AGGCGGAAGTCC >mm10_chr2:28818050-28818061(+)::chr2:28818049-28818061(+) ACCAGGAAGTCC >mm10_chr2:28841856-28841867(+)::chr2:28841855-28841867(+) TTGAGGAAGTAG >mm10_chr2:28905294-28905305(-)::chr2:28905293-28905305(-) AGAAGGAAGTGG >mm10_chr2:28905301-28905312(-)::chr2:28905300-28905312(-) TTAAGGAAGAAG >mm10_chr2:28905341-28905352(-)::chr2:28905340-28905352(-) ATAGGGAAGGAG >mm10_chr2:29018179-29018190(-)::chr2:29018178-29018190(-) accaggaaatag >mm10_chr2:29052283-29052294(+)::chr2:29052282-29052294(+) AAgaggaagaag >mm10_chr2:29052310-29052321(+)::chr2:29052309-29052321(+) aggaggaagagg >mm10_chr2:29052322-29052333(+)::chr2:29052321-29052333(+) gggaggaagggg >mm10_chr2:29060231-29060242(+)::chr2:29060230-29060242(+) GGCCGGAAGTCA >mm10_chr2:29060264-29060275(-)::chr2:29060263-29060275(-) ACCCGGAAGTGT >mm10_chr2:29097640-29097651(+)::chr2:29097639-29097651(+) agcaggaagatc >mm10_chr2:29097698-29097709(+)::chr2:29097697-29097709(+) acaaggaagatg >mm10_chr2:29247532-29247543(-)::chr2:29247531-29247543(-) TGCAGGAAGTCA >mm10_chr2:29247567-29247578(+)::chr2:29247566-29247578(+) TCAAGGAACTAG >mm10_chr2:29402971-29402982(+)::chr2:29402970-29402982(+) agaaggaagata >mm10_chr2:29443467-29443478(-)::chr2:29443466-29443478(-) acaaggaagctg >mm10_chr2:29443483-29443494(+)::chr2:29443482-29443494(+) agaaggaaagga >mm10_chr2:29585074-29585085(-)::chr2:29585073-29585085(-) GGCAGGAAGTCA >mm10_chr2:29640608-29640619(+)::chr2:29640607-29640619(+) AAGAGGAAATGA >mm10_chr2:29653225-29653236(-)::chr2:29653224-29653236(-) ATGAGGAAGGCC >mm10_chr2:29674576-29674587(-)::chr2:29674575-29674587(-) GGAAGGAAGTCC >mm10_chr2:29674618-29674629(-)::chr2:29674617-29674629(-) AACAGGAAGCCC >mm10_chr2:29678719-29678730(-)::chr2:29678718-29678730(-) GCAGGGAAGTCC >mm10_chr2:29678761-29678772(-)::chr2:29678760-29678772(-) AACAGGAAGAAT >mm10_chr2:29713689-29713700(-)::chr2:29713688-29713700(-) ACAAGGAAGTGG >mm10_chr2:29772308-29772319(+)::chr2:29772307-29772319(+) AATAGGAAGGAA >mm10_chr2:29772312-29772323(+)::chr2:29772311-29772323(+) GGAAGGAAGTTG >mm10_chr2:29787722-29787733(+)::chr2:29787721-29787733(+) GGCAGGAAGAGG >mm10_chr2:29787992-29788003(-)::chr2:29787991-29788003(-) cgaaggcagtac >mm10_chr2:29805799-29805810(-)::chr2:29805798-29805810(-) TGCAGGAAGTTG >mm10_chr2:29827160-29827171(+)::chr2:29827159-29827171(+) TTCAGGAAGTCC >mm10_chr2:29827169-29827180(-)::chr2:29827168-29827180(-) AGCAGGAAGGGA >mm10_chr2:29827310-29827321(-)::chr2:29827309-29827321(-) AAACGGAAGAGG >mm10_chr2:29827322-29827333(-)::chr2:29827321-29827333(-) ACCAGGAAGCGT >mm10_chr2:29839949-29839960(-)::chr2:29839948-29839960(-) ACCAGGAAGAGG >mm10_chr2:29854161-29854172(-)::chr2:29854160-29854172(-) ACAAGGAAAGAC >mm10_chr2:29854213-29854224(-)::chr2:29854212-29854224(-) GGCAGGAAGGGC >mm10_chr2:29872831-29872842(-)::chr2:29872830-29872842(-) GTAGGGAAGTAT >mm10_chr2:29889791-29889802(+)::chr2:29889790-29889802(+) AGGCGGAAGCGG >mm10_chr2:29944831-29944842(-)::chr2:29944830-29944842(-) GGAAGGAAGTGT >mm10_chr2:29944835-29944846(-)::chr2:29944834-29944846(-) GGCAGGAAGGAA >mm10_chr2:29954234-29954245(+)::chr2:29954233-29954245(+) TCCAGGAAGAAA >mm10_chr2:29968761-29968772(-)::chr2:29968760-29968772(-) AAGAGGAAGGGG >mm10_chr2:30048934-30048945(+)::chr2:30048933-30048945(+) AAACGGAAGTCC >mm10_chr2:30048949-30048960(+)::chr2:30048948-30048960(+) GAGAGGAAGTGA >mm10_chr2:30049119-30049130(+)::chr2:30049118-30049130(+) ATAAGGAAAAGA >mm10_chr2:30062690-30062701(-)::chr2:30062689-30062701(-) AGAAGGAAGCTG >mm10_chr2:30077344-30077355(-)::chr2:30077343-30077355(-) AGGAGGAAGTTC >mm10_chr2:30077366-30077377(-)::chr2:30077365-30077377(-) ATAAGGATGTCC >mm10_chr2:30091265-30091276(-)::chr2:30091264-30091276(-) AAGAGGAAGAAG >mm10_chr2:30133002-30133013(-)::chr2:30133001-30133013(-) agcaggaagatc >mm10_chr2:30133775-30133786(-)::chr2:30133774-30133786(-) GGCAGGAAGCAG >mm10_chr2:30144766-30144777(+)::chr2:30144765-30144777(+) AGGAGGAAATGA >mm10_chr2:30152846-30152857(+)::chr2:30152845-30152857(+) aggaggaaggat >mm10_chr2:30177617-30177628(-)::chr2:30177616-30177628(-) AGGAGGAAGAGG >mm10_chr2:30177626-30177637(-)::chr2:30177625-30177637(-) GCCAGGAAGAGG >mm10_chr2:30177644-30177655(-)::chr2:30177643-30177655(-) AAGAGGAAGAGG >mm10_chr2:30178402-30178413(-)::chr2:30178401-30178413(-) CCGAGGAAGCGT >mm10_chr2:30191724-30191735(-)::chr2:30191723-30191735(-) AGTAGGAAGGGA >mm10_chr2:30191744-30191755(-)::chr2:30191743-30191755(-) AGGAGGAAGTGG >mm10_chr2:30194856-30194867(+)::chr2:30194855-30194867(+) GTAAGGAAGGCT >mm10_chr2:30237542-30237553(-)::chr2:30237541-30237553(-) GGGCGGAAGTAA >mm10_chr2:30239959-30239970(-)::chr2:30239958-30239970(-) GGAAGGAAGCAG >mm10_chr2:30239963-30239974(-)::chr2:30239962-30239974(-) ACCAGGAAGGAA >mm10_chr2:30245090-30245101(+)::chr2:30245089-30245101(+) GCAGGGAAGTTT >mm10_chr2:30250273-30250284(-)::chr2:30250272-30250284(-) GCACGGAAGGAT >mm10_chr2:30263149-30263160(+)::chr2:30263148-30263160(+) AAAAGGAAGGCT >mm10_chr2:30284854-30284865(+)::chr2:30284853-30284865(+) TCCAGGAAGAGG >mm10_chr2:30358568-30358579(-)::chr2:30358567-30358579(-) TAAAGGATGTCA >mm10_chr2:30358619-30358630(+)::chr2:30358618-30358630(+) GAAAGGAAATAA >mm10_chr2:30396229-30396240(-)::chr2:30396228-30396240(-) AAGAGGAAGGAG >mm10_chr2:30396235-30396246(-)::chr2:30396234-30396246(-) TACAGGAAGAGG >mm10_chr2:30437395-30437406(+)::chr2:30437394-30437406(+) TGCAGGAAGTCG >mm10_chr2:30441861-30441872(+)::chr2:30441860-30441872(+) GACAGGAAGGCG >mm10_chr2:30445506-30445517(-)::chr2:30445505-30445517(-) ATCAGGAAGCGG >mm10_chr2:30447694-30447705(-)::chr2:30447693-30447705(-) GGAAGGAAGCTG >mm10_chr2:30447715-30447726(-)::chr2:30447714-30447726(-) GGAAGGCAGTGG >mm10_chr2:30447719-30447730(-)::chr2:30447718-30447730(-) GCCAGGAAGGCA >mm10_chr2:30458125-30458136(+)::chr2:30458124-30458136(+) AGAGGGAAGTGG >mm10_chr2:30470798-30470809(-)::chr2:30470797-30470809(-) AGCAGGAAGGCT >mm10_chr2:30471959-30471970(-)::chr2:30471958-30471970(-) AAAAGGAAGTCG >mm10_chr2:30477555-30477566(+)::chr2:30477554-30477566(+) GTAAGGAAGAAC >mm10_chr2:30495692-30495703(-)::chr2:30495691-30495703(-) AAAGGGAAGTAG >mm10_chr2:30495719-30495730(+)::chr2:30495718-30495730(+) GTAAGGAAGTGT >mm10_chr2:30533456-30533467(+)::chr2:30533455-30533467(+) ggcaggaagagc >mm10_chr2:30533484-30533495(+)::chr2:30533483-30533495(+) atcaggaagaag >mm10_chr2:30533491-30533502(+)::chr2:30533490-30533502(+) agaaggaaacgg >mm10_chr2:30547987-30547998(-)::chr2:30547986-30547998(-) GGAAGGAAGCGG >mm10_chr2:30548001-30548012(-)::chr2:30548000-30548012(-) AACAGGAAGGGT >mm10_chr2:30549350-30549361(-)::chr2:30549349-30549361(-) TGAAGGAAGTAC >mm10_chr2:30571860-30571871(-)::chr2:30571859-30571871(-) tggaggaagtgc >mm10_chr2:30574146-30574157(+)::chr2:30574145-30574157(+) ACAAGGAAAAAC >mm10_chr2:30591106-30591117(+)::chr2:30591105-30591117(+) ggagggaagtgt >mm10_chr2:30600298-30600309(-)::chr2:30600297-30600309(-) aagaggaagagA >mm10_chr2:30600304-30600315(-)::chr2:30600303-30600315(-) aggaggaagagg >mm10_chr2:30600319-30600330(-)::chr2:30600318-30600330(-) aggaggaaggag >mm10_chr2:30618729-30618740(+)::chr2:30618728-30618740(+) ACCAGGAAGGGG >mm10_chr2:30636402-30636413(-)::chr2:30636401-30636413(-) AGAAGGAAGCAA >mm10_chr2:30636415-30636426(-)::chr2:30636414-30636426(-) AGAAGGAAGCAA >mm10_chr2:30640895-30640906(-)::chr2:30640894-30640906(-) aagaggaagagA >mm10_chr2:30640901-30640912(-)::chr2:30640900-30640912(-) aagaggaagagg >mm10_chr2:30640907-30640918(-)::chr2:30640906-30640918(-) aagaggaagagg >mm10_chr2:30640913-30640924(-)::chr2:30640912-30640924(-) aagaggaagagg >mm10_chr2:30640919-30640930(-)::chr2:30640918-30640930(-) aagaggaagagg >mm10_chr2:30640925-30640936(-)::chr2:30640924-30640936(-) aagaggaagagg >mm10_chr2:30640931-30640942(-)::chr2:30640930-30640942(-) aggaggaagagg >mm10_chr2:30657844-30657855(-)::chr2:30657843-30657855(-) AGGAGGAAGCCA >mm10_chr2:30658570-30658581(+)::chr2:30658569-30658581(+) AACAGGAAGCAG >mm10_chr2:30679148-30679159(+)::chr2:30679147-30679159(+) GGCAGGAAGTGT >mm10_chr2:30679180-30679191(+)::chr2:30679179-30679191(+) TAGAGGAAGTAG >mm10_chr2:30687063-30687074(+)::chr2:30687062-30687074(+) AGTAGGAAGTTT >mm10_chr2:30687101-30687112(-)::chr2:30687100-30687112(-) GGAAGGACGTGA >mm10_chr2:30687105-30687116(-)::chr2:30687104-30687116(-) GCCAGGAAGGAC >mm10_chr2:30687148-30687159(-)::chr2:30687147-30687159(-) gggaggaaggat >mm10_chr2:30690490-30690501(-)::chr2:30690489-30690501(-) AAGAGGAAGCTA >mm10_chr2:30700015-30700026(+)::chr2:30700014-30700026(+) aaaaggaAATGG >mm10_chr2:30707511-30707522(+)::chr2:30707510-30707522(+) CAGAGGAAGTGg >mm10_chr2:30712109-30712120(-)::chr2:30712108-30712120(-) CGCAGGAAGGCG >mm10_chr2:30712151-30712162(-)::chr2:30712150-30712162(-) GGCGGGAAGCGG >mm10_chr2:30728070-30728081(+)::chr2:30728069-30728081(+) agcaggaagatc >mm10_chr2:30728127-30728138(+)::chr2:30728126-30728138(+) gcacggaagagg >mm10_chr2:30750140-30750151(+)::chr2:30750139-30750151(+) TCCAGGAAGAAC >mm10_chr2:30773113-30773124(+)::chr2:30773112-30773124(+) AACAGGAAGAAG >mm10_chr2:30800555-30800566(-)::chr2:30800554-30800566(-) AACAGGAAGGCA >mm10_chr2:30801178-30801189(+)::chr2:30801177-30801189(+) TGCAGGAAGTGG >mm10_chr2:30804871-30804882(-)::chr2:30804870-30804882(-) ctaaggaagttc >mm10_chr2:30828308-30828319(-)::chr2:30828307-30828319(-) GACCGGAAGTAG >mm10_chr2:30860913-30860924(-)::chr2:30860912-30860924(-) GCCAGGAAGAGA >mm10_chr2:30860936-30860947(-)::chr2:30860935-30860947(-) TGGAGGAAGAGC >mm10_chr2:30860966-30860977(-)::chr2:30860965-30860977(-) aggaggaagagg >mm10_chr2:30884949-30884960(-)::chr2:30884948-30884960(-) TGCAGGAAGCAC >mm10_chr2:30918385-30918396(-)::chr2:30918384-30918396(-) AGGAGGAAGCAG >mm10_chr2:30942272-30942283(-)::chr2:30942271-30942283(-) AGAAGGAAGTAT >mm10_chr2:30952934-30952945(+)::chr2:30952933-30952945(+) AAGCGGAAGTGA >mm10_chr2:30952954-30952965(+)::chr2:30952953-30952965(+) TACCGGAAGTTC >mm10_chr2:30955034-30955045(+)::chr2:30955033-30955045(+) AGAAGGAAGGGT >mm10_chr2:31041683-31041694(-)::chr2:31041682-31041694(-) TTAAGGAAGAGC >mm10_chr2:31052636-31052647(-)::chr2:31052635-31052647(-) ATGAGGAAGTGG >mm10_chr2:31106774-31106785(+)::chr2:31106773-31106785(+) AGGAGGAAGCCA >mm10_chr2:31107103-31107114(+)::chr2:31107102-31107114(+) CCCAGGAAGCGG >mm10_chr2:31112920-31112931(+)::chr2:31112919-31112931(+) TCAAGGAAGCTA >mm10_chr2:31125365-31125376(-)::chr2:31125364-31125376(-) CTGAGGAAGTGA >mm10_chr2:31129870-31129881(+)::chr2:31129869-31129881(+) TCAGGGAAGTGC >mm10_chr2:31130909-31130920(-)::chr2:31130908-31130920(-) AAGAGGAAGTAA >mm10_chr2:31130915-31130926(-)::chr2:31130914-31130926(-) TCCAGGAAGAGG >mm10_chr2:31130957-31130968(-)::chr2:31130956-31130968(-) TCAAGGAAGTAT >mm10_chr2:31131104-31131115(-)::chr2:31131103-31131115(-) tccaggaagtcc >mm10_chr2:31152311-31152322(+)::chr2:31152310-31152322(+) ACCCGGAAGTGG >mm10_chr2:31244771-31244782(-)::chr2:31244770-31244782(-) AGGAGGAAGAGT >mm10_chr2:31244831-31244842(-)::chr2:31244830-31244842(-) ACAGGGAAGTGG >mm10_chr2:31268923-31268934(-)::chr2:31268922-31268934(-) agagggaagtca >mm10_chr2:31289724-31289735(+)::chr2:31289723-31289735(+) gcgaggaaatgc >mm10_chr2:31290105-31290116(-)::chr2:31290104-31290116(-) AGTAGGAAGTCC >mm10_chr2:31290112-31290123(-)::chr2:31290111-31290123(-) AGAAGGAAGTAG >mm10_chr2:31438022-31438033(-)::chr2:31438021-31438033(-) GCGAGGAAGGGC >mm10_chr2:31442632-31442643(+)::chr2:31442631-31442643(+) ACCAGGAAATGA >mm10_chr2:31456417-31456428(-)::chr2:31456416-31456428(-) aggaggaaggag >mm10_chr2:31456424-31456435(-)::chr2:31456423-31456435(-) agaaggaaggag >mm10_chr2:31456431-31456442(-)::chr2:31456430-31456442(-) gaaaggaagaag >mm10_chr2:31456444-31456455(-)::chr2:31456443-31456455(-) gggaggaaggga >mm10_chr2:31456460-31456471(-)::chr2:31456459-31456471(-) ggaaggaagaag >mm10_chr2:31456464-31456475(-)::chr2:31456463-31456475(-) tgtaggaaggaa >mm10_chr2:31456488-31456499(-)::chr2:31456487-31456499(-) TATAGGAAGAGA >mm10_chr2:31478948-31478959(+)::chr2:31478947-31478959(+) AGAAGGAAGACT >mm10_chr2:31478968-31478979(+)::chr2:31478967-31478979(+) GCCAGGAAGAAG >mm10_chr2:31663234-31663245(-)::chr2:31663233-31663245(-) ACCAGGAAGCAA >mm10_chr2:31663262-31663273(+)::chr2:31663261-31663273(+) aagaggaagagg >mm10_chr2:31663268-31663279(+)::chr2:31663267-31663279(+) aagaggaagagg >mm10_chr2:31663274-31663285(+)::chr2:31663273-31663285(+) aagaggaagagg >mm10_chr2:31688339-31688350(-)::chr2:31688338-31688350(-) ATGAGGAAGCGC >mm10_chr2:31726921-31726932(+)::chr2:31726920-31726932(+) ACGAGGAAGTAA >mm10_chr2:31726966-31726977(-)::chr2:31726965-31726977(-) GGAAGGAAGACA >mm10_chr2:31726970-31726981(-)::chr2:31726969-31726981(-) AGGAGGAAGGAA >mm10_chr2:31727324-31727335(+)::chr2:31727323-31727335(+) GGCAGGAAGGAG >mm10_chr2:31727354-31727365(-)::chr2:31727353-31727365(-) AGTAGGAAATGA >mm10_chr2:31737667-31737678(-)::chr2:31737666-31737678(-) gtaaggaagtat >mm10_chr2:31745978-31745989(+)::chr2:31745977-31745989(+) AGCAGGAAATGA >mm10_chr2:31761740-31761751(+)::chr2:31761739-31761751(+) AGACGGAAGTGC >mm10_chr2:31769897-31769908(+)::chr2:31769896-31769908(+) ACGAGGAAGTGT >mm10_chr2:31807424-31807435(+)::chr2:31807423-31807435(+) ACAGGGAAGTGG >mm10_chr2:31821885-31821896(+)::chr2:31821884-31821896(+) ACCAGGAAGCTG >mm10_chr2:31950934-31950945(-)::chr2:31950933-31950945(-) ACAAGGAAATGC >mm10_chr2:31974224-31974235(+)::chr2:31974223-31974235(+) CTAAGGAAGAAA >mm10_chr2:31974462-31974473(+)::chr2:31974461-31974473(+) GGAAGGAAGTTG >mm10_chr2:32002166-32002177(-)::chr2:32002165-32002177(-) aggaggaaatac >mm10_chr2:32141968-32141979(-)::chr2:32141967-32141979(-) agaaggaaggga >mm10_chr2:32141984-32141995(-)::chr2:32141983-32141995(-) aaaaggaaggaa >mm10_chr2:32142005-32142016(-)::chr2:32142004-32142016(-) TCCaggaagaaa >mm10_chr2:32154774-32154785(-)::chr2:32154773-32154785(-) AAGAGGAAGAAG >mm10_chr2:32161611-32161622(-)::chr2:32161610-32161622(-) TACAGGAAGAGC >mm10_chr2:32161628-32161639(-)::chr2:32161627-32161639(-) ACAAGGAAGGAG >mm10_chr2:32181472-32181483(-)::chr2:32181471-32181483(-) ACCAGGAAATGC >mm10_chr2:32182779-32182790(-)::chr2:32182778-32182790(-) ACTAGGAAGTCA >mm10_chr2:32253909-32253920(+)::chr2:32253908-32253920(+) ACCAGGAAGAGC >mm10_chr2:32350654-32350665(-)::chr2:32350653-32350665(-) GAGAGGAAGTGG >mm10_chr2:32363455-32363466(+)::chr2:32363454-32363466(+) ACAGGGAAGTGT >mm10_chr2:32363478-32363489(+)::chr2:32363477-32363489(+) ACCAGGAAGTGT >mm10_chr2:32372577-32372588(-)::chr2:32372576-32372588(-) ATCAGGAAATAC >mm10_chr2:32380247-32380258(+)::chr2:32380246-32380258(+) AAAGGGAAGTAG >mm10_chr2:32380268-32380279(+)::chr2:32380267-32380279(+) TTAAGGAAGAGG >mm10_chr2:32382940-32382951(+)::chr2:32382939-32382951(+) GCCAGGAAGAGG >mm10_chr2:32382947-32382958(+)::chr2:32382946-32382958(+) AGAGGGAAGAAA >mm10_chr2:32387954-32387965(+)::chr2:32387953-32387965(+) AACAGGAAGGGC >mm10_chr2:32388214-32388225(-)::chr2:32388213-32388225(-) ACAAGGAAGCTG >mm10_chr2:32437204-32437215(-)::chr2:32437203-32437215(-) ctcaggaagtgg >mm10_chr2:32437252-32437263(-)::chr2:32437251-32437263(-) ggcaggaagcta >mm10_chr2:32437285-32437296(+)::chr2:32437284-32437296(+) aagaggaagagc >mm10_chr2:32447412-32447423(-)::chr2:32447411-32447423(-) AAAAGGAAGGGA >mm10_chr2:32470075-32470086(+)::chr2:32470074-32470086(+) AGGGGGAAGTAG >mm10_chr2:32537200-32537211(+)::chr2:32537199-32537211(+) AAGAGGAAGTAA >mm10_chr2:32539575-32539586(+)::chr2:32539574-32539586(+) CGCAGGAAGGAG >mm10_chr2:32539605-32539616(-)::chr2:32539604-32539616(-) CTAAGGAAGCAG >mm10_chr2:32541815-32541826(+)::chr2:32541814-32541826(+) ATAAGGAACTGG >mm10_chr2:32541867-32541878(+)::chr2:32541866-32541878(+) GCAAGGAAGAGA >mm10_chr2:32541880-32541891(+)::chr2:32541879-32541891(+) ACCAGGAAGAGA >mm10_chr2:32548113-32548124(-)::chr2:32548112-32548124(-) GAGAGGAAGGAG >mm10_chr2:32548126-32548137(-)::chr2:32548125-32548137(-) AAGAGGAAGGAG >mm10_chr2:32555584-32555595(+)::chr2:32555583-32555595(+) GGAAGGAAGGCT >mm10_chr2:32566771-32566782(-)::chr2:32566770-32566782(-) ACAAGGAAACGC >mm10_chr2:32599967-32599978(+)::chr2:32599966-32599978(+) GGGAGGAAGGGA >mm10_chr2:32600703-32600714(+)::chr2:32600702-32600714(+) GGGAGGAAGGAA >mm10_chr2:32600707-32600718(+)::chr2:32600706-32600718(+) GGAAGGAAGGAA >mm10_chr2:32600711-32600722(+)::chr2:32600710-32600722(+) GGAAGGAAGCTC >mm10_chr2:32646540-32646551(-)::chr2:32646539-32646551(-) TAGAGGAAGTGC >mm10_chr2:32646586-32646597(+)::chr2:32646585-32646597(+) CTAAGGAAGCGC >mm10_chr2:32646596-32646607(-)::chr2:32646595-32646607(-) GGCAGGAAATGC >mm10_chr2:32656044-32656055(-)::chr2:32656043-32656055(-) AAGAGGAAATGA >mm10_chr2:32656050-32656061(-)::chr2:32656049-32656061(-) AGGAGGAAGAGG >mm10_chr2:32728702-32728713(+)::chr2:32728701-32728713(+) AGGAGGAAGGAA >mm10_chr2:32728706-32728717(+)::chr2:32728705-32728717(+) GGAAGGAAGGGG >mm10_chr2:32728735-32728746(-)::chr2:32728734-32728746(-) ACCAGGAAATCC >mm10_chr2:32756938-32756949(-)::chr2:32756937-32756949(-) TGACGGAAGAGT >mm10_chr2:32775665-32775676(-)::chr2:32775664-32775676(-) GGGCGGAAGTGG >mm10_chr2:32834784-32834795(-)::chr2:32834783-32834795(-) AACAGGAAGCAG >mm10_chr2:32835884-32835895(+)::chr2:32835883-32835895(+) AGAAGGAAAAAA >mm10_chr2:32835949-32835960(-)::chr2:32835948-32835960(-) aggaggaagtgc >mm10_chr2:32835956-32835967(-)::chr2:32835955-32835967(-) ggaaggaaggag >mm10_chr2:32870204-32870215(+)::chr2:32870203-32870215(+) TGAAGGAAGAGA >mm10_chr2:32870240-32870251(-)::chr2:32870239-32870251(-) AGAAGGAAGGTT >mm10_chr2:32891605-32891616(+)::chr2:32891604-32891616(+) ATCAGGAAGAGG >mm10_chr2:32915064-32915075(-)::chr2:32915063-32915075(-) GGGAGGAAGCAG >mm10_chr2:32915131-32915142(+)::chr2:32915130-32915142(+) GCCAGGAAGTGG >mm10_chr2:32923114-32923125(+)::chr2:32923113-32923125(+) ACGAGGAGGTGG >mm10_chr2:32991817-32991828(-)::chr2:32991816-32991828(-) AAAAGGAAGAAC >mm10_chr2:32992136-32992147(+)::chr2:32992135-32992147(+) AGAAGGAAACAG >mm10_chr2:33034258-33034269(+)::chr2:33034257-33034269(+) ATAAGGAAGTCT >mm10_chr2:33103531-33103542(+)::chr2:33103530-33103542(+) ATCAGGAAGTTC >mm10_chr2:33120478-33120489(-)::chr2:33120477-33120489(-) CTAGGGAAGTAC >mm10_chr2:33120488-33120499(+)::chr2:33120487-33120499(+) AGCAGGAAGAAG >mm10_chr2:33138683-33138694(+)::chr2:33138682-33138694(+) ACAGGGAAGTAA >mm10_chr2:33138709-33138720(+)::chr2:33138708-33138720(+) AGAGGGAAGTTG >mm10_chr2:33138722-33138733(+)::chr2:33138721-33138733(+) GGGAGGAAGAGA >mm10_chr2:33173064-33173075(-)::chr2:33173063-33173075(-) ACAAGGAAGTGG >mm10_chr2:33173302-33173313(+)::chr2:33173301-33173313(+) GTGAGGAAGAGA >mm10_chr2:33176681-33176692(-)::chr2:33176680-33176692(-) aggaggaagccg >mm10_chr2:33195741-33195752(+)::chr2:33195740-33195752(+) AGCAGGAAGAAC >mm10_chr2:33195769-33195780(+)::chr2:33195768-33195780(+) AGAAGGAAGGGG >mm10_chr2:33299703-33299714(+)::chr2:33299702-33299714(+) TTAAGGAAATAT >mm10_chr2:33329057-33329068(+)::chr2:33329056-33329068(+) AACAGGAAGCAC >mm10_chr2:33405784-33405795(+)::chr2:33405783-33405795(+) agaaggaaaaga >mm10_chr2:33405798-33405809(+)::chr2:33405797-33405809(+) aggaggaagtgt >mm10_chr2:33405814-33405825(+)::chr2:33405813-33405825(+) agcaggaagaaa >mm10_chr2:33427163-33427174(+)::chr2:33427162-33427174(+) CACAGGAAGTTC >mm10_chr2:33429499-33429510(+)::chr2:33429498-33429510(+) tggaggaagtgt >mm10_chr2:33429527-33429538(+)::chr2:33429526-33429538(+) tgaaggaagtga >mm10_chr2:33430261-33430272(+)::chr2:33430260-33430272(+) taaaggaaggat >mm10_chr2:33436448-33436459(-)::chr2:33436447-33436459(-) AAAAGGAAGGGT >mm10_chr2:33570650-33570661(+)::chr2:33570649-33570661(+) TAAGGGAAGTGT >mm10_chr2:33570679-33570690(-)::chr2:33570678-33570690(-) ATAAGGAAGCTC >mm10_chr2:33570715-33570726(+)::chr2:33570714-33570726(+) ATGAGGAAGCCA >mm10_chr2:33614156-33614167(+)::chr2:33614155-33614167(+) aggaggaagaga >mm10_chr2:33614171-33614182(+)::chr2:33614170-33614182(+) aggaggaagaga >mm10_chr2:33614186-33614197(+)::chr2:33614185-33614197(+) aggaggaaggga >mm10_chr2:33622312-33622323(-)::chr2:33622311-33622323(-) AGAAGGAAGTTT >mm10_chr2:33641156-33641167(-)::chr2:33641155-33641167(-) GTCAGGAAGTTT >mm10_chr2:33648800-33648811(+)::chr2:33648799-33648811(+) ataaggaaggca >mm10_chr2:33648827-33648838(+)::chr2:33648826-33648838(+) gctaggaagtct >mm10_chr2:33659506-33659517(-)::chr2:33659505-33659517(-) GCCAGGAAGGAG >mm10_chr2:33767565-33767576(-)::chr2:33767564-33767576(-) AGCAGGAAGAAC >mm10_chr2:33767601-33767612(+)::chr2:33767600-33767612(+) CAAAGGAAGCCA >mm10_chr2:33908466-33908477(+)::chr2:33908465-33908477(+) GACAGGAAGGAA >mm10_chr2:33908490-33908501(+)::chr2:33908489-33908501(+) AGAAGGAACCGC >mm10_chr2:34144876-34144887(-)::chr2:34144875-34144887(-) AGGAGGAAGTAG >mm10_chr2:34144893-34144904(+)::chr2:34144892-34144904(+) CCcaggaagtac >mm10_chr2:34226324-34226335(-)::chr2:34226323-34226335(-) AAGAGGAAGTCA >mm10_chr2:34281160-34281171(-)::chr2:34281159-34281171(-) TCCAGGAAGATA >mm10_chr2:34406840-34406851(-)::chr2:34406839-34406851(-) TACCGGAAGTCC >mm10_chr2:34489787-34489798(+)::chr2:34489786-34489798(+) AAGAGGAAGGGA >mm10_chr2:34489834-34489845(+)::chr2:34489833-34489845(+) TCAAGGAAGTAG >mm10_chr2:34514518-34514529(-)::chr2:34514517-34514529(-) AGCAGGAAGAGA >mm10_chr2:34536456-34536467(+)::chr2:34536455-34536467(+) GGCAGGAAGATG >mm10_chr2:34546714-34546725(+)::chr2:34546713-34546725(+) AGAAGGAAGTTT >mm10_chr2:34546751-34546762(-)::chr2:34546750-34546762(-) AAAAGGAAGAGC >mm10_chr2:34749894-34749905(+)::chr2:34749893-34749905(+) ACAAGGAAATAA >mm10_chr2:34749920-34749931(+)::chr2:34749919-34749931(+) ACAAGGAAGTCT >mm10_chr2:34750265-34750276(+)::chr2:34750264-34750276(+) aacaggaaggaa >mm10_chr2:34750269-34750280(+)::chr2:34750268-34750280(+) ggaaggaaggaa >mm10_chr2:34771171-34771182(+)::chr2:34771170-34771182(+) AGAAGGAAGAGG >mm10_chr2:34771185-34771196(+)::chr2:34771184-34771196(+) AAGAGGAAGGAC >mm10_chr2:34826284-34826295(-)::chr2:34826283-34826295(-) AGACGGAAGTAG >mm10_chr2:34835760-34835771(+)::chr2:34835759-34835771(+) acaaagaagtaa >mm10_chr2:34835940-34835951(-)::chr2:34835939-34835951(-) ataaggaactag >mm10_chr2:34871684-34871695(-)::chr2:34871683-34871695(-) AACAGGAAGCCA >mm10_chr2:34879057-34879068(+)::chr2:34879056-34879068(+) tggaggaagtgg >mm10_chr2:34899722-34899733(-)::chr2:34899721-34899733(-) GCAAGGAAATTA >mm10_chr2:34899912-34899923(-)::chr2:34899911-34899923(-) TCAAGGAAGAGC >mm10_chr2:34921475-34921486(+)::chr2:34921474-34921486(+) TTAAGGAAGATG >mm10_chr2:34921496-34921507(+)::chr2:34921495-34921507(+) GCAAGGAAGAAA >mm10_chr2:34926240-34926251(+)::chr2:34926239-34926251(+) ACAAGGAATTCA >mm10_chr2:34936195-34936206(+)::chr2:34936194-34936206(+) AGGAGGAAGGAG >mm10_chr2:34948221-34948232(-)::chr2:34948220-34948232(-) ACAAGGAAGTGG >mm10_chr2:34961968-34961979(+)::chr2:34961967-34961979(+) GCAGGGAAGTGG >mm10_chr2:34965459-34965470(-)::chr2:34965458-34965470(-) TACAGGAAGTGA >mm10_chr2:34965489-34965500(+)::chr2:34965488-34965500(+) ACAAGGAAGACT >mm10_chr2:34995366-34995377(+)::chr2:34995365-34995377(+) ATGAGGAAGGTA >mm10_chr2:35016122-35016133(+)::chr2:35016121-35016133(+) cacaggaagttc >mm10_chr2:35016156-35016167(-)::chr2:35016155-35016167(-) ggcaggaaggga >mm10_chr2:35016182-35016193(-)::chr2:35016181-35016193(-) aggcggaagttt >mm10_chr2:35038700-35038711(+)::chr2:35038699-35038711(+) AGAAGGAATTAG >mm10_chr2:35038722-35038733(+)::chr2:35038721-35038733(+) GACAGGAAGGAT >mm10_chr2:35038758-35038769(+)::chr2:35038757-35038769(+) CCAAGGAAGGGA >mm10_chr2:35045116-35045127(+)::chr2:35045115-35045127(+) ACCAGGAAGCAG >mm10_chr2:35061022-35061033(+)::chr2:35061021-35061033(+) ATAAGGAAGTGA >mm10_chr2:35109425-35109436(+)::chr2:35109424-35109436(+) GGCGGGAAGCGC >mm10_chr2:35159159-35159170(+)::chr2:35159158-35159170(+) ACAAGGAAATCC >mm10_chr2:35174224-35174235(+)::chr2:35174223-35174235(+) gacaggaagtga >mm10_chr2:35232266-35232277(+)::chr2:35232265-35232277(+) TTAGGGAAGTGA >mm10_chr2:35270029-35270040(+)::chr2:35270028-35270040(+) ACCAGGAAGATT >mm10_chr2:35271974-35271985(-)::chr2:35271973-35271985(-) AGAAGGAACTTC >mm10_chr2:35280917-35280928(-)::chr2:35280916-35280928(-) ATAAGGAAAGAA >mm10_chr2:35280930-35280941(+)::chr2:35280929-35280941(+) GAAAGGAAGAGA >mm10_chr2:35289150-35289161(-)::chr2:35289149-35289161(-) ACCAGGAAGAGC >mm10_chr2:35299478-35299489(+)::chr2:35299477-35299489(+) GGAAGGATGTAT >mm10_chr2:35299540-35299551(-)::chr2:35299539-35299551(-) TCCAGGAAGGTA >mm10_chr2:35346209-35346220(-)::chr2:35346208-35346220(-) AGCAGGAAGTGC >mm10_chr2:35404796-35404807(-)::chr2:35404795-35404807(-) aggaggaagttt >mm10_chr2:35404841-35404852(+)::chr2:35404840-35404852(+) agcaggaaatat >mm10_chr2:35409670-35409681(-)::chr2:35409669-35409681(-) tcagggaagtga >mm10_chr2:35433639-35433650(-)::chr2:35433638-35433650(-) AGGAGGAAGAGG >mm10_chr2:35433657-35433668(+)::chr2:35433656-35433668(+) AGAAGGAAGTTT >mm10_chr2:35433671-35433682(-)::chr2:35433670-35433682(-) AGGAGGAAGCCG >mm10_chr2:35449938-35449949(-)::chr2:35449937-35449949(-) GAAAGGAAGCCA >mm10_chr2:35493591-35493602(-)::chr2:35493590-35493602(-) GAAAGGAAGAAG >mm10_chr2:35493611-35493622(-)::chr2:35493610-35493622(-) ACACGGAAGTGT >mm10_chr2:35493635-35493646(-)::chr2:35493634-35493646(-) GAAAGGAAGTGC >mm10_chr2:35493649-35493660(-)::chr2:35493648-35493660(-) TGAAGGAAGGCA >mm10_chr2:35509460-35509471(+)::chr2:35509459-35509471(+) gagaggaagtag >mm10_chr2:35539616-35539627(-)::chr2:35539615-35539627(-) GGAAGTAAGTAG >mm10_chr2:35539620-35539631(-)::chr2:35539619-35539631(-) GTCGGGAAGTAA >mm10_chr2:35607867-35607878(-)::chr2:35607866-35607878(-) gccaggaagttc >mm10_chr2:35614337-35614348(-)::chr2:35614336-35614348(-) TGAGGGAAGTAG >mm10_chr2:35614401-35614412(+)::chr2:35614400-35614412(+) ACAAGGAAGCAA >mm10_chr2:35614693-35614704(-)::chr2:35614692-35614704(-) AGAAGGAAGCTG >mm10_chr2:35624012-35624023(+)::chr2:35624011-35624023(+) GGCAGGAAGGGA >mm10_chr2:35624043-35624054(+)::chr2:35624042-35624054(+) AGAAGGAAAAGG >mm10_chr2:35626403-35626414(-)::chr2:35626402-35626414(-) TATAGGAAGAGA >mm10_chr2:35627466-35627477(-)::chr2:35627465-35627477(-) CAGAGGAAGTCA >mm10_chr2:35627510-35627521(-)::chr2:35627509-35627521(-) ACCAGGAAATAC >mm10_chr2:35629324-35629335(+)::chr2:35629323-35629335(+) TCAAGGAAGTGT >mm10_chr2:35659679-35659690(+)::chr2:35659678-35659690(+) AACAGGAAGAGA >mm10_chr2:35659714-35659725(+)::chr2:35659713-35659725(+) AAGAGGAAGGAA >mm10_chr2:35659718-35659729(+)::chr2:35659717-35659729(+) GGAAGGAAATGT >mm10_chr2:35669867-35669878(+)::chr2:35669866-35669878(+) CAAAGGAAGAGT >mm10_chr2:35680483-35680494(+)::chr2:35680482-35680494(+) GCAAGGAAGCAG >mm10_chr2:35690150-35690161(+)::chr2:35690149-35690161(+) ACAAGGAAATGT >mm10_chr2:35692434-35692445(+)::chr2:35692433-35692445(+) AGGAGGAAGAGG >mm10_chr2:35696567-35696578(-)::chr2:35696566-35696578(-) TACAGGAAGCAG >mm10_chr2:35701624-35701635(+)::chr2:35701623-35701635(+) ATGAGGAAGTAA >mm10_chr2:35701632-35701643(+)::chr2:35701631-35701643(+) GTAAGGAAGTTG >mm10_chr2:35702044-35702055(-)::chr2:35702043-35702055(-) GGTAGGAAGTGA >mm10_chr2:35841724-35841735(+)::chr2:35841723-35841735(+) TTGAGGAAGTGA >mm10_chr2:35851570-35851581(+)::chr2:35851569-35851581(+) ACAAGAAAGTGA >mm10_chr2:35853347-35853358(-)::chr2:35853346-35853358(-) AACAGGAAGGGC >mm10_chr2:35882534-35882545(-)::chr2:35882533-35882545(-) GGGAGGAAGCAG >mm10_chr2:35882550-35882561(-)::chr2:35882549-35882561(-) GGAAGGATGTCC >mm10_chr2:35882554-35882565(-)::chr2:35882553-35882565(-) AAGAGGAAGGAT >mm10_chr2:36128122-36128133(-)::chr2:36128121-36128133(-) GACAGGAAGTCA >mm10_chr2:36128169-36128180(-)::chr2:36128168-36128180(-) AAAAGGAAATGG >mm10_chr2:36128186-36128197(-)::chr2:36128185-36128197(-) AGGAGGAAGGCC >mm10_chr2:36198713-36198724(+)::chr2:36198712-36198724(+) TGGAGGAAGCGG >mm10_chr2:36216639-36216650(+)::chr2:36216638-36216650(+) AAGAGGAAGGAA >mm10_chr2:36216643-36216654(+)::chr2:36216642-36216654(+) GGAAGGAAGATT >mm10_chr2:36216713-36216724(+)::chr2:36216712-36216724(+) agaaggaagagg >mm10_chr2:36231506-36231517(-)::chr2:36231505-36231517(-) GAGAGGAAGGAA >mm10_chr2:36234698-36234709(-)::chr2:36234697-36234709(-) aggaggaagtct >mm10_chr2:36240480-36240491(-)::chr2:36240479-36240491(-) AGCAGGAAGGCC >mm10_chr2:36271965-36271976(+)::chr2:36271964-36271976(+) CGCAGGAAGACA >mm10_chr2:36271978-36271989(+)::chr2:36271977-36271989(+) AATAGGAAGTCC >mm10_chr2:36272001-36272012(+)::chr2:36272000-36272012(+) AGAAGCAAGTCA >mm10_chr2:36703052-36703063(+)::chr2:36703051-36703063(+) ATCAGGAAGAAA >mm10_chr2:37332641-37332652(+)::chr2:37332640-37332652(+) ACAAGGAAGTCA >mm10_chr2:37332650-37332661(+)::chr2:37332649-37332661(+) TCAAGGAAGCCT >mm10_chr2:37351899-37351910(-)::chr2:37351898-37351910(-) AAAAGGAAGTCT >mm10_chr2:37358435-37358446(+)::chr2:37358434-37358446(+) GGAAGGAAGAAA >mm10_chr2:37358454-37358465(-)::chr2:37358453-37358465(-) ACAAGGAAATTG >mm10_chr2:37422481-37422492(+)::chr2:37422480-37422492(+) AGAAGGAAGCCG >mm10_chr2:37430877-37430888(+)::chr2:37430876-37430888(+) GGAAGGAAACGC >mm10_chr2:37452005-37452016(-)::chr2:37452004-37452016(-) TGAAGGAAGCCC >mm10_chr2:37516339-37516350(+)::chr2:37516338-37516350(+) ATGAGGAAGATT >mm10_chr2:37541056-37541067(-)::chr2:37541055-37541067(-) TCCAGGAAGTGG >mm10_chr2:37570978-37570989(+)::chr2:37570977-37570989(+) GCAAGGAAGGGT >mm10_chr2:37742693-37742704(+)::chr2:37742692-37742704(+) AGAAGGAAGCAC >mm10_chr2:37748649-37748660(+)::chr2:37748648-37748660(+) AGAAGGAAGCCG >mm10_chr2:37750762-37750773(+)::chr2:37750761-37750773(+) AGAAGGAACCGT >mm10_chr2:37750813-37750824(+)::chr2:37750812-37750824(+) TGGAGGAAGTAG >mm10_chr2:37757188-37757199(+)::chr2:37757187-37757199(+) AAGAGGAAGTGT >mm10_chr2:37757221-37757232(-)::chr2:37757220-37757232(-) TCCAGGAAGTGA >mm10_chr2:37842470-37842481(+)::chr2:37842469-37842481(+) TGAGGGAAGTGA >mm10_chr2:37842862-37842873(+)::chr2:37842861-37842873(+) AGCAGGAAGCTG >mm10_chr2:37847631-37847642(-)::chr2:37847630-37847642(-) TGAAGGAAATAG >mm10_chr2:37847658-37847669(-)::chr2:37847657-37847669(-) TAAAGGAAGCAG >mm10_chr2:37866657-37866668(+)::chr2:37866656-37866668(+) acaaggaaatcg >mm10_chr2:37866742-37866753(-)::chr2:37866741-37866753(-) accaggaagact >mm10_chr2:37867609-37867620(+)::chr2:37867608-37867620(+) AGCAGGAAGTCT >mm10_chr2:37867682-37867693(-)::chr2:37867681-37867693(-) gaaagGAAGCAA >mm10_chr2:37929322-37929333(+)::chr2:37929321-37929333(+) gacaggaagttc >mm10_chr2:37946169-37946180(-)::chr2:37946168-37946180(-) TGGAGGAAGTGC >mm10_chr2:37948339-37948350(-)::chr2:37948338-37948350(-) agaaggaaaagc >mm10_chr2:37948359-37948370(+)::chr2:37948358-37948370(+) aacaggaaggag >mm10_chr2:37995424-37995435(-)::chr2:37995423-37995435(-) AAAAGGAATTGG >mm10_chr2:38052818-38052829(-)::chr2:38052817-38052829(-) ACCAGGAAGGAG >mm10_chr2:38088149-38088160(-)::chr2:38088148-38088160(-) AGAAGAAAGTCA >mm10_chr2:38088190-38088201(+)::chr2:38088189-38088201(+) AAGAGGAAGTTG >mm10_chr2:38115058-38115069(-)::chr2:38115057-38115069(-) ATAAGGAAGTCT >mm10_chr2:38115463-38115474(+)::chr2:38115462-38115474(+) AGGAGGAAGAGA >mm10_chr2:38128151-38128162(+)::chr2:38128150-38128162(+) ACAAGGAAGTGT >mm10_chr2:38161979-38161990(+)::chr2:38161978-38161990(+) aggaggaagaaa >mm10_chr2:38161994-38162005(+)::chr2:38161993-38162005(+) agaaggaagaag >mm10_chr2:38162009-38162020(+)::chr2:38162008-38162020(+) aggaggaagagg >mm10_chr2:38162015-38162026(+)::chr2:38162014-38162026(+) aagaggaagagC >mm10_chr2:38184095-38184106(+)::chr2:38184094-38184106(+) AGCAGGAAGCAG >mm10_chr2:38196900-38196911(+)::chr2:38196899-38196911(+) AAAAGGAAGTGT >mm10_chr2:38196946-38196957(+)::chr2:38196945-38196957(+) TCCAGGAAGTAA >mm10_chr2:38211623-38211634(-)::chr2:38211622-38211634(-) tggaggaagtag >mm10_chr2:38259160-38259171(+)::chr2:38259159-38259171(+) ACAAGGAAATCC >mm10_chr2:38259205-38259216(+)::chr2:38259204-38259216(+) AAAGGGAAGTGG >mm10_chr2:38259356-38259367(-)::chr2:38259355-38259367(-) AGTAGGAAGAAC >mm10_chr2:38283391-38283402(-)::chr2:38283390-38283402(-) GGAAGGAAGTGT >mm10_chr2:38283415-38283426(-)::chr2:38283414-38283426(-) TCCAGGAAGTGG >mm10_chr2:38312501-38312512(-)::chr2:38312500-38312512(-) accaggaagtca >mm10_chr2:38447612-38447623(-)::chr2:38447611-38447623(-) agaaggaagatt >mm10_chr2:38447619-38447630(-)::chr2:38447618-38447630(-) acaaggaagaag >mm10_chr2:38504353-38504364(+)::chr2:38504352-38504364(+) accaggaactag >mm10_chr2:38514610-38514621(-)::chr2:38514609-38514621(-) ATGAGGAAGTGA >mm10_chr2:38514622-38514633(-)::chr2:38514621-38514633(-) AAACGGAAGTAA >mm10_chr2:38518921-38518932(-)::chr2:38518920-38518932(-) GACGGGAAGTTC >mm10_chr2:38533386-38533397(+)::chr2:38533385-38533397(+) GGAAGGAAGGGA >mm10_chr2:38643956-38643967(-)::chr2:38643955-38643967(-) CGCCGGAAGTGC >mm10_chr2:38644040-38644051(-)::chr2:38644039-38644051(-) TCTAGGAAGTGA >mm10_chr2:38658555-38658566(-)::chr2:38658554-38658566(-) AGAGGGAAATAA >mm10_chr2:38658576-38658587(+)::chr2:38658575-38658587(+) ACAAGGAAGCAG >mm10_chr2:38706402-38706413(+)::chr2:38706401-38706413(+) ACCAGGAAGGCG >mm10_chr2:38717665-38717676(-)::chr2:38717664-38717676(-) ACAAGGAAAAAG >mm10_chr2:38766345-38766356(+)::chr2:38766344-38766356(+) acaaggaagaca >mm10_chr2:38785277-38785288(-)::chr2:38785276-38785288(-) ATCAGGAAGGCT >mm10_chr2:38836573-38836584(-)::chr2:38836572-38836584(-) CTAAGGAAGATC >mm10_chr2:38842063-38842074(+)::chr2:38842062-38842074(+) gtaaggaagctc >mm10_chr2:38908229-38908240(+)::chr2:38908228-38908240(+) ACCAGGAAGAGG >mm10_chr2:38908256-38908267(+)::chr2:38908255-38908267(+) GAAAGGAAGGAG >mm10_chr2:38926532-38926543(+)::chr2:38926531-38926543(+) AACAGGAAGACC >mm10_chr2:38954002-38954013(-)::chr2:38954001-38954013(-) ACAAGGAAGAAA >mm10_chr2:38986736-38986747(-)::chr2:38986735-38986747(-) CTGAGGAAGTGG >mm10_chr2:39005145-39005156(-)::chr2:39005144-39005156(-) TTGAGGAAGTCG >mm10_chr2:39008048-39008059(+)::chr2:39008047-39008059(+) TCCCGGAAGTGG >mm10_chr2:39012872-39012883(+)::chr2:39012871-39012883(+) TTCAGGAAGATA >mm10_chr2:39032129-39032140(-)::chr2:39032128-39032140(-) AGAAGGAAaagg >mm10_chr2:39046964-39046975(+)::chr2:39046963-39046975(+) AACAGGAAGTAG >mm10_chr2:39046989-39047000(+)::chr2:39046988-39047000(+) AGGAGGAAGTCC >mm10_chr2:39049175-39049186(+)::chr2:39049174-39049186(+) AACAGGAAGCCA >mm10_chr2:39049213-39049224(+)::chr2:39049212-39049224(+) AGGAGGAAGAAA >mm10_chr2:39081844-39081855(-)::chr2:39081843-39081855(-) ACAAGGAACTGT >mm10_chr2:39300334-39300345(+)::chr2:39300333-39300345(+) atgaggaaggca >mm10_chr2:39326911-39326922(+)::chr2:39326910-39326922(+) tccaggaagttg >mm10_chr2:40984980-40984991(+)::chr2:40984979-40984991(+) ttgaggaagtct >mm10_chr2:41761445-41761456(+)::chr2:41761444-41761456(+) agcaggaaatgt >mm10_chr2:42390722-42390733(+)::chr2:42390721-42390733(+) agtaggaagtat >mm10_chr2:42390760-42390771(-)::chr2:42390759-42390771(-) acaaggaagaaa >mm10_chr2:43242214-43242225(+)::chr2:43242213-43242225(+) AAAATGAAGTTG >mm10_chr2:43242256-43242267(+)::chr2:43242255-43242267(+) ATAAGGAAGCAC >mm10_chr2:43519902-43519913(-)::chr2:43519901-43519913(-) TTAGGGAAGTCA >mm10_chr2:43583548-43583559(-)::chr2:43583547-43583559(-) AGCAGGAAGAGG >mm10_chr2:43583569-43583580(-)::chr2:43583568-43583580(-) AAGAGGAAGTAG >mm10_chr2:43684426-43684437(-)::chr2:43684425-43684437(-) aaaaggaagaag >mm10_chr2:43684446-43684457(-)::chr2:43684445-43684457(-) tacaggaagagg >mm10_chr2:43710929-43710940(+)::chr2:43710928-43710940(+) CACAGGAAGTCT >mm10_chr2:43710965-43710976(+)::chr2:43710964-43710976(+) GGGAGGAAGCAA >mm10_chr2:43931835-43931846(+)::chr2:43931834-43931846(+) acccggaagtcc >mm10_chr2:44053215-44053226(+)::chr2:44053214-44053226(+) AGCAGGAAATTG >mm10_chr2:44113009-44113020(+)::chr2:44113008-44113020(+) ACAAGGAAGTTG >mm10_chr2:44165519-44165530(+)::chr2:44165518-44165530(+) ATAAGGAAGCAG >mm10_chr2:44218403-44218414(+)::chr2:44218402-44218414(+) ACACGGATGTAA >mm10_chr2:44242931-44242942(-)::chr2:44242930-44242942(-) TGTAGGAAGGGA >mm10_chr2:44504463-44504474(+)::chr2:44504462-44504474(+) ttgaggaagtag >mm10_chr2:44539515-44539526(-)::chr2:44539514-44539526(-) AGAAGGAAAAAG >mm10_chr2:44549592-44549603(-)::chr2:44549591-44549603(-) GAACGGAAGTTC >mm10_chr2:44583511-44583522(+)::chr2:44583510-44583522(+) ATAAGGAAGAAG >mm10_chr2:44588668-44588679(+)::chr2:44588667-44588679(+) atcaggaaatgt >mm10_chr2:44588704-44588715(+)::chr2:44588703-44588715(+) TCCAGGAAGCGA >mm10_chr2:44603053-44603064(-)::chr2:44603052-44603064(-) CTAAGGAAGTAG >mm10_chr2:44639427-44639438(-)::chr2:44639426-44639438(-) AGAAGGAAGTGT >mm10_chr2:44712623-44712634(-)::chr2:44712622-44712634(-) tgcaggaagtat >mm10_chr2:44712647-44712658(+)::chr2:44712646-44712658(+) ggcaggaagtca >mm10_chr2:44745036-44745047(+)::chr2:44745035-44745047(+) TGGAGGAAGATG >mm10_chr2:44819777-44819788(+)::chr2:44819776-44819788(+) agaaggaaaaag >mm10_chr2:44819784-44819795(+)::chr2:44819783-44819795(+) aaaaggaagaag >mm10_chr2:44865650-44865661(+)::chr2:44865649-44865661(+) AAGAGGAAGGGG >mm10_chr2:44962384-44962395(+)::chr2:44962383-44962395(+) ACAAGGAAGTGC >mm10_chr2:44962411-44962422(-)::chr2:44962410-44962422(-) TAAAGGAAGGGA >mm10_chr2:44962455-44962466(-)::chr2:44962454-44962466(-) ACAAGGAAGTTC >mm10_chr2:44988718-44988729(-)::chr2:44988717-44988729(-) ggaagaAAGTGA >mm10_chr2:44988722-44988733(-)::chr2:44988721-44988733(-) aagaggaagaAA >mm10_chr2:44988728-44988739(-)::chr2:44988727-44988739(-) aggaggaagagg >mm10_chr2:44988737-44988748(-)::chr2:44988736-44988748(-) aggaggaagagg >mm10_chr2:45017451-45017462(-)::chr2:45017450-45017462(-) TGAAGGAAGATT >mm10_chr2:45143016-45143027(+)::chr2:45143015-45143027(+) aggaggaagtct >mm10_chr2:45143353-45143364(-)::chr2:45143352-45143364(-) GGCAGGAAGTAC >mm10_chr2:45262117-45262128(+)::chr2:45262116-45262128(+) AGAAGGAAGTGA >mm10_chr2:45288392-45288403(+)::chr2:45288391-45288403(+) ACAAGAAAGTCA >mm10_chr2:45288417-45288428(+)::chr2:45288416-45288428(+) AGCAGGAAGAAG >mm10_chr2:45421470-45421481(+)::chr2:45421469-45421481(+) CCAAGGAAGGTG >mm10_chr2:45554909-45554920(-)::chr2:45554908-45554920(-) AAGGGGAAGTAA >mm10_chr2:45554929-45554940(-)::chr2:45554928-45554940(-) ATAAGGAAATGA >mm10_chr2:45702444-45702455(-)::chr2:45702443-45702455(-) AAAAGGAAATAG >mm10_chr2:45758137-45758148(-)::chr2:45758136-45758148(-) AGGAGGAAGAAG >mm10_chr2:45776839-45776850(-)::chr2:45776838-45776850(-) TCAAGGAAGAAT >mm10_chr2:45788101-45788112(+)::chr2:45788100-45788112(+) TGAAGGAAGGGC >mm10_chr2:45813744-45813755(-)::chr2:45813743-45813755(-) TTAAGGAATTGA >mm10_chr2:46061834-46061845(-)::chr2:46061833-46061845(-) GGAAGGAAATGT >mm10_chr2:46061838-46061849(-)::chr2:46061837-46061849(-) AGGAGGAAGGAA >mm10_chr2:46185344-46185355(-)::chr2:46185343-46185355(-) AGAAGGAAAGGA >mm10_chr2:46185365-46185376(-)::chr2:46185364-46185376(-) GGGAGGAAATGG >mm10_chr2:46185384-46185395(-)::chr2:46185383-46185395(-) CTGAGGAAGTAA >mm10_chr2:46297615-46297626(+)::chr2:46297614-46297626(+) AAAAGGAACTTT >mm10_chr2:47333067-47333078(-)::chr2:47333066-47333078(-) TCAACGAAGTAA >mm10_chr2:47487550-47487561(-)::chr2:47487549-47487561(-) agcaggaagaga >mm10_chr2:47495544-47495555(+)::chr2:47495543-47495555(+) aagaggaagttg >mm10_chr2:47498292-47498303(+)::chr2:47498291-47498303(+) agaaggaagcac >mm10_chr2:47498323-47498334(+)::chr2:47498322-47498334(+) ataaggaaaagc >mm10_chr2:47631092-47631103(+)::chr2:47631091-47631103(+) ttaaggaagaaa >mm10_chr2:47689764-47689775(-)::chr2:47689763-47689775(-) acaaggaaataa >mm10_chr2:47914815-47914826(+)::chr2:47914814-47914826(+) AACAGGAAGAAA >mm10_chr2:48383691-48383702(-)::chr2:48383690-48383702(-) AGAAGGAAGCCC >mm10_chr2:48395863-48395874(+)::chr2:48395862-48395874(+) AATaggaagatg >mm10_chr2:48395875-48395886(+)::chr2:48395874-48395886(+) agcaggaagagg >mm10_chr2:48395887-48395898(+)::chr2:48395886-48395898(+) aggaggaagaag >mm10_chr2:48395894-48395905(+)::chr2:48395893-48395905(+) agaaggaaatga >mm10_chr2:48395929-48395940(+)::chr2:48395928-48395940(+) aacaggaagagg >mm10_chr2:48401207-48401218(-)::chr2:48401206-48401218(-) AGGCGGAAGTGA >mm10_chr2:48401214-48401225(-)::chr2:48401213-48401225(-) ACAGGGAAGGCG >mm10_chr2:48410153-48410164(+)::chr2:48410152-48410164(+) TCACGGAAGTGG >mm10_chr2:48456310-48456321(+)::chr2:48456309-48456321(+) TGCAGGAAGCAG >mm10_chr2:48456317-48456328(+)::chr2:48456316-48456328(+) AGCAGGAAGTCA >mm10_chr2:48619226-48619237(-)::chr2:48619225-48619237(-) AACAGGAAGTAA >mm10_chr2:48619259-48619270(-)::chr2:48619258-48619270(-) AGAAGGAAGCCG >mm10_chr2:48624669-48624680(+)::chr2:48624668-48624680(+) ATAAGGAAGGAG >mm10_chr2:48650521-48650532(+)::chr2:48650520-48650532(+) TGAAGGAAATGT >mm10_chr2:48789099-48789110(-)::chr2:48789098-48789110(-) GGAAGGAAGACT >mm10_chr2:48814122-48814133(+)::chr2:48814121-48814133(+) AACCGGAAGTGT >mm10_chr2:48814704-48814715(+)::chr2:48814703-48814715(+) CCGAGGAAGACC >mm10_chr2:48834228-48834239(+)::chr2:48834227-48834239(+) aagaggaagtgg >mm10_chr2:48834271-48834282(-)::chr2:48834270-48834282(-) gtcaggaagttg >mm10_chr2:48949387-48949398(-)::chr2:48949386-48949398(-) GGGAGGAAGTTG >mm10_chr2:48967433-48967444(-)::chr2:48967432-48967444(-) acaaggaagtaa >mm10_chr2:48967475-48967486(-)::chr2:48967474-48967486(-) aaaaggaagtag >mm10_chr2:48978916-48978927(-)::chr2:48978915-48978927(-) aggagtaagtat >mm10_chr2:49085160-49085171(+)::chr2:49085159-49085171(+) GTCAGGAAGGAA >mm10_chr2:49085164-49085175(+)::chr2:49085163-49085175(+) GGAAGGAAGCAT >mm10_chr2:49139632-49139643(+)::chr2:49139631-49139643(+) tgaaggaagtct >mm10_chr2:49139643-49139654(+)::chr2:49139642-49139654(+) ttaaggaaattg >mm10_chr2:49161592-49161603(+)::chr2:49161591-49161603(+) gcaaggaagtct >mm10_chr2:49313431-49313442(-)::chr2:49313430-49313442(-) CACAGGAAGTCC >mm10_chr2:49417198-49417209(+)::chr2:49417197-49417209(+) ATGAGGAAGCAA >mm10_chr2:49417244-49417255(+)::chr2:49417243-49417255(+) ATGAGGAAGAAC >mm10_chr2:49417520-49417531(-)::chr2:49417519-49417531(-) AGACGGAAGCAA >mm10_chr2:49417552-49417563(+)::chr2:49417551-49417563(+) TGCAGGAAGTGA >mm10_chr2:49417576-49417587(-)::chr2:49417575-49417587(-) TAAAGGAAGATG >mm10_chr2:49448294-49448305(+)::chr2:49448293-49448305(+) ACAAGGAAGCTT >mm10_chr2:49481721-49481732(-)::chr2:49481720-49481732(-) aggaggaagcag >mm10_chr2:49481733-49481744(-)::chr2:49481732-49481744(-) taaaggaaatta >mm10_chr2:49542377-49542388(-)::chr2:49542376-49542388(-) TATAGGAAGCAT >mm10_chr2:49559069-49559080(-)::chr2:49559068-49559080(-) tggaggaagtga >mm10_chr2:49628910-49628921(+)::chr2:49628909-49628921(+) AGCAGGAAATAC >mm10_chr2:49628928-49628939(-)::chr2:49628927-49628939(-) ACAGGGAAGTGT >mm10_chr2:49628948-49628959(-)::chr2:49628947-49628959(-) TACAGGAAGGAG >mm10_chr2:49770227-49770238(-)::chr2:49770226-49770238(-) AACAGGAAATAT >mm10_chr2:49770242-49770253(-)::chr2:49770241-49770253(-) TAAAGGAAGTGG >mm10_chr2:49881187-49881198(+)::chr2:49881186-49881198(+) aagaggaagcta >mm10_chr2:49951225-49951236(+)::chr2:49951224-49951236(+) TAAAGGAAGCAG >mm10_chr2:49951232-49951243(+)::chr2:49951231-49951243(+) AGCAGGAAGATG >mm10_chr2:49951897-49951908(-)::chr2:49951896-49951908(-) CAAAGGAAGCGA >mm10_chr2:50091589-50091600(-)::chr2:50091588-50091600(-) TGAAGGAAGTCA >mm10_chr2:50095464-50095475(+)::chr2:50095463-50095475(+) agcaggaagagg >mm10_chr2:50147447-50147458(+)::chr2:50147446-50147458(+) GGACGGAAGCAA >mm10_chr2:50147475-50147486(+)::chr2:50147474-50147486(+) ATGAGGAAGCGA >mm10_chr2:50176025-50176036(+)::chr2:50176024-50176036(+) TCCAGGAAGAGA >mm10_chr2:50403364-50403375(+)::chr2:50403363-50403375(+) ATGAGGAAGAGC >mm10_chr2:50433519-50433530(+)::chr2:50433518-50433530(+) ACGCGGAAGTAC >mm10_chr2:50433566-50433577(-)::chr2:50433565-50433577(-) TCCAGGAAGGTA >mm10_chr2:50444554-50444565(-)::chr2:50444553-50444565(-) AGAAGGAAGTGA >mm10_chr2:50539960-50539971(+)::chr2:50539959-50539971(+) agaaggaaagag >mm10_chr2:50629370-50629381(+)::chr2:50629369-50629381(+) GTGAGGAAGAAC >mm10_chr2:50645252-50645263(+)::chr2:50645251-50645263(+) agaaggaaggaa >mm10_chr2:50645256-50645267(+)::chr2:50645255-50645267(+) ggaaggaaggaa >mm10_chr2:50645268-50645279(+)::chr2:50645267-50645279(+) agaaggaagagt >mm10_chr2:50655257-50655268(-)::chr2:50655256-50655268(-) AAGAGGAAGTCT >mm10_chr2:50655280-50655291(-)::chr2:50655279-50655291(-) TCCAGGAAGAGG >mm10_chr2:50674505-50674516(+)::chr2:50674504-50674516(+) aataggaagttc >mm10_chr2:50674531-50674542(-)::chr2:50674530-50674542(-) gcaaggaagtaa >mm10_chr2:50676438-50676449(+)::chr2:50676437-50676449(+) GAAAGGAAGCCA >mm10_chr2:50687303-50687314(-)::chr2:50687302-50687314(-) GCAAGGAAGACC >mm10_chr2:50699059-50699070(-)::chr2:50699058-50699070(-) AGGAGGAAGTTT >mm10_chr2:50813981-50813992(+)::chr2:50813980-50813992(+) AACAGGAAGCAG >mm10_chr2:50900999-50901010(+)::chr2:50900998-50901010(+) AAGAGGAAATAT >mm10_chr2:50901012-50901023(+)::chr2:50901011-50901023(+) TGTAGGAAGTTG >mm10_chr2:50964340-50964351(+)::chr2:50964339-50964351(+) agaaggaaggag >mm10_chr2:50964347-50964358(+)::chr2:50964346-50964358(+) aggaggaaggca >mm10_chr2:51038114-51038125(-)::chr2:51038113-51038125(-) ACCAGGAAGATG >mm10_chr2:51068016-51068027(+)::chr2:51068015-51068027(+) GGCAGGAAATGA >mm10_chr2:51068065-51068076(-)::chr2:51068064-51068076(-) AACAGGAAGCCA >mm10_chr2:51089068-51089079(+)::chr2:51089067-51089079(+) ATCAGGAAGGAA >mm10_chr2:51108782-51108793(-)::chr2:51108781-51108793(-) CCAAGGAAGCAG >mm10_chr2:51110462-51110473(+)::chr2:51110461-51110473(+) TACAGGAAGCAA >mm10_chr2:51118954-51118965(+)::chr2:51118953-51118965(+) AGAGGGAAGAAA >mm10_chr2:51149177-51149188(+)::chr2:51149176-51149188(+) AGAAGGAAACCG >mm10_chr2:51166866-51166877(+)::chr2:51166865-51166877(+) CTCAGGAAGTAG >mm10_chr2:51166892-51166903(-)::chr2:51166891-51166903(-) AAGAGGAAGCAT >mm10_chr2:51175368-51175379(-)::chr2:51175367-51175379(-) AGGAGGAAGCAG >mm10_chr2:51177130-51177141(+)::chr2:51177129-51177141(+) AGAAGGAAAGGA >mm10_chr2:51177135-51177146(+)::chr2:51177134-51177146(+) GAAAGGAAGAGT >mm10_chr2:51196994-51197005(-)::chr2:51196993-51197005(-) GACAGGAAGAAG >mm10_chr2:51261829-51261840(+)::chr2:51261828-51261840(+) AGTAGGAAGCAG >mm10_chr2:51276557-51276568(+)::chr2:51276556-51276568(+) AAAAGGAAGCAA >mm10_chr2:51312226-51312237(-)::chr2:51312225-51312237(-) AGGAGGAAGTGT >mm10_chr2:51409968-51409979(-)::chr2:51409967-51409979(-) ataaggaaagat >mm10_chr2:51599714-51599725(-)::chr2:51599713-51599725(-) AAAAGGAAGCGT >mm10_chr2:51933788-51933799(-)::chr2:51933787-51933799(-) GCCAGGAAGTCA >mm10_chr2:51944276-51944287(-)::chr2:51944275-51944287(-) ataagcaagtgt >mm10_chr2:51944293-51944304(+)::chr2:51944292-51944304(+) gaagggaagtaa >mm10_chr2:51964848-51964859(-)::chr2:51964847-51964859(-) TGAAGGAAGCTG >mm10_chr2:51964908-51964919(-)::chr2:51964907-51964919(-) AGTAGGAAGTGG >mm10_chr2:51972407-51972418(+)::chr2:51972406-51972418(+) CACAGGAAGTTT >mm10_chr2:51973257-51973268(+)::chr2:51973256-51973268(+) ACCAGGAAGCTG >mm10_chr2:51981901-51981912(+)::chr2:51981900-51981912(+) GAAAGGAAGGAA >mm10_chr2:51981940-51981951(+)::chr2:51981939-51981951(+) TGACGGAAGAGT >mm10_chr2:51981955-51981966(+)::chr2:51981954-51981966(+) AAGAGGAAGTAG >mm10_chr2:52197275-52197286(+)::chr2:52197274-52197286(+) AAAAGGAAGTGC >mm10_chr2:52310848-52310859(-)::chr2:52310847-52310859(-) atgaggaaatGA >mm10_chr2:52315977-52315988(+)::chr2:52315976-52315988(+) TTGAGGAAGTAG >mm10_chr2:52316040-52316051(-)::chr2:52316039-52316051(-) GAGAGGAAGGAC >mm10_chr2:52324237-52324248(+)::chr2:52324236-52324248(+) AGAAGGAAAACA >mm10_chr2:52365995-52366006(-)::chr2:52365994-52366006(-) AACAGGAACTAT >mm10_chr2:52386080-52386091(+)::chr2:52386079-52386091(+) ACAAGGAAGAAA >mm10_chr2:52416167-52416178(-)::chr2:52416166-52416178(-) AATAGGAAGCAA >mm10_chr2:52438149-52438160(+)::chr2:52438148-52438160(+) AACAGGAAGACA >mm10_chr2:52438737-52438748(+)::chr2:52438736-52438748(+) TCAAGGAAGCGT >mm10_chr2:52472556-52472567(+)::chr2:52472555-52472567(+) ACAAGGAAAAGG >mm10_chr2:52483693-52483704(-)::chr2:52483692-52483704(-) AAAAGGAAATGG >mm10_chr2:52513367-52513378(+)::chr2:52513366-52513378(+) ACAGGGAAATAA >mm10_chr2:52516229-52516240(+)::chr2:52516228-52516240(+) ACAAGGAAGTTC >mm10_chr2:52516306-52516317(+)::chr2:52516305-52516317(+) AGAAGGAACTGC >mm10_chr2:52521213-52521224(+)::chr2:52521212-52521224(+) GGAAAGAAGTAA >mm10_chr2:52521227-52521238(+)::chr2:52521226-52521238(+) CCCAGGAAGTCA >mm10_chr2:52576748-52576759(+)::chr2:52576747-52576759(+) GAGAGGAAGTGA >mm10_chr2:52590984-52590995(+)::chr2:52590983-52590995(+) AACAGGAAGTCA >mm10_chr2:52623679-52623690(+)::chr2:52623678-52623690(+) AGCAGGAAGCTG >mm10_chr2:52628312-52628323(+)::chr2:52628311-52628323(+) AGTAGGAAGGAA >mm10_chr2:52628381-52628392(+)::chr2:52628380-52628392(+) AAGAGGAAGTCT >mm10_chr2:52638464-52638475(+)::chr2:52638463-52638475(+) AGAAGGAACTTC >mm10_chr2:52638469-52638480(-)::chr2:52638468-52638480(-) TGGAGGAAGTTC >mm10_chr2:52638514-52638525(+)::chr2:52638513-52638525(+) ATTAGGAAGGAA >mm10_chr2:52725749-52725760(+)::chr2:52725748-52725760(+) GGATGGAAGTAT >mm10_chr2:52742205-52742216(-)::chr2:52742204-52742216(-) CGGCGGAAGTGA >mm10_chr2:52742538-52742549(-)::chr2:52742537-52742549(-) CGAAGGAAACGA >mm10_chr2:52742585-52742596(-)::chr2:52742584-52742596(-) CCCAGGAAGTAC >mm10_chr2:52845167-52845178(+)::chr2:52845166-52845178(+) AGAAGGAAATCC >mm10_chr2:52897126-52897137(-)::chr2:52897125-52897137(-) ATAAGGAAGCAA >mm10_chr2:52915471-52915482(-)::chr2:52915470-52915482(-) ACTAGGAAGTCC >mm10_chr2:52915485-52915496(+)::chr2:52915484-52915496(+) ACAAGGACGCGA >mm10_chr2:52927602-52927613(+)::chr2:52927601-52927613(+) CGCAGGAAGTTT >mm10_chr2:52927650-52927661(+)::chr2:52927649-52927661(+) GTAGGGAAGTGA >mm10_chr2:52930166-52930177(+)::chr2:52930165-52930177(+) AACAGGAAATGG >mm10_chr2:52938474-52938485(-)::chr2:52938473-52938485(-) AGCAGGAAGAAG >mm10_chr2:52938526-52938537(-)::chr2:52938525-52938537(-) AAAAGGAAGATG >mm10_chr2:52960252-52960263(+)::chr2:52960251-52960263(+) ACAAGGAAGCCC >mm10_chr2:52960948-52960959(+)::chr2:52960947-52960959(+) GCAAGGAAGTAG >mm10_chr2:52980442-52980453(-)::chr2:52980441-52980453(-) AGAGGGAAGTGC >mm10_chr2:52991771-52991782(-)::chr2:52991770-52991782(-) ACCAGGAAGTTT >mm10_chr2:52998052-52998063(-)::chr2:52998051-52998063(-) AGCAGGAAATGG >mm10_chr2:52998107-52998118(+)::chr2:52998106-52998118(+) TGGAGGAAGTCA >mm10_chr2:53036576-53036587(+)::chr2:53036575-53036587(+) ACCAGGAAGGTA >mm10_chr2:53064841-53064852(-)::chr2:53064840-53064852(-) AGAAGGAAGAAT >mm10_chr2:53095138-53095149(+)::chr2:53095137-53095149(+) GTGAGGAAGGAG >mm10_chr2:53095564-53095575(+)::chr2:53095563-53095575(+) tgcaggaagcag >mm10_chr2:53105558-53105569(+)::chr2:53105557-53105569(+) ATAAGGAACTGG >mm10_chr2:53190794-53190805(-)::chr2:53190793-53190805(-) AGAAGGAAGGTG >mm10_chr2:53190924-53190935(-)::chr2:53190923-53190935(-) ATCAGGAAGAGA >mm10_chr2:53191439-53191450(+)::chr2:53191438-53191450(+) CGGAGGAAGTTT >mm10_chr2:53294703-53294714(+)::chr2:53294702-53294714(+) aacaggaagtga >mm10_chr2:53372962-53372973(-)::chr2:53372961-53372973(-) agaaggaagatg >mm10_chr2:53372974-53372985(-)::chr2:53372973-53372985(-) agaaggaagagg >mm10_chr2:53382574-53382585(-)::chr2:53382573-53382585(-) AGCAGGAATTAA >mm10_chr2:54084085-54084096(+)::chr2:54084084-54084096(+) ACCAGGAAGCCA >mm10_chr2:54853673-54853684(-)::chr2:54853672-54853684(-) GAAAGGAATACG >mm10_chr2:55222227-55222238(-)::chr2:55222226-55222238(-) atagggaagtag >mm10_chr2:55646033-55646044(+)::chr2:55646032-55646044(+) GAAAGGAAGCTG >mm10_chr2:56116168-56116179(-)::chr2:56116167-56116179(-) CACAGGAAGTGA >mm10_chr2:56982984-56982995(-)::chr2:56982983-56982995(-) ATAAGGAAGTGA >mm10_chr2:56983374-56983385(-)::chr2:56983373-56983385(-) ACAGGGAAGTGT >mm10_chr2:56993089-56993100(+)::chr2:56993088-56993100(+) AGCAGGAAGCTG >mm10_chr2:57100178-57100189(+)::chr2:57100177-57100189(+) AACAGGAAGTTC >mm10_chr2:57101404-57101415(-)::chr2:57101403-57101415(-) GGAAGGAAGCTG >mm10_chr2:57101408-57101419(-)::chr2:57101407-57101419(-) AGAAGGAAGGAA >mm10_chr2:57101470-57101481(-)::chr2:57101469-57101481(-) GGAAGGAACCGC >mm10_chr2:57101474-57101485(-)::chr2:57101473-57101485(-) AGAAGGAAGGAA >mm10_chr2:57231730-57231741(+)::chr2:57231729-57231741(+) aaaaggaagcag >mm10_chr2:57238967-57238978(+)::chr2:57238966-57238978(+) AGGAGGAAATGC >mm10_chr2:57253528-57253539(-)::chr2:57253527-57253539(-) TAAAGGAAGCCC >mm10_chr2:57616805-57616816(-)::chr2:57616804-57616816(-) AGAAGGATGTGA >mm10_chr2:57616821-57616832(-)::chr2:57616820-57616832(-) ACCAGGAAGGGG >mm10_chr2:57627453-57627464(+)::chr2:57627452-57627464(+) AAAAGAAAGTGA >mm10_chr2:57721823-57721834(-)::chr2:57721822-57721834(-) acaagaaagtgg >mm10_chr2:57903599-57903610(-)::chr2:57903598-57903610(-) AGCAGGAAGTGT >mm10_chr2:57903668-57903679(-)::chr2:57903667-57903679(-) AGAAGGAAACGG >mm10_chr2:57940158-57940169(-)::chr2:57940157-57940169(-) GCAAGGAAGGGT >mm10_chr2:57940219-57940230(-)::chr2:57940218-57940230(-) ATGAGGAAGCCA >mm10_chr2:57997897-57997908(+)::chr2:57997896-57997908(+) TGAAGGAAGTTT >mm10_chr2:57998248-57998259(-)::chr2:57998247-57998259(-) AGCAGGAAATAG >mm10_chr2:58059938-58059949(+)::chr2:58059937-58059949(+) agaaggaagttt >mm10_chr2:58059988-58059999(-)::chr2:58059987-58059999(-) gtgaggaagtgg >mm10_chr2:58159133-58159144(-)::chr2:58159132-58159144(-) GTAAGGAAATGT >mm10_chr2:58159161-58159172(+)::chr2:58159160-58159172(+) TCAAGGAAGTTG >mm10_chr2:58165589-58165600(+)::chr2:58165588-58165600(+) AAGAGGAAGAGG >mm10_chr2:58197020-58197031(-)::chr2:58197019-58197031(-) ctgaggaagtcc >mm10_chr2:58217331-58217342(+)::chr2:58217330-58217342(+) ggcaggaaatag >mm10_chr2:58217338-58217349(+)::chr2:58217337-58217349(+) aataggaagtgg >mm10_chr2:58249533-58249544(+)::chr2:58249532-58249544(+) taaaggaaatca >mm10_chr2:58282654-58282665(+)::chr2:58282653-58282665(+) ACAGGGAAGTGA >mm10_chr2:58282694-58282705(+)::chr2:58282693-58282705(+) ACAAGGAAACAC >mm10_chr2:58285998-58286009(-)::chr2:58285997-58286009(-) AGCAGGAAGCAG >mm10_chr2:58412345-58412356(+)::chr2:58412344-58412356(+) ATAAGGAAAATG >mm10_chr2:58418197-58418208(+)::chr2:58418196-58418208(+) aaaaggaagagg >mm10_chr2:58418206-58418217(+)::chr2:58418205-58418217(+) aggaggaagaag >mm10_chr2:58474222-58474233(+)::chr2:58474221-58474233(+) GAAAGGAAGACC >mm10_chr2:58474238-58474249(-)::chr2:58474237-58474249(-) ATCAGGAAGTGG >mm10_chr2:58484296-58484307(+)::chr2:58484295-58484307(+) AACAGGAAGTGT >mm10_chr2:58484351-58484362(+)::chr2:58484350-58484362(+) ACCAGGAAGTGC >mm10_chr2:58485393-58485404(+)::chr2:58485392-58485404(+) TTAAGGAAGGAT >mm10_chr2:58487378-58487389(+)::chr2:58487377-58487389(+) AGAAGGAAGAAG >mm10_chr2:58489768-58489779(-)::chr2:58489767-58489779(-) TTCAGGAAGTAG >mm10_chr2:58496837-58496848(-)::chr2:58496836-58496848(-) aagaggaaggga >mm10_chr2:58497146-58497157(-)::chr2:58497145-58497157(-) AGGAGGAAGTGG >mm10_chr2:58567234-58567245(+)::chr2:58567233-58567245(+) aggaggaAGTGG >mm10_chr2:58567303-58567314(-)::chr2:58567302-58567314(-) GGGAGGAAATGA >mm10_chr2:58673019-58673030(-)::chr2:58673018-58673030(-) GCAAGGAAGCCA >mm10_chr2:58673033-58673044(+)::chr2:58673032-58673044(+) AAGAGGAAGATC >mm10_chr2:58752404-58752415(+)::chr2:58752403-58752415(+) AAGAGGAAGTTC >mm10_chr2:58761301-58761312(+)::chr2:58761300-58761312(+) AAAAGGAAGCCA >mm10_chr2:58779341-58779352(+)::chr2:58779340-58779352(+) GAAAGGAAGTAC >mm10_chr2:58779349-58779360(-)::chr2:58779348-58779360(-) CTAAGGAAGTAC >mm10_chr2:58826692-58826703(-)::chr2:58826691-58826703(-) GAAGGGAAGTAT >mm10_chr2:58826717-58826728(-)::chr2:58826716-58826728(-) TGGAGGAAGCGA >mm10_chr2:58826725-58826736(+)::chr2:58826724-58826736(+) TCCAGGAAGGAT >mm10_chr2:58829428-58829439(+)::chr2:58829427-58829439(+) ACAGGGAAGTCC >mm10_chr2:58990725-58990736(+)::chr2:58990724-58990736(+) agcaggaagcag >mm10_chr2:58990732-58990743(+)::chr2:58990731-58990743(+) agcaggaagcag >mm10_chr2:58990739-58990750(+)::chr2:58990738-58990750(+) agcaggaagcag >mm10_chr2:58990746-58990757(+)::chr2:58990745-58990757(+) agcaggaaggaa >mm10_chr2:59171738-59171749(-)::chr2:59171737-59171749(-) GACAGGAAGTGG >mm10_chr2:59200477-59200488(-)::chr2:59200476-59200488(-) TCAAGGAAGCTG >mm10_chr2:59200508-59200519(-)::chr2:59200507-59200519(-) TAAAGGAAGAAT >mm10_chr2:59220024-59220035(-)::chr2:59220023-59220035(-) TACAGGAAGGGC >mm10_chr2:59286485-59286496(+)::chr2:59286484-59286496(+) GGAAGGAAGTGG >mm10_chr2:59316751-59316762(-)::chr2:59316750-59316762(-) GTATGGAAGTGG >mm10_chr2:59316768-59316779(-)::chr2:59316767-59316779(-) ACAAGGAACTGG >mm10_chr2:59332761-59332772(-)::chr2:59332760-59332772(-) AGGAGGAAGTCA >mm10_chr2:59338644-59338655(-)::chr2:59338643-59338655(-) AACAGGAAGTAA >mm10_chr2:59540027-59540038(+)::chr2:59540026-59540038(+) tccaggaagtct >mm10_chr2:59540062-59540073(+)::chr2:59540061-59540073(+) ataagcaagacg >mm10_chr2:59562695-59562706(+)::chr2:59562694-59562706(+) AACAGGAAGAGT >mm10_chr2:59590619-59590630(+)::chr2:59590618-59590630(+) aggaggaagagg >mm10_chr2:59590625-59590636(+)::chr2:59590624-59590636(+) aagaggaagggg >mm10_chr2:59590635-59590646(+)::chr2:59590634-59590646(+) ggaaggaaggaa >mm10_chr2:59590639-59590650(+)::chr2:59590638-59590650(+) ggaaggaaggga >mm10_chr2:59601165-59601176(-)::chr2:59601164-59601176(-) aaaaggaaggga >mm10_chr2:59604802-59604813(+)::chr2:59604801-59604813(+) agcaggaagccc >mm10_chr2:59604829-59604840(-)::chr2:59604828-59604840(-) agcaggaagact >mm10_chr2:59604842-59604853(-)::chr2:59604841-59604853(-) atgaggaagtct >mm10_chr2:59611331-59611342(-)::chr2:59611330-59611342(-) TGGAGGAAGAAC >mm10_chr2:59611358-59611369(+)::chr2:59611357-59611369(+) ACAAGGAAGCTA >mm10_chr2:59612937-59612948(-)::chr2:59612936-59612948(-) TCCAGGAAGAAC >mm10_chr2:59613684-59613695(+)::chr2:59613683-59613695(+) GGGAGGAAGAGA >mm10_chr2:59616638-59616649(-)::chr2:59616637-59616649(-) ACTAGGAAATGA >mm10_chr2:59622795-59622806(+)::chr2:59622794-59622806(+) ATACGGAAGTGT >mm10_chr2:59622856-59622867(-)::chr2:59622855-59622867(-) ACAAGGAAATGA >mm10_chr2:59626972-59626983(+)::chr2:59626971-59626983(+) AGGAGGAAGAGA >mm10_chr2:59628037-59628048(+)::chr2:59628036-59628048(+) Tggaggaaggag >mm10_chr2:59628044-59628055(+)::chr2:59628043-59628055(+) aggaggaagagg >mm10_chr2:59628059-59628070(+)::chr2:59628058-59628070(+) cggaggaagagg >mm10_chr2:59629375-59629386(-)::chr2:59629374-59629386(-) ACCAGGAAGCTA >mm10_chr2:59632941-59632952(+)::chr2:59632940-59632952(+) AGAAGGAAGAAG >mm10_chr2:59632948-59632959(+)::chr2:59632947-59632959(+) AGAAGGAAGGAT >mm10_chr2:59645754-59645765(+)::chr2:59645753-59645765(+) AGGAGGAAATAG >mm10_chr2:59653328-59653339(-)::chr2:59653327-59653339(-) TTCAGGAAGTTC >mm10_chr2:59668637-59668648(-)::chr2:59668636-59668648(-) ggaaggaaattc >mm10_chr2:59668641-59668652(-)::chr2:59668640-59668652(-) aaaaggaaggaa >mm10_chr2:59668665-59668676(-)::chr2:59668664-59668676(-) acaatgaagtac >mm10_chr2:59669041-59669052(+)::chr2:59669040-59669052(+) TAAAGGAAGCCC >mm10_chr2:59669064-59669075(+)::chr2:59669063-59669075(+) ACGAGGAAGTGT >mm10_chr2:59670405-59670416(-)::chr2:59670404-59670416(-) aggaggaagagg >mm10_chr2:59670449-59670460(-)::chr2:59670448-59670460(-) ACAAGTAAGTCA >mm10_chr2:59676380-59676391(+)::chr2:59676379-59676391(+) ACAAGGAAATAG >mm10_chr2:59676425-59676436(+)::chr2:59676424-59676436(+) GTGAGGAAGTGC >mm10_chr2:59687365-59687376(-)::chr2:59687364-59687376(-) AGGAGGAAATGC >mm10_chr2:59699416-59699427(+)::chr2:59699415-59699427(+) AGAAGGAAGCAG >mm10_chr2:59713735-59713746(+)::chr2:59713734-59713746(+) ATAAGGAAGTTT >mm10_chr2:59713756-59713767(+)::chr2:59713755-59713767(+) TGAAGGAAGAGA >mm10_chr2:59721349-59721360(+)::chr2:59721348-59721360(+) AACAGGAAGCGT >mm10_chr2:59730643-59730654(-)::chr2:59730642-59730654(-) agaaggaagtag >mm10_chr2:59747679-59747690(+)::chr2:59747678-59747690(+) AAGAGGAAATAG >mm10_chr2:59750105-59750116(-)::chr2:59750104-59750116(-) GAAAGGAAGCCT >mm10_chr2:59775315-59775326(+)::chr2:59775314-59775326(+) AGAAGGAAAAGA >mm10_chr2:59780161-59780172(-)::chr2:59780160-59780172(-) CAAAGGAAGGTC >mm10_chr2:59780223-59780234(-)::chr2:59780222-59780234(-) AGAAGGAAAGAA >mm10_chr2:59795007-59795018(+)::chr2:59795006-59795018(+) AGGAGGAAGCGG >mm10_chr2:59802926-59802937(+)::chr2:59802925-59802937(+) AAAAGGAACCGG >mm10_chr2:59802943-59802954(+)::chr2:59802942-59802954(+) CAAAGGAAGGGA >mm10_chr2:59802948-59802959(+)::chr2:59802947-59802959(+) GAAGGGAAGTAG >mm10_chr2:59815178-59815189(-)::chr2:59815177-59815189(-) AGCAGGAAATGc >mm10_chr2:59815223-59815234(+)::chr2:59815222-59815234(+) AACAGGAAGATT >mm10_chr2:59815239-59815250(-)::chr2:59815238-59815250(-) AGCAGGAAGTAT >mm10_chr2:59830256-59830267(-)::chr2:59830255-59830267(-) CCAAGGAAGTGG >mm10_chr2:59830288-59830299(-)::chr2:59830287-59830299(-) TCCAGGAAGTAG >mm10_chr2:59842899-59842910(-)::chr2:59842898-59842910(-) ATGGGGAAGTGG >mm10_chr2:59842922-59842933(+)::chr2:59842921-59842933(+) AAGAGGAAGACA >mm10_chr2:59901802-59901813(+)::chr2:59901801-59901813(+) TGAGGGAAGTGG >mm10_chr2:59969292-59969303(-)::chr2:59969291-59969303(-) AAGAGGAAGAAG >mm10_chr2:59969298-59969309(-)::chr2:59969297-59969309(-) AAGAGGAAGAGG >mm10_chr2:59975497-59975508(+)::chr2:59975496-59975508(+) ATAAGGAAGTCA >mm10_chr2:59976685-59976696(+)::chr2:59976684-59976696(+) ACAAGGAAGTAA >mm10_chr2:59976689-59976700(+)::chr2:59976688-59976700(+) GGAAGTAAGTGC >mm10_chr2:59985431-59985442(+)::chr2:59985430-59985442(+) ACAAGGAAGGAG >mm10_chr2:59985465-59985476(+)::chr2:59985464-59985476(+) AGAAGGAAGGAA >mm10_chr2:60007248-60007259(+)::chr2:60007247-60007259(+) ATGAGGAAGAAG >mm10_chr2:60007255-60007266(+)::chr2:60007254-60007266(+) AGAAGGAAGGAC >mm10_chr2:60007259-60007270(+)::chr2:60007258-60007270(+) GGAAGGACGGTA >mm10_chr2:60023374-60023385(-)::chr2:60023373-60023385(-) ggaaggaagctg >mm10_chr2:60023390-60023401(-)::chr2:60023389-60023401(-) acgaggaagttg >mm10_chr2:60031193-60031204(-)::chr2:60031192-60031204(-) GAGAGGAAGAAG >mm10_chr2:60037420-60037431(-)::chr2:60037419-60037431(-) TGCAGGAAGTAC >mm10_chr2:60037444-60037455(-)::chr2:60037443-60037455(-) ACCAGGAAATCA >mm10_chr2:60046223-60046234(+)::chr2:60046222-60046234(+) ATGAGGAAGTGA >mm10_chr2:60046266-60046277(+)::chr2:60046265-60046277(+) AGTAGGAAGAGC >mm10_chr2:60071144-60071155(-)::chr2:60071143-60071155(-) taaaggaagcaa >mm10_chr2:60071956-60071967(+)::chr2:60071955-60071967(+) AGGAGGAAGCCA >mm10_chr2:60076239-60076250(+)::chr2:60076238-60076250(+) aaaaggaagagc >mm10_chr2:60083137-60083148(+)::chr2:60083136-60083148(+) GCAAGGAAACGG >mm10_chr2:60145750-60145761(-)::chr2:60145749-60145761(-) ACAAGGAAATGA >mm10_chr2:60209892-60209903(+)::chr2:60209891-60209903(+) AGGAGGAAGGGC >mm10_chr2:60224956-60224967(-)::chr2:60224955-60224967(-) AACAGGAAGAAC >mm10_chr2:60234816-60234827(-)::chr2:60234815-60234827(-) GCCAGGAAGAAT >mm10_chr2:60239535-60239546(-)::chr2:60239534-60239546(-) TAAAGGAAGTGA >mm10_chr2:60260933-60260944(+)::chr2:60260932-60260944(+) aaaaggaagtaa >mm10_chr2:60260954-60260965(+)::chr2:60260953-60260965(+) gtaaggaaatag >mm10_chr2:60269512-60269523(-)::chr2:60269511-60269523(-) tcaaggaagagg >mm10_chr2:60298487-60298498(-)::chr2:60298486-60298498(-) aggaggaaatgg >mm10_chr2:60298538-60298549(-)::chr2:60298537-60298549(-) agcaggaagttg >mm10_chr2:60405647-60405658(-)::chr2:60405646-60405658(-) AGGAGGAAGAAA >mm10_chr2:60405678-60405689(-)::chr2:60405677-60405689(-) ATCAGGAAGTTG >mm10_chr2:60619866-60619877(+)::chr2:60619865-60619877(+) AGAAGGATGTCT >mm10_chr2:60660896-60660907(+)::chr2:60660895-60660907(+) caaaggaagact >mm10_chr2:60673719-60673730(-)::chr2:60673718-60673730(-) ATGAGGAAGTGA >mm10_chr2:60673738-60673749(+)::chr2:60673737-60673749(+) ATAAGGAAGTCA >mm10_chr2:60689380-60689391(-)::chr2:60689379-60689391(-) GAAAGGAAGGAA >mm10_chr2:60694564-60694575(+)::chr2:60694563-60694575(+) accaggaagcag >mm10_chr2:60694578-60694589(+)::chr2:60694577-60694589(+) acaaggaaggtc >mm10_chr2:60694608-60694619(-)::chr2:60694607-60694619(-) aaaaggaagaaa >mm10_chr2:60723985-60723996(-)::chr2:60723984-60723996(-) AGAAGGAAGGTC >mm10_chr2:60723992-60724003(-)::chr2:60723991-60724003(-) ACCAGGAAGAAG >mm10_chr2:60810786-60810797(-)::chr2:60810785-60810797(-) GACAGGAAGTGT >mm10_chr2:60810832-60810843(-)::chr2:60810831-60810843(-) AGCAGGAAGCCA >mm10_chr2:60813155-60813166(-)::chr2:60813154-60813166(-) GCAGGGAAGTGG >mm10_chr2:60825918-60825929(+)::chr2:60825917-60825929(+) AGCAGGAAGAGT >mm10_chr2:60839044-60839055(+)::chr2:60839043-60839055(+) GCAAGGAAGGAA >mm10_chr2:60839048-60839059(+)::chr2:60839047-60839059(+) GGAAGGAAATGA >mm10_chr2:60839076-60839087(+)::chr2:60839075-60839087(+) AGAAGGAAGGAC >mm10_chr2:60856333-60856344(-)::chr2:60856332-60856344(-) GGAAGGAAGGAC >mm10_chr2:60856337-60856348(-)::chr2:60856336-60856348(-) TGCAGGAAGGAA >mm10_chr2:60858300-60858311(-)::chr2:60858299-60858311(-) agcaggaaggta >mm10_chr2:60865345-60865356(-)::chr2:60865344-60865356(-) GTCAGGAAATAC >mm10_chr2:60876398-60876409(+)::chr2:60876397-60876409(+) AGCAGGAAGAAG >mm10_chr2:60876429-60876440(+)::chr2:60876428-60876440(+) TGAAGGAGGTAA >mm10_chr2:60884165-60884176(+)::chr2:60884164-60884176(+) atgaggaagcag >mm10_chr2:60884189-60884200(+)::chr2:60884188-60884200(+) aacaggaagctg >mm10_chr2:60901657-60901668(+)::chr2:60901656-60901668(+) ACCAGGAAGCAA >mm10_chr2:60962916-60962927(-)::chr2:60962915-60962927(-) aggaggaCGTCG >mm10_chr2:60962952-60962963(-)::chr2:60962951-60962963(-) aggaggaagagc >mm10_chr2:61060746-61060757(+)::chr2:61060745-61060757(+) AGAAGGAAGATT >mm10_chr2:61071174-61071185(-)::chr2:61071173-61071185(-) ttgaggaagtgt >mm10_chr2:61117874-61117885(+)::chr2:61117873-61117885(+) GGCAGGAAATGA >mm10_chr2:61123607-61123618(-)::chr2:61123606-61123618(-) gaagggaagtac >mm10_chr2:61123612-61123623(-)::chr2:61123611-61123623(-) agagggaaggga >mm10_chr2:61139492-61139503(-)::chr2:61139491-61139503(-) ACCGGGAAGTTC >mm10_chr2:61167353-61167364(+)::chr2:61167352-61167364(+) ATAAGGAAGAAA >mm10_chr2:61167576-61167587(-)::chr2:61167575-61167587(-) ACCAGGAAGAAG >mm10_chr2:61176843-61176854(+)::chr2:61176842-61176854(+) AAGAGGAAGAAA >mm10_chr2:61246861-61246872(+)::chr2:61246860-61246872(+) CAAAGGAAGCAC >mm10_chr2:61275009-61275020(+)::chr2:61275008-61275020(+) ataaggaaaata >mm10_chr2:61362841-61362852(-)::chr2:61362840-61362852(-) atccggaagtag >mm10_chr2:61398551-61398562(-)::chr2:61398550-61398562(-) TTAAGGAAGCTT >mm10_chr2:61398583-61398594(-)::chr2:61398582-61398594(-) GGAAGGAAGAGA >mm10_chr2:61398587-61398598(-)::chr2:61398586-61398598(-) TACAGGAAGGAA >mm10_chr2:61436923-61436934(-)::chr2:61436922-61436934(-) AAAAAGAAGTGA >mm10_chr2:61454490-61454501(-)::chr2:61454489-61454501(-) CAAAGGAAGGGA >mm10_chr2:61539520-61539531(-)::chr2:61539519-61539531(-) agaaggaaaggg >mm10_chr2:61556553-61556564(-)::chr2:61556552-61556564(-) ACAAGGAAGGGT >mm10_chr2:61583071-61583082(-)::chr2:61583070-61583082(-) aaaaggaagcag >mm10_chr2:61585590-61585601(+)::chr2:61585589-61585601(+) acaAGGATGTTC >mm10_chr2:61585613-61585624(-)::chr2:61585612-61585624(-) TTAAGGAAGTGA >mm10_chr2:61593134-61593145(-)::chr2:61593133-61593145(-) AACCGGAAGTAG >mm10_chr2:61593693-61593704(-)::chr2:61593692-61593704(-) AGGGGGAAGTTA >mm10_chr2:61608856-61608867(+)::chr2:61608855-61608867(+) AAGAGGAAATAG >mm10_chr2:61695221-61695232(-)::chr2:61695220-61695232(-) GTAAGGAAGAAA >mm10_chr2:61711714-61711725(+)::chr2:61711713-61711725(+) GAAAGGAAGCCG >mm10_chr2:61711724-61711735(+)::chr2:61711723-61711735(+) CGAAGGAAGCCG >mm10_chr2:61711739-61711750(+)::chr2:61711738-61711750(+) ACCCGGAAGTAC >mm10_chr2:61803633-61803644(+)::chr2:61803632-61803644(+) AGGAGGAAGTGC >mm10_chr2:61807430-61807441(+)::chr2:61807429-61807441(+) ACACGGATGTAA >mm10_chr2:61991389-61991400(-)::chr2:61991388-61991400(-) atgaggaagaga >mm10_chr2:62105426-62105437(+)::chr2:62105425-62105437(+) AAAAGGAAGGAT >mm10_chr2:62135149-62135160(-)::chr2:62135148-62135160(-) AAACGGAAGGTG >mm10_chr2:62226564-62226575(+)::chr2:62226563-62226575(+) acaaggaagcag >mm10_chr2:62226572-62226583(+)::chr2:62226571-62226583(+) gcagggaagtag >mm10_chr2:62328571-62328582(+)::chr2:62328570-62328582(+) AGAAGGAAAAAG >mm10_chr2:62328583-62328594(+)::chr2:62328582-62328594(+) AAAAGGAAGATG >mm10_chr2:62361814-62361825(+)::chr2:62361813-62361825(+) GTGAGGAAGTGT >mm10_chr2:62362955-62362966(-)::chr2:62362954-62362966(-) ctagggaagtag >mm10_chr2:62390999-62391010(+)::chr2:62390998-62391010(+) TCAAGGAAGATG >mm10_chr2:62391389-62391400(-)::chr2:62391388-62391400(-) ACGAGGAAGGAG >mm10_chr2:62400029-62400040(+)::chr2:62400028-62400040(+) ATGAGGAAGTGC >mm10_chr2:62455015-62455026(-)::chr2:62455014-62455026(-) GAAAGGAAATAG >mm10_chr2:62455067-62455078(-)::chr2:62455066-62455078(-) ACTAGGAAGTTA >mm10_chr2:62461022-62461033(+)::chr2:62461021-62461033(+) tccaggaagtga >mm10_chr2:62511365-62511376(-)::chr2:62511364-62511376(-) AGAAGCAAGTGA >mm10_chr2:62643581-62643592(-)::chr2:62643580-62643592(-) AGAAGGAAGAGC >mm10_chr2:62662840-62662851(+)::chr2:62662839-62662851(+) tgaaggaagcct >mm10_chr2:62692812-62692823(-)::chr2:62692811-62692823(-) aagaggaagaag >mm10_chr2:62692818-62692829(-)::chr2:62692817-62692829(-) aggaggaagagg >mm10_chr2:63127777-63127788(-)::chr2:63127776-63127788(-) AGCAGGAAATTT >mm10_chr2:63127819-63127830(+)::chr2:63127818-63127830(+) TTAAGGAAGTAT >mm10_chr2:63574190-63574201(+)::chr2:63574189-63574201(+) GCAGGGAAGTTC >mm10_chr2:63828760-63828771(+)::chr2:63828759-63828771(+) TGCAGGAAGAAC >mm10_chr2:63828823-63828834(-)::chr2:63828822-63828834(-) AACCGGAAGTGA >mm10_chr2:64043290-64043301(+)::chr2:64043289-64043301(+) GCCAGGAAGTGT >mm10_chr2:64043310-64043321(+)::chr2:64043309-64043321(+) AAGAGGAAGGCA >mm10_chr2:64115165-64115176(-)::chr2:64115164-64115176(-) ATAAGGAAGTTC >mm10_chr2:64120600-64120611(+)::chr2:64120599-64120611(+) AATAGGAAGTTT >mm10_chr2:64127818-64127829(-)::chr2:64127817-64127829(-) ATAAGGAAGCCA >mm10_chr2:64127850-64127861(-)::chr2:64127849-64127861(-) TTAAGGAAATAT >mm10_chr2:64224633-64224644(+)::chr2:64224632-64224644(+) AGAAGGAAATCA >mm10_chr2:64389587-64389598(+)::chr2:64389586-64389598(+) AGAAGGAATTAG >mm10_chr2:64396168-64396179(+)::chr2:64396167-64396179(+) TGAAGGATGTGG >mm10_chr2:64396186-64396197(+)::chr2:64396185-64396197(+) AGGAGGAAGAGG >mm10_chr2:64396192-64396203(+)::chr2:64396191-64396203(+) AAGAGGAAGACA >mm10_chr2:64985574-64985585(+)::chr2:64985573-64985585(+) CAAAGGAAGAAG >mm10_chr2:65011838-65011849(+)::chr2:65011837-65011849(+) aggaggaagagg >mm10_chr2:65011844-65011855(+)::chr2:65011843-65011855(+) aagaggaagaag >mm10_chr2:65113347-65113358(+)::chr2:65113346-65113358(+) TCAAGGAAGGAG >mm10_chr2:65189477-65189488(-)::chr2:65189476-65189488(-) GGAAGGAAGCTG >mm10_chr2:65189493-65189504(-)::chr2:65189492-65189504(-) TTCAGGAAGGTA >mm10_chr2:65192256-65192267(-)::chr2:65192255-65192267(-) TGCAGGAAGTAG >mm10_chr2:65193599-65193610(-)::chr2:65193598-65193610(-) AGAAGGAAGTGG >mm10_chr2:65204787-65204798(-)::chr2:65204786-65204798(-) ACAAGGAACTCT >mm10_chr2:65207949-65207960(+)::chr2:65207948-65207960(+) ACAGGGAAGTGT >mm10_chr2:65207973-65207984(+)::chr2:65207972-65207984(+) AGGAGGAAGTGA >mm10_chr2:65214530-65214541(-)::chr2:65214529-65214541(-) TTAATGAAGTAC >mm10_chr2:65214545-65214556(+)::chr2:65214544-65214556(+) TAAAGGAAGCCT >mm10_chr2:65215426-65215437(+)::chr2:65215425-65215437(+) aagaggaagaga >mm10_chr2:65215438-65215449(+)::chr2:65215437-65215449(+) acaaggaagaag >mm10_chr2:65215462-65215473(+)::chr2:65215461-65215473(+) ttaaggaagagg >mm10_chr2:65215468-65215479(+)::chr2:65215467-65215479(+) aagaggaagaCA >mm10_chr2:65216410-65216421(+)::chr2:65216409-65216421(+) aggaggaagagg >mm10_chr2:65216416-65216427(+)::chr2:65216415-65216427(+) aagaggaaggga >mm10_chr2:65237987-65237998(-)::chr2:65237986-65237998(-) GCAAGGAAGGGG >mm10_chr2:65239210-65239221(+)::chr2:65239209-65239221(+) GAGAGGAAGCGT >mm10_chr2:65256834-65256845(-)::chr2:65256833-65256845(-) aggaggaagagg >mm10_chr2:65256855-65256866(-)::chr2:65256854-65256866(-) aagaggaagaag >mm10_chr2:65256861-65256872(-)::chr2:65256860-65256872(-) Tggaggaagagg >mm10_chr2:65256872-65256883(-)::chr2:65256871-65256883(-) AGCAGGAAATGT >mm10_chr2:65274182-65274193(-)::chr2:65274181-65274193(-) tcaaggaagtca >mm10_chr2:65282627-65282638(-)::chr2:65282626-65282638(-) TCCAGGAAGGGA >mm10_chr2:65283162-65283173(+)::chr2:65283161-65283173(+) AACAGGAAATCA >mm10_chr2:65283170-65283181(+)::chr2:65283169-65283181(+) ATCAGGAAGTCA >mm10_chr2:65294229-65294240(+)::chr2:65294228-65294240(+) TCAAGGAAGCCC >mm10_chr2:65294239-65294250(+)::chr2:65294238-65294250(+) CCGCGGAAGTAA >mm10_chr2:65333708-65333719(+)::chr2:65333707-65333719(+) agcaggaagtag >mm10_chr2:65391512-65391523(+)::chr2:65391511-65391523(+) tgaaggaagtac >mm10_chr2:65391550-65391561(-)::chr2:65391549-65391561(-) aagaggaagtgg >mm10_chr2:65419665-65419676(+)::chr2:65419664-65419676(+) gccaggaagcac >mm10_chr2:65419690-65419701(+)::chr2:65419689-65419701(+) GGAAAGAAGTAA >mm10_chr2:65419700-65419711(-)::chr2:65419699-65419711(-) ACAAGGAAGTTT >mm10_chr2:65822887-65822898(+)::chr2:65822886-65822898(+) AACAGGAAGCAA >mm10_chr2:65870706-65870717(-)::chr2:65870705-65870717(-) AGAAGGAACTCA >mm10_chr2:65870728-65870739(+)::chr2:65870727-65870739(+) ATGAGGAAGTTT >mm10_chr2:65916114-65916125(+)::chr2:65916113-65916125(+) CGAAGGAAGATT >mm10_chr2:66025692-66025703(-)::chr2:66025691-66025703(-) GAAAGGAAGTCA >mm10_chr2:66025746-66025757(-)::chr2:66025745-66025757(-) AGCAGGAAGAAC >mm10_chr2:66055567-66055578(+)::chr2:66055566-66055578(+) aggaggaagagg >mm10_chr2:66055573-66055584(+)::chr2:66055572-66055584(+) aagaggaagaag >mm10_chr2:66055594-66055605(+)::chr2:66055593-66055605(+) aagaggaagagg >mm10_chr2:66081101-66081112(-)::chr2:66081100-66081112(-) GAAAGGAAATGA >mm10_chr2:66115078-66115089(-)::chr2:66115077-66115089(-) AGCAGGAAGAGG >mm10_chr2:66118787-66118798(+)::chr2:66118786-66118798(+) GACAGGAAGTGA >mm10_chr2:66123944-66123955(-)::chr2:66123943-66123955(-) AGGAGGAAATGG >mm10_chr2:66125151-66125162(-)::chr2:66125150-66125162(-) GGAAGGAAGCTC >mm10_chr2:66144986-66144997(+)::chr2:66144985-66144997(+) AGGAGGAAGTTC >mm10_chr2:66145002-66145013(+)::chr2:66145001-66145013(+) TCCAGGAAGTTC >mm10_chr2:66151611-66151622(-)::chr2:66151610-66151622(-) AACAGGAAATGC >mm10_chr2:66158480-66158491(+)::chr2:66158479-66158491(+) gtaagtaagtaa >mm10_chr2:66158484-66158495(+)::chr2:66158483-66158495(+) gtaagtaagtaa >mm10_chr2:66158488-66158499(+)::chr2:66158487-66158499(+) gtaagtaagtaa >mm10_chr2:66158718-66158729(+)::chr2:66158717-66158729(+) TTGAGGAAGTCC >mm10_chr2:66627783-66627794(-)::chr2:66627782-66627794(-) AGAGGGAAGGGA >mm10_chr2:66987119-66987130(-)::chr2:66987118-66987130(-) acaaggaactag >mm10_chr2:67045969-67045980(-)::chr2:67045968-67045980(-) ggaaggaagaga >mm10_chr2:67045973-67045984(-)::chr2:67045972-67045984(-) aacaggaaggaa >mm10_chr2:67313333-67313344(+)::chr2:67313332-67313344(+) cacaggaaataa >mm10_chr2:67313346-67313357(-)::chr2:67313345-67313357(-) aagaggaagtca >mm10_chr2:68214530-68214541(+)::chr2:68214529-68214541(+) ACTAGGAAGTCC >mm10_chr2:68259680-68259691(-)::chr2:68259679-68259691(-) agcaggaagcag >mm10_chr2:68365621-68365632(+)::chr2:68365620-68365632(+) AAAAGGAAGCGC >mm10_chr2:68376991-68377002(-)::chr2:68376990-68377002(-) TCGAGGAAGTAC >mm10_chr2:68381283-68381294(+)::chr2:68381282-68381294(+) AGCAGGAAGCAG >mm10_chr2:68381304-68381315(-)::chr2:68381303-68381315(-) GCAAGGAAGAAG >mm10_chr2:68382644-68382655(-)::chr2:68382643-68382655(-) ACAAGGAAGTGG >mm10_chr2:68385783-68385794(+)::chr2:68385782-68385794(+) ACACGGAAGGGC >mm10_chr2:68386727-68386738(-)::chr2:68386726-68386738(-) TTCAGGAAGTGA >mm10_chr2:68405515-68405526(+)::chr2:68405514-68405526(+) AGTAGGAAGCAG >mm10_chr2:68411421-68411432(-)::chr2:68411420-68411432(-) GCAAGGAAGGGC >mm10_chr2:68412637-68412648(+)::chr2:68412636-68412648(+) GGAAGCAAGTGA >mm10_chr2:68413019-68413030(-)::chr2:68413018-68413030(-) ATGAGGAAATGA >mm10_chr2:68429406-68429417(+)::chr2:68429405-68429417(+) ACTAGGAAGAGG >mm10_chr2:68429652-68429663(+)::chr2:68429651-68429663(+) ATGAGGAAGGCA >mm10_chr2:68433075-68433086(+)::chr2:68433074-68433086(+) GTGAGGAAGAGG >mm10_chr2:68438092-68438103(-)::chr2:68438091-68438103(-) atcaggaagccg >mm10_chr2:68441604-68441615(+)::chr2:68441603-68441615(+) CCGAGGAAGTAG >mm10_chr2:68442762-68442773(-)::chr2:68442761-68442773(-) AGAAGGAAGCCC >mm10_chr2:68442793-68442804(+)::chr2:68442792-68442804(+) GCCAGGAAGGAA >mm10_chr2:68442797-68442808(+)::chr2:68442796-68442808(+) GGAAGGAAATGG >mm10_chr2:68465782-68465793(+)::chr2:68465781-68465793(+) AGGAGGAAGTTG >mm10_chr2:68466213-68466224(-)::chr2:68466212-68466224(-) GGCAGGAAATGC >mm10_chr2:68466239-68466250(+)::chr2:68466238-68466250(+) CTAAGGAAGGCA >mm10_chr2:68466262-68466273(+)::chr2:68466261-68466273(+) AGAAGGAAGGTA >mm10_chr2:68525481-68525492(-)::chr2:68525480-68525492(-) TAGAGGAAATAA >mm10_chr2:68525507-68525518(-)::chr2:68525506-68525518(-) AAGAGGAAGGAT >mm10_chr2:68525634-68525645(-)::chr2:68525633-68525645(-) taaaggaattgt >mm10_chr2:68694656-68694667(-)::chr2:68694655-68694667(-) AACAGGAACTAA >mm10_chr2:68740146-68740157(+)::chr2:68740145-68740157(+) TCAAGGAAGTGC >mm10_chr2:68756852-68756863(-)::chr2:68756851-68756863(-) GACAGGAAATGT >mm10_chr2:68756860-68756871(-)::chr2:68756859-68756871(-) ATGAGGAAGACA >mm10_chr2:68927291-68927302(+)::chr2:68927290-68927302(+) GAAAGGAAGAAG >mm10_chr2:68927298-68927309(+)::chr2:68927297-68927309(+) AGAAGGAAATTC >mm10_chr2:68972144-68972155(+)::chr2:68972143-68972155(+) GACAGGAAGAAG >mm10_chr2:68972151-68972162(+)::chr2:68972150-68972162(+) AGAAGGAACTGA >mm10_chr2:69135741-69135752(-)::chr2:69135740-69135752(-) AGGAGGAAGTTC >mm10_chr2:69221299-69221310(+)::chr2:69221298-69221310(+) ATAAGGAAGAGA >mm10_chr2:69221334-69221345(-)::chr2:69221333-69221345(-) ACAAGGAAGTCT >mm10_chr2:69248317-69248328(-)::chr2:69248316-69248328(-) ACAAGGAAGCAG >mm10_chr2:69248330-69248341(-)::chr2:69248329-69248341(-) AGAAGGAAGCAA >mm10_chr2:69266358-69266369(+)::chr2:69266357-69266369(+) GGAAGGAAGAGT >mm10_chr2:69266379-69266390(+)::chr2:69266378-69266390(+) AGGAGGAAGAGG >mm10_chr2:69309039-69309050(+)::chr2:69309038-69309050(+) TTCAGGAAATAA >mm10_chr2:69328627-69328638(+)::chr2:69328626-69328638(+) AGTAGGAAATGG >mm10_chr2:69328633-69328644(+)::chr2:69328632-69328644(+) AAATGGAAGTGA >mm10_chr2:69328659-69328670(+)::chr2:69328658-69328670(+) ATAAGGAAGCCA >mm10_chr2:69357770-69357781(+)::chr2:69357769-69357781(+) AACAGGAAATCC >mm10_chr2:69357814-69357825(-)::chr2:69357813-69357825(-) AGAAGGAAGTCA >mm10_chr2:69357824-69357835(-)::chr2:69357823-69357835(-) ATGAGGAAGAAG >mm10_chr2:69365583-69365594(-)::chr2:69365582-69365594(-) GCAAGGAAGAGG >mm10_chr2:69373216-69373227(+)::chr2:69373215-69373227(+) AACAGGAAGAAG >mm10_chr2:69373817-69373828(+)::chr2:69373816-69373828(+) gcaaggaagcag >mm10_chr2:69391698-69391709(-)::chr2:69391697-69391709(-) AGGAGGAAGTCC >mm10_chr2:69441380-69441391(-)::chr2:69441379-69441391(-) ATCCGGAAGTAC >mm10_chr2:69546227-69546238(+)::chr2:69546226-69546238(+) AACAGGAAGGAc >mm10_chr2:69546235-69546246(-)::chr2:69546234-69546246(-) aggaggaagTCC >mm10_chr2:69546244-69546255(-)::chr2:69546243-69546255(-) gggaggaagagg >mm10_chr2:69590217-69590228(+)::chr2:69590216-69590228(+) AGGAGGAAATAC >mm10_chr2:69613359-69613370(-)::chr2:69613358-69613370(-) gtaagtaagtcc >mm10_chr2:69613428-69613439(+)::chr2:69613427-69613439(+) agcaggaagcag >mm10_chr2:69630935-69630946(+)::chr2:69630934-69630946(+) AGAAGGAAGGCT >mm10_chr2:69674727-69674738(+)::chr2:69674726-69674738(+) ACAAGTAAGTGC >mm10_chr2:69674784-69674795(-)::chr2:69674783-69674795(-) aagaggaagaaA >mm10_chr2:69674790-69674801(-)::chr2:69674789-69674801(-) aagaggaagagg >mm10_chr2:69713492-69713503(+)::chr2:69713491-69713503(+) CCAAGGAAGGTC >mm10_chr2:69722808-69722819(-)::chr2:69722807-69722819(-) CGCCGGAAGTAC >mm10_chr2:69789598-69789609(+)::chr2:69789597-69789609(+) ACCAGGAAGGAG >mm10_chr2:69856113-69856124(-)::chr2:69856112-69856124(-) agtaggaagaag >mm10_chr2:69856134-69856145(-)::chr2:69856133-69856145(-) aGGAGGAAGTgg >mm10_chr2:69885604-69885615(+)::chr2:69885603-69885615(+) TGCCGGAAGTAC >mm10_chr2:69889171-69889182(+)::chr2:69889170-69889182(+) acaaagaagtag >mm10_chr2:69889214-69889225(+)::chr2:69889213-69889225(+) accgggaagtag >mm10_chr2:69898963-69898974(-)::chr2:69898962-69898974(-) AGAAGGAACTGG >mm10_chr2:69911168-69911179(+)::chr2:69911167-69911179(+) GGCAGGAAGCAG >mm10_chr2:70012891-70012902(-)::chr2:70012890-70012902(-) gggaggaagagc >mm10_chr2:70026674-70026685(+)::chr2:70026673-70026685(+) GTAAGGAAGATC >mm10_chr2:70261977-70261988(-)::chr2:70261976-70261988(-) CAAAGGAAGCAT >mm10_chr2:70262830-70262841(+)::chr2:70262829-70262841(+) AGAAGGAACTCC >mm10_chr2:70262895-70262906(+)::chr2:70262894-70262906(+) ACCAGGAAGGCA >mm10_chr2:70277966-70277977(+)::chr2:70277965-70277977(+) GCCAGGAAGGAA >mm10_chr2:70277970-70277981(+)::chr2:70277969-70277981(+) GGAAGGAAGGCC >mm10_chr2:70293885-70293896(-)::chr2:70293884-70293896(-) tacaggaaggcc >mm10_chr2:70293898-70293909(+)::chr2:70293897-70293909(+) aggaggaagttg >mm10_chr2:70322004-70322015(-)::chr2:70322003-70322015(-) CAAAGGAAGTTG >mm10_chr2:70344561-70344572(+)::chr2:70344560-70344572(+) TGCAGGAAATGG >mm10_chr2:70344644-70344655(-)::chr2:70344643-70344655(-) GGCAGGAAGGTT >mm10_chr2:70347767-70347778(+)::chr2:70347766-70347778(+) TGTAGGAAGTGC >mm10_chr2:70355200-70355211(-)::chr2:70355199-70355211(-) GGCAGGAAGTGC >mm10_chr2:70355257-70355268(+)::chr2:70355256-70355268(+) CCAAGGAAGACA >mm10_chr2:70419312-70419323(-)::chr2:70419311-70419323(-) TTCAGGAAGTAG >mm10_chr2:70503590-70503601(+)::chr2:70503589-70503601(+) TAAGGGAAGTAG >mm10_chr2:70503613-70503624(+)::chr2:70503612-70503624(+) AACAGGAAGTAT >mm10_chr2:70503655-70503666(+)::chr2:70503654-70503666(+) AGAAGGAAGTGT >mm10_chr2:70505533-70505544(+)::chr2:70505532-70505544(+) AGCAGGAAGTTC >mm10_chr2:70506005-70506016(+)::chr2:70506004-70506016(+) AAGAGGAAGTAG >mm10_chr2:70593937-70593948(+)::chr2:70593936-70593948(+) tagaggaaggag >mm10_chr2:70597317-70597328(+)::chr2:70597316-70597328(+) CCAAGGAAGTTC >mm10_chr2:70660585-70660596(-)::chr2:70660584-70660596(-) agaaggaagttg >mm10_chr2:70670205-70670216(-)::chr2:70670204-70670216(-) agaaggaaagag >mm10_chr2:70670245-70670256(-)::chr2:70670244-70670256(-) gccaggaagaac >mm10_chr2:70682286-70682297(+)::chr2:70682285-70682297(+) AAGAGGAAGAAG >mm10_chr2:70752237-70752248(+)::chr2:70752236-70752248(+) ATGAGGAAGGAG >mm10_chr2:70783704-70783715(+)::chr2:70783703-70783715(+) GCCAGGAAGGAG >mm10_chr2:70813742-70813753(-)::chr2:70813741-70813753(-) ATAAGGAAGACT >mm10_chr2:70838474-70838485(+)::chr2:70838473-70838485(+) GAAAGGAAGAAA >mm10_chr2:70838509-70838520(+)::chr2:70838508-70838520(+) aagaggaagaga >mm10_chr2:71044770-71044781(+)::chr2:71044769-71044781(+) ACAAGGATGTTA >mm10_chr2:71055806-71055817(+)::chr2:71055805-71055817(+) GCCAGGAAGGCG >mm10_chr2:71091257-71091268(-)::chr2:71091256-71091268(-) ACAAGGAAGAGG >mm10_chr2:71121454-71121465(+)::chr2:71121453-71121465(+) ATGAGGAAGAGT >mm10_chr2:71162860-71162871(-)::chr2:71162859-71162871(-) GAGAGGAAGTGG >mm10_chr2:71168996-71169007(+)::chr2:71168995-71169007(+) aaaaggaagagg >mm10_chr2:71169002-71169013(+)::chr2:71169001-71169013(+) aagaggaagaaa >mm10_chr2:71177527-71177538(-)::chr2:71177526-71177538(-) TCAAGGAAATAT >mm10_chr2:71177544-71177555(+)::chr2:71177543-71177555(+) ATGAGGAAGTTA >mm10_chr2:71194804-71194815(-)::chr2:71194803-71194815(-) GAGAGGAAGTCC >mm10_chr2:71327960-71327971(+)::chr2:71327959-71327971(+) AACAGGAAGTCC >mm10_chr2:71328012-71328023(-)::chr2:71328011-71328023(-) TGCAGGAAGTGA >mm10_chr2:71336388-71336399(-)::chr2:71336387-71336399(-) agcaggaaggtc >mm10_chr2:71389230-71389241(-)::chr2:71389229-71389241(-) GGCCGGAAGTGA >mm10_chr2:71437073-71437084(-)::chr2:71437072-71437084(-) cagaggaagtgt >mm10_chr2:71458632-71458643(+)::chr2:71458631-71458643(+) AGCAGGAAGACT >mm10_chr2:71527145-71527156(-)::chr2:71527144-71527156(-) TTCAGGAAGTGA >mm10_chr2:71594672-71594683(+)::chr2:71594671-71594683(+) TGGAGGAAGGAA >mm10_chr2:71594676-71594687(+)::chr2:71594675-71594687(+) GGAAGGAAGATT >mm10_chr2:71607161-71607172(-)::chr2:71607160-71607172(-) ATGCGGAAGTAC >mm10_chr2:71608297-71608308(+)::chr2:71608296-71608308(+) AAAAGGAAGAAG >mm10_chr2:71608304-71608315(+)::chr2:71608303-71608315(+) AGAAGGAAATTG >mm10_chr2:71608345-71608356(-)::chr2:71608344-71608356(-) TCTAGGAAGTCG >mm10_chr2:71608813-71608824(+)::chr2:71608812-71608824(+) ACACGGAAATAG >mm10_chr2:71633080-71633091(-)::chr2:71633079-71633091(-) TGAATGAAGTAC >mm10_chr2:71633103-71633114(+)::chr2:71633102-71633114(+) AGGAGGAAGAAC >mm10_chr2:71633125-71633136(+)::chr2:71633124-71633136(+) GTAGGGAAGTGT >mm10_chr2:71637647-71637658(+)::chr2:71637646-71637658(+) aaaaggaaggaa >mm10_chr2:71637671-71637682(+)::chr2:71637670-71637682(+) agaaggaagacA >mm10_chr2:71637703-71637714(+)::chr2:71637702-71637714(+) ATCAGGAAGAAG >mm10_chr2:71644142-71644153(+)::chr2:71644141-71644153(+) GTCAGGAAGTGA >mm10_chr2:71666763-71666774(-)::chr2:71666762-71666774(-) aagaggaagagg >mm10_chr2:71666775-71666786(-)::chr2:71666774-71666786(-) aggaggaagaag >mm10_chr2:71698521-71698532(-)::chr2:71698520-71698532(-) AGGAGGAAGAAT >mm10_chr2:71706724-71706735(-)::chr2:71706723-71706735(-) aagaggaaataa >mm10_chr2:71765813-71765824(+)::chr2:71765812-71765824(+) TGAAGGAAGATA >mm10_chr2:71772940-71772951(-)::chr2:71772939-71772951(-) AGCAGGAAGAAG >mm10_chr2:71772947-71772958(-)::chr2:71772946-71772958(-) AGGAGGAAGCAG >mm10_chr2:71772954-71772965(-)::chr2:71772953-71772965(-) AGCAGGAAGGAG >mm10_chr2:71791014-71791025(+)::chr2:71791013-71791025(+) TAAAGGATGCGA >mm10_chr2:71808356-71808367(+)::chr2:71808355-71808367(+) TAAAGGAAGTGT >mm10_chr2:71811098-71811109(+)::chr2:71811097-71811109(+) TTAAGGAAATGA >mm10_chr2:71813998-71814009(-)::chr2:71813997-71814009(-) ACCAGGAAATGA >mm10_chr2:71814191-71814202(+)::chr2:71814190-71814202(+) AACAGGAAGTAG >mm10_chr2:71814237-71814248(+)::chr2:71814236-71814248(+) AAGAGGAAGTGG >mm10_chr2:71866782-71866793(-)::chr2:71866781-71866793(-) GGCAGGAAATGG >mm10_chr2:71866820-71866831(+)::chr2:71866819-71866831(+) TTAAGGAAGTGG >mm10_chr2:71866832-71866843(-)::chr2:71866831-71866843(-) ACAAGGAAGAAC >mm10_chr2:71875283-71875294(-)::chr2:71875282-71875294(-) GGAAGGAACTAG >mm10_chr2:71875287-71875298(-)::chr2:71875286-71875298(-) GAGAGGAAGGAA >mm10_chr2:71896998-71897009(+)::chr2:71896997-71897009(+) ACACGGAAGTCC >mm10_chr2:71897015-71897026(+)::chr2:71897014-71897026(+) TGAAGGAAGTCA >mm10_chr2:71958643-71958654(+)::chr2:71958642-71958654(+) AGGAGGAAGGCG >mm10_chr2:71958689-71958700(-)::chr2:71958688-71958700(-) GCAAGGAAGCTG >mm10_chr2:71960883-71960894(+)::chr2:71960882-71960894(+) ACACGGAAGCAG >mm10_chr2:71960890-71960901(+)::chr2:71960889-71960901(+) AGCAGGAAGTTT >mm10_chr2:72035875-72035886(-)::chr2:72035874-72035886(-) ACAAGGAACTGG >mm10_chr2:72039249-72039260(-)::chr2:72039248-72039260(-) AGCAGGAAGGCA >mm10_chr2:72054827-72054838(+)::chr2:72054826-72054838(+) aaaaggaaGTCT >mm10_chr2:72054883-72054894(-)::chr2:72054882-72054894(-) GGGAGGAAGCAG >mm10_chr2:72070368-72070379(-)::chr2:72070367-72070379(-) AGCAGGAAGTCA >mm10_chr2:72070389-72070400(-)::chr2:72070388-72070400(-) AGCAGGAAGTGC >mm10_chr2:72108027-72108038(-)::chr2:72108026-72108038(-) ttaaggaagcga >mm10_chr2:72117848-72117859(-)::chr2:72117847-72117859(-) AGCAGGAAATGA >mm10_chr2:72117867-72117878(+)::chr2:72117866-72117878(+) ACGAGGAAGTGG >mm10_chr2:72123716-72123727(+)::chr2:72123715-72123727(+) ACCAGGAAGAAT >mm10_chr2:72285730-72285741(-)::chr2:72285729-72285741(-) ATGAGGAAGACG >mm10_chr2:72295552-72295563(+)::chr2:72295551-72295563(+) aagaggaagtgt >mm10_chr2:72321869-72321880(+)::chr2:72321868-72321880(+) AGAAGGAAGAGG >mm10_chr2:72331203-72331214(+)::chr2:72331202-72331214(+) AAAAGTAAGTGT >mm10_chr2:72372585-72372596(+)::chr2:72372584-72372596(+) GGGAGGAAGTAG >mm10_chr2:72372608-72372619(-)::chr2:72372607-72372619(-) AGCAGGAAATGC >mm10_chr2:72396090-72396101(+)::chr2:72396089-72396101(+) TTAAGGAAGCTC >mm10_chr2:72396101-72396112(-)::chr2:72396100-72396112(-) ACTAGGAAGATG >mm10_chr2:72410123-72410134(-)::chr2:72410122-72410134(-) TGAAGGAAATGA >mm10_chr2:72410153-72410164(-)::chr2:72410152-72410164(-) CAAAGGAAGGCA >mm10_chr2:72411161-72411172(-)::chr2:72411160-72411172(-) AACAGGAAGCTG >mm10_chr2:72421085-72421096(+)::chr2:72421084-72421096(+) ATCAGGAAGTAA >mm10_chr2:72421111-72421122(+)::chr2:72421110-72421122(+) ATCAGGAAATGT >mm10_chr2:72439303-72439314(-)::chr2:72439302-72439314(-) ACAAGGAAGCAA >mm10_chr2:72442943-72442954(+)::chr2:72442942-72442954(+) AGCAGGAAGCTC >mm10_chr2:72442985-72442996(-)::chr2:72442984-72442996(-) AATAGGAAGTAT >mm10_chr2:72470399-72470410(+)::chr2:72470398-72470410(+) AGGAGGAAGCAG >mm10_chr2:72470406-72470417(+)::chr2:72470405-72470417(+) AGCAGGAAGCAT >mm10_chr2:72511266-72511277(+)::chr2:72511265-72511277(+) aggaggaagagg >mm10_chr2:72511278-72511289(+)::chr2:72511277-72511289(+) aggaggaagaga >mm10_chr2:72511287-72511298(+)::chr2:72511286-72511298(+) agaaggaaaagg >mm10_chr2:72511293-72511304(+)::chr2:72511292-72511304(+) aaaaggaagagg >mm10_chr2:72613166-72613177(-)::chr2:72613165-72613177(-) atgaggaagggt >mm10_chr2:72613226-72613237(+)::chr2:72613225-72613237(+) ggcaggaagtgg >mm10_chr2:72639733-72639744(+)::chr2:72639732-72639744(+) AGAAGGAAGTAC >mm10_chr2:72710933-72710944(+)::chr2:72710932-72710944(+) ataaggaagttc >mm10_chr2:72752676-72752687(-)::chr2:72752675-72752687(-) AACAGGAAGTAG >mm10_chr2:72852611-72852622(-)::chr2:72852610-72852622(-) TCCAGGAAGTAG >mm10_chr2:72852619-72852630(+)::chr2:72852618-72852630(+) TGGAGGAAGAGG >mm10_chr2:72898527-72898538(-)::chr2:72898526-72898538(-) ACAGGGAAATAC >mm10_chr2:72898544-72898555(-)::chr2:72898543-72898555(-) TTCAGGAAGTAA >mm10_chr2:72925995-72926006(-)::chr2:72925994-72926006(-) ACAAGGAAACAT >mm10_chr2:72932286-72932297(+)::chr2:72932285-72932297(+) ACAAGGAAGGCC >mm10_chr2:72984430-72984441(-)::chr2:72984429-72984441(-) AGGAGGAAGTGT >mm10_chr2:72997195-72997206(+)::chr2:72997194-72997206(+) AACAGGAAGACG >mm10_chr2:73003825-73003836(+)::chr2:73003824-73003836(+) GCCAGGAAATAG >mm10_chr2:73003865-73003876(+)::chr2:73003864-73003876(+) TGGAGGAAGGAA >mm10_chr2:73041281-73041292(-)::chr2:73041280-73041292(-) aggaggaAGGAA >mm10_chr2:73041288-73041299(-)::chr2:73041287-73041299(-) aggaggaaggag >mm10_chr2:73041300-73041311(-)::chr2:73041299-73041311(-) aggaggaagagg >mm10_chr2:73060410-73060421(-)::chr2:73060409-73060421(-) CGGAGGAAGTGA >mm10_chr2:73060422-73060433(+)::chr2:73060421-73060433(+) AAAAGGAAATGA >mm10_chr2:73111991-73112002(+)::chr2:73111990-73112002(+) AACAGGAAGGAC >mm10_chr2:73159978-73159989(-)::chr2:73159977-73159989(-) ACAAGGAAGTCC >mm10_chr2:73159995-73160006(+)::chr2:73159994-73160006(+) AGCAGGAAGTCA >mm10_chr2:73214850-73214861(-)::chr2:73214849-73214861(-) ACGAGGAAGAAG >mm10_chr2:73214905-73214916(+)::chr2:73214904-73214916(+) AGAAGGAAACAT >mm10_chr2:73227745-73227756(+)::chr2:73227744-73227756(+) aggaggaaggag >mm10_chr2:73227752-73227763(+)::chr2:73227751-73227763(+) aggaggaaggag >mm10_chr2:73227763-73227774(+)::chr2:73227762-73227774(+) ggacggaaggca >mm10_chr2:73277153-73277164(-)::chr2:73277152-73277164(-) TAGAGGAAGAAG >mm10_chr2:73312609-73312620(-)::chr2:73312608-73312620(-) CCACGGAAGTAG >mm10_chr2:73313194-73313205(-)::chr2:73313193-73313205(-) taacggaaggga >mm10_chr2:73386871-73386882(-)::chr2:73386870-73386882(-) AGCCGGAAGTAC >mm10_chr2:73458121-73458132(+)::chr2:73458120-73458132(+) AGAAGGAAGCTA >mm10_chr2:73458147-73458158(+)::chr2:73458146-73458158(+) AGAAGGAAGCCT >mm10_chr2:73485794-73485805(+)::chr2:73485793-73485805(+) GTAAGGAAGGAA >mm10_chr2:73485803-73485814(+)::chr2:73485802-73485814(+) GAAAGGAAGGAA >mm10_chr2:73485807-73485818(+)::chr2:73485806-73485818(+) GGAAGGAAGGGG >mm10_chr2:73486478-73486489(-)::chr2:73486477-73486489(-) GTGAGGAAGTGC >mm10_chr2:73491253-73491264(+)::chr2:73491252-73491264(+) AGAAGGAAGAGG >mm10_chr2:73512179-73512190(+)::chr2:73512178-73512190(+) AGGAGGAAGTCC >mm10_chr2:73524737-73524748(+)::chr2:73524736-73524748(+) TATaggaaggaa >mm10_chr2:73524741-73524752(+)::chr2:73524740-73524752(+) ggaaggaagagg >mm10_chr2:73524748-73524759(+)::chr2:73524747-73524759(+) agagggaaggga >mm10_chr2:73524770-73524781(+)::chr2:73524769-73524781(+) agaaggaaggaa >mm10_chr2:73524774-73524785(+)::chr2:73524773-73524785(+) ggaaggaagaaa >mm10_chr2:73531552-73531563(+)::chr2:73531551-73531563(+) TGCAGGAAGGAT >mm10_chr2:73531556-73531567(+)::chr2:73531555-73531567(+) GGAAGGATGTGG >mm10_chr2:73554616-73554627(+)::chr2:73554615-73554627(+) AAGAGGAAGTGT >mm10_chr2:73606949-73606960(+)::chr2:73606948-73606960(+) aggaggaaatgg >mm10_chr2:73606978-73606989(+)::chr2:73606977-73606989(+) aggaggaaggaa >mm10_chr2:73606982-73606993(+)::chr2:73606981-73606993(+) ggaaggaaggaa >mm10_chr2:73606986-73606997(+)::chr2:73606985-73606997(+) ggaaggaaggaa >mm10_chr2:73606990-73607001(+)::chr2:73606989-73607001(+) ggaaggaagatg >mm10_chr2:73609161-73609172(-)::chr2:73609160-73609172(-) aaagggaagtcc >mm10_chr2:73675163-73675174(+)::chr2:73675162-73675174(+) aagaggaaggag >mm10_chr2:73682756-73682767(-)::chr2:73682755-73682767(-) AAAAGGAAATTG >mm10_chr2:73705202-73705213(+)::chr2:73705201-73705213(+) GATAGGAAGTGA >mm10_chr2:73705226-73705237(+)::chr2:73705225-73705237(+) GCTAGGAAGGCG >mm10_chr2:73705241-73705252(+)::chr2:73705240-73705252(+) AGGAGGAAGAGC >mm10_chr2:73721963-73721974(+)::chr2:73721962-73721974(+) ACCAGGAAATGA >mm10_chr2:73768872-73768883(+)::chr2:73768871-73768883(+) agcaggaaatgc >mm10_chr2:73801139-73801150(+)::chr2:73801138-73801150(+) gggaggaagatg >mm10_chr2:73801154-73801165(+)::chr2:73801153-73801165(+) gggaggaagagg >mm10_chr2:73801160-73801171(+)::chr2:73801159-73801171(+) aagaggaagagg >mm10_chr2:73892700-73892711(-)::chr2:73892699-73892711(-) AGCAGGAAGGGG >mm10_chr2:73900397-73900408(+)::chr2:73900396-73900408(+) AACAGGAAGAAC >mm10_chr2:73910595-73910606(+)::chr2:73910594-73910606(+) ACAAGGAAGCAA >mm10_chr2:73949254-73949265(+)::chr2:73949253-73949265(+) atgaggaagaag >mm10_chr2:74076813-74076824(-)::chr2:74076812-74076824(-) gagaggaagaga >mm10_chr2:74076821-74076832(-)::chr2:74076820-74076832(-) gggaggaagaga >mm10_chr2:74094817-74094828(+)::chr2:74094816-74094828(+) aagaggaagtat >mm10_chr2:74094869-74094880(+)::chr2:74094868-74094880(+) aacaggaagtga >mm10_chr2:74099023-74099034(+)::chr2:74099022-74099034(+) AGTAGGAAGTGT >mm10_chr2:74099042-74099053(+)::chr2:74099041-74099053(+) AGAAGGAAGAGC >mm10_chr2:74099950-74099961(-)::chr2:74099949-74099961(-) AATAGGAAGTAG >mm10_chr2:74100030-74100041(+)::chr2:74100029-74100041(+) AACAGGAAATGT >mm10_chr2:74110378-74110389(+)::chr2:74110377-74110389(+) accaggaagaga >mm10_chr2:74110390-74110401(+)::chr2:74110389-74110401(+) agaaggaagaac >mm10_chr2:74383085-74383096(-)::chr2:74383084-74383096(-) GTCAGGAAGGGT >mm10_chr2:74422760-74422771(+)::chr2:74422759-74422771(+) atcaggaagcag >mm10_chr2:74422793-74422804(+)::chr2:74422792-74422804(+) gacaggaagcag >mm10_chr2:74462713-74462724(+)::chr2:74462712-74462724(+) AGTAGGAAGTAG >mm10_chr2:74462720-74462731(+)::chr2:74462719-74462731(+) AGTAGGAAGTAG >mm10_chr2:74491520-74491531(+)::chr2:74491519-74491531(+) ACAAGGAAATGA >mm10_chr2:74491890-74491901(-)::chr2:74491889-74491901(-) GGAAGGATGTTC >mm10_chr2:74491944-74491955(+)::chr2:74491943-74491955(+) ACGAGGAAGTTC >mm10_chr2:74532875-74532886(+)::chr2:74532874-74532886(+) aggaggaagaga >mm10_chr2:74532890-74532901(+)::chr2:74532889-74532901(+) aggaggaagagg >mm10_chr2:74532896-74532907(+)::chr2:74532895-74532907(+) aagaggaagagg >mm10_chr2:74532902-74532913(+)::chr2:74532901-74532913(+) aagaggaagagg >mm10_chr2:74532913-74532924(+)::chr2:74532912-74532924(+) gagaggaagaag >mm10_chr2:74825785-74825796(+)::chr2:74825784-74825796(+) AGCCGGAAGTGC >mm10_chr2:74933319-74933330(+)::chr2:74933318-74933330(+) TACAGGAAGTTG >mm10_chr2:74933929-74933940(-)::chr2:74933928-74933940(-) GGAAGGAAATGG >mm10_chr2:74933933-74933944(-)::chr2:74933932-74933944(-) GGGAGGAAGGAA >mm10_chr2:75224605-75224616(-)::chr2:75224604-75224616(-) TGGAGGAAGGGT >mm10_chr2:75280690-75280701(-)::chr2:75280689-75280701(-) GAAGGGAAGTTT >mm10_chr2:75572481-75572492(-)::chr2:75572480-75572492(-) gggaggaagaga >mm10_chr2:75572492-75572503(-)::chr2:75572491-75572503(-) aaaaggaagagg >mm10_chr2:75572504-75572515(-)::chr2:75572503-75572515(-) aggaggaagagg >mm10_chr2:75572526-75572537(-)::chr2:75572525-75572537(-) aagaggaagagg >mm10_chr2:75572532-75572543(-)::chr2:75572531-75572543(-) aggaggaagagg >mm10_chr2:75572558-75572569(-)::chr2:75572557-75572569(-) aagaggaagagg >mm10_chr2:75588724-75588735(+)::chr2:75588723-75588735(+) AACAGGAAGCAA >mm10_chr2:75609816-75609827(+)::chr2:75609815-75609827(+) Ggcgggaagcgg >mm10_chr2:75609823-75609834(+)::chr2:75609822-75609834(+) agcgggaagtgg >mm10_chr2:75609837-75609848(+)::chr2:75609836-75609848(+) agcgggaagcgg >mm10_chr2:75616448-75616459(-)::chr2:75616447-75616459(-) ACAAGGAAAGAA >mm10_chr2:75622354-75622365(-)::chr2:75622353-75622365(-) acaaggaagaga >mm10_chr2:75633590-75633601(-)::chr2:75633589-75633601(-) aggaggaagttg >mm10_chr2:75636415-75636426(+)::chr2:75636414-75636426(+) tagaggaagtgt >mm10_chr2:75655804-75655815(+)::chr2:75655803-75655815(+) GTCAGGAAGAGA >mm10_chr2:75683539-75683550(+)::chr2:75683538-75683550(+) AGAAGGAAGCAG >mm10_chr2:75685572-75685583(-)::chr2:75685571-75685583(-) AACAGGAAGCAG >mm10_chr2:75686071-75686082(-)::chr2:75686070-75686082(-) ATGAGGAAGATG >mm10_chr2:75706536-75706547(+)::chr2:75706535-75706547(+) GCCAGGAAGAAC >mm10_chr2:75706552-75706563(+)::chr2:75706551-75706563(+) GAAAGGAAATGG >mm10_chr2:75706571-75706582(-)::chr2:75706570-75706582(-) AGGAGGAAGTAA >mm10_chr2:75715932-75715943(+)::chr2:75715931-75715943(+) gaaaggaaGAAA >mm10_chr2:75725025-75725036(-)::chr2:75725024-75725036(-) ccaaggaactaa >mm10_chr2:75736967-75736978(+)::chr2:75736966-75736978(+) ACAAGGAAGAAA >mm10_chr2:75755371-75755382(-)::chr2:75755370-75755382(-) aaaaggaagagg >mm10_chr2:75755383-75755394(-)::chr2:75755382-75755394(-) aagaggaagagg >mm10_chr2:75755389-75755400(-)::chr2:75755388-75755400(-) aggaggaagagg >mm10_chr2:75755404-75755415(-)::chr2:75755403-75755415(-) aggaggaagaga >mm10_chr2:75768290-75768301(+)::chr2:75768289-75768301(+) TCCAGGAAGAAC >mm10_chr2:75768298-75768309(-)::chr2:75768297-75768309(-) CCCAGGAAGTTC >mm10_chr2:75772447-75772458(-)::chr2:75772446-75772458(-) ACTAGGAAGTGT >mm10_chr2:75775402-75775413(-)::chr2:75775401-75775413(-) ATGAGGAAGTAG >mm10_chr2:75775410-75775421(-)::chr2:75775409-75775421(-) CAAAGGAAATGA >mm10_chr2:75775459-75775470(+)::chr2:75775458-75775470(+) GGAAGGAAGGTC >mm10_chr2:75783984-75783995(+)::chr2:75783983-75783995(+) TACAGGAAGGTG >mm10_chr2:75799963-75799974(+)::chr2:75799962-75799974(+) AGTAGGAAGAGA >mm10_chr2:75800018-75800029(+)::chr2:75800017-75800029(+) AAAAGGAAGCTG >mm10_chr2:75815418-75815429(-)::chr2:75815417-75815429(-) AGAAGGAAGCGT >mm10_chr2:75823967-75823978(+)::chr2:75823966-75823978(+) GGCAGGAAGTGG >mm10_chr2:75907358-75907369(-)::chr2:75907357-75907369(-) TACAGGAAGTAA >mm10_chr2:75907412-75907423(-)::chr2:75907411-75907423(-) ATCAGGAAGAAC >mm10_chr2:75938487-75938498(+)::chr2:75938486-75938498(+) CAACGGAAGTGT >mm10_chr2:75981789-75981800(+)::chr2:75981788-75981800(+) AAACGGAAGTTC >mm10_chr2:76070866-76070877(-)::chr2:76070865-76070877(-) ggaaggaaATTT >mm10_chr2:76070870-76070881(-)::chr2:76070869-76070881(-) agaaggaaggaa >mm10_chr2:76070882-76070893(-)::chr2:76070881-76070893(-) ggaaggaaggaa >mm10_chr2:76070886-76070897(-)::chr2:76070885-76070897(-) ggaaggaaggaa >mm10_chr2:76070906-76070917(-)::chr2:76070905-76070917(-) agaaggaagaaa >mm10_chr2:76088684-76088695(-)::chr2:76088683-76088695(-) TTCAGGAAGTCC >mm10_chr2:76128347-76128358(-)::chr2:76128346-76128358(-) ACCAGGAAGGGA >mm10_chr2:76129297-76129308(+)::chr2:76129296-76129308(+) GGCAGGAAGGAA >mm10_chr2:76129301-76129312(+)::chr2:76129300-76129312(+) GGAAGGAAGAAT >mm10_chr2:76129311-76129322(+)::chr2:76129310-76129322(+) ATAAGGAAATAA >mm10_chr2:76164137-76164148(-)::chr2:76164136-76164148(-) ACAAGGAAGAAA >mm10_chr2:76208952-76208963(-)::chr2:76208951-76208963(-) GCAAGGAAGAAA >mm10_chr2:76208997-76209008(-)::chr2:76208996-76209008(-) ACTAGGAAGGAT >mm10_chr2:76367389-76367400(-)::chr2:76367388-76367400(-) GTGAGGAAGTGC >mm10_chr2:76367435-76367446(-)::chr2:76367434-76367446(-) AGAAGGAAGACC >mm10_chr2:76367450-76367461(+)::chr2:76367449-76367461(+) ACAAGGAAAAGT >mm10_chr2:76394340-76394351(+)::chr2:76394339-76394351(+) TCGAGGAAGGTG >mm10_chr2:76610868-76610879(+)::chr2:76610867-76610879(+) TAAAGGAAGTTG >mm10_chr2:76648012-76648023(+)::chr2:76648011-76648023(+) AGAAGGAAGGAG >mm10_chr2:76675271-76675282(+)::chr2:76675270-76675282(+) TCCAGGAAGTGA >mm10_chr2:76675326-76675337(+)::chr2:76675325-76675337(+) GGAAGGAAGGCA >mm10_chr2:76846683-76846694(-)::chr2:76846682-76846694(-) AAGAGGAAGTTC >mm10_chr2:76846704-76846715(-)::chr2:76846703-76846715(-) AAGAGGAAGTTC >mm10_chr2:76846725-76846736(-)::chr2:76846724-76846736(-) AAGAGGAAGTTC >mm10_chr2:76846746-76846757(-)::chr2:76846745-76846757(-) AAGAGGAAGTTC >mm10_chr2:76908307-76908318(-)::chr2:76908306-76908318(-) TTAAGGAAGAGC >mm10_chr2:76929986-76929997(-)::chr2:76929985-76929997(-) GGAAGGAAGCCT >mm10_chr2:76929990-76930001(-)::chr2:76929989-76930001(-) GGAAGGAAGGAA >mm10_chr2:76929994-76930005(-)::chr2:76929993-76930005(-) AGTAGGAAGGAA >mm10_chr2:76975135-76975146(-)::chr2:76975134-76975146(-) ACAAGGAAGCCA >mm10_chr2:76985371-76985382(+)::chr2:76985370-76985382(+) ACACGGAAGTAG >mm10_chr2:76985394-76985405(+)::chr2:76985393-76985405(+) TTCAGGAAGTGC >mm10_chr2:76985406-76985417(+)::chr2:76985405-76985417(+) ATAAGGAAGGAA >mm10_chr2:76985410-76985421(+)::chr2:76985409-76985421(+) GGAAGGAAGTGC >mm10_chr2:76992971-76992982(-)::chr2:76992970-76992982(-) acaaggaagtga >mm10_chr2:77042442-77042453(-)::chr2:77042441-77042453(-) TCAAGGAAGAGG >mm10_chr2:77048437-77048448(+)::chr2:77048436-77048448(+) ACAAGGAAAAAA >mm10_chr2:77078982-77078993(+)::chr2:77078981-77078993(+) caaaggaaatgt >mm10_chr2:77140657-77140668(-)::chr2:77140656-77140668(-) GAAAGGACGTTC >mm10_chr2:77289003-77289014(+)::chr2:77289002-77289014(+) TAGAGGAAGTAG >mm10_chr2:77289013-77289024(+)::chr2:77289012-77289024(+) AGGAGGAAGGAA >mm10_chr2:77299950-77299961(-)::chr2:77299949-77299961(-) TCCAGGAAGAGA >mm10_chr2:77304800-77304811(-)::chr2:77304799-77304811(-) GGAAGGAAGGAC >mm10_chr2:77323943-77323954(-)::chr2:77323942-77323954(-) GTGAGGAAGTAC >mm10_chr2:77744025-77744036(+)::chr2:77744024-77744036(+) GCCAGGAAATAA >mm10_chr2:77916968-77916979(-)::chr2:77916967-77916979(-) CCGAGGAAGGAT >mm10_chr2:77918137-77918148(+)::chr2:77918136-77918148(+) AGAAGGAACTAT >mm10_chr2:77918161-77918172(+)::chr2:77918160-77918172(+) AGTAGGAAGGCA >mm10_chr2:77922054-77922065(-)::chr2:77922053-77922065(-) AAAAGGAAATAT >mm10_chr2:77922077-77922088(-)::chr2:77922076-77922088(-) AAAAGGAAATGC >mm10_chr2:77922100-77922111(+)::chr2:77922099-77922111(+) ACGAGGAAGTAG >mm10_chr2:77925278-77925289(+)::chr2:77925277-77925289(+) AGACGGAAGCCA >mm10_chr2:77946401-77946412(+)::chr2:77946400-77946412(+) GGACGGAAATGA >mm10_chr2:77989602-77989613(+)::chr2:77989601-77989613(+) aacaggaagcag >mm10_chr2:77992973-77992984(-)::chr2:77992972-77992984(-) aggaggaagaga >mm10_chr2:77992994-77993005(-)::chr2:77992993-77993005(-) aggaggaagagg >mm10_chr2:77993009-77993020(-)::chr2:77993008-77993020(-) agaaggaaaagg >mm10_chr2:77993504-77993515(-)::chr2:77993503-77993515(-) AAAAGGAAGTCA >mm10_chr2:78037726-78037737(-)::chr2:78037725-78037737(-) AGAGGGAAATAA >mm10_chr2:78111311-78111322(+)::chr2:78111310-78111322(+) GAAAGGAAGCTG >mm10_chr2:78218880-78218891(+)::chr2:78218879-78218891(+) TGGAGGAAGTTA >mm10_chr2:78278391-78278402(-)::chr2:78278390-78278402(-) ACGAGGAAGTTA >mm10_chr2:78397106-78397117(+)::chr2:78397105-78397117(+) atgaggaagtaa >mm10_chr2:78414433-78414444(+)::chr2:78414432-78414444(+) aagaggaagagg >mm10_chr2:78414439-78414450(+)::chr2:78414438-78414450(+) aagaggaagagg >mm10_chr2:78414445-78414456(+)::chr2:78414444-78414456(+) aagaggaagaag >mm10_chr2:78414473-78414484(+)::chr2:78414472-78414484(+) aggaggaagatg >mm10_chr2:78414482-78414493(+)::chr2:78414481-78414493(+) atgaggaagagg >mm10_chr2:78420587-78420598(-)::chr2:78420586-78420598(-) TGGAGGAAGTTT >mm10_chr2:78420830-78420841(+)::chr2:78420829-78420841(+) ATGAGGAAGATG >mm10_chr2:78420850-78420861(-)::chr2:78420849-78420861(-) agcaggaagaag >mm10_chr2:78675682-78675693(-)::chr2:78675681-78675693(-) agcaggaaatgg >mm10_chr2:78728092-78728103(-)::chr2:78728091-78728103(-) agaaggaaaagt >mm10_chr2:78868059-78868070(-)::chr2:78868058-78868070(-) ATCAGGAAGGTT >mm10_chr2:78869332-78869343(+)::chr2:78869331-78869343(+) TCAAGGAAGGTT >mm10_chr2:78925724-78925735(+)::chr2:78925723-78925735(+) AAAAGGAATTAG >mm10_chr2:78925748-78925759(+)::chr2:78925747-78925759(+) GGGAGGAAGAAG >mm10_chr2:78925755-78925766(+)::chr2:78925754-78925766(+) AGAAGGAAGTCA >mm10_chr2:79149273-79149284(-)::chr2:79149272-79149284(-) ACCAGGAAGTAG >mm10_chr2:79149299-79149310(-)::chr2:79149298-79149310(-) TGAAGGAAGGAG >mm10_chr2:79159263-79159274(-)::chr2:79159262-79159274(-) CAAAGGAAGTCC >mm10_chr2:79259668-79259679(-)::chr2:79259667-79259679(-) GGAAGGAAGTAG >mm10_chr2:79269297-79269308(+)::chr2:79269296-79269308(+) TCAAGGAAGTTC >mm10_chr2:79270260-79270271(+)::chr2:79270259-79270271(+) CACAGGAAATAA >mm10_chr2:79270462-79270473(+)::chr2:79270461-79270473(+) TGCAGGAAGCAG >mm10_chr2:79355071-79355082(+)::chr2:79355070-79355082(+) ACAAGGAAGCAG >mm10_chr2:79355090-79355101(+)::chr2:79355089-79355101(+) AGAAGGAAGCAG >mm10_chr2:79365999-79366010(-)::chr2:79365998-79366010(-) aagaggaagagT >mm10_chr2:79366005-79366016(-)::chr2:79366004-79366016(-) aagaggaagagg >mm10_chr2:79366022-79366033(-)::chr2:79366021-79366033(-) aggaggaagtgg >mm10_chr2:79366040-79366051(-)::chr2:79366039-79366051(-) aggaggaagagg >mm10_chr2:79372867-79372878(+)::chr2:79372866-79372878(+) GTAAGGAAGAGT >mm10_chr2:79378671-79378682(+)::chr2:79378670-79378682(+) CCGAGGAAGCGG >mm10_chr2:79389979-79389990(+)::chr2:79389978-79389990(+) ATAAGGAAGGAA >mm10_chr2:79389983-79389994(+)::chr2:79389982-79389994(+) GGAAGGAAGACA >mm10_chr2:79390028-79390039(+)::chr2:79390027-79390039(+) ACCAGGAAGATC >mm10_chr2:79390037-79390048(+)::chr2:79390036-79390048(+) ATCAGGAAGAGT >mm10_chr2:79518160-79518171(-)::chr2:79518159-79518171(-) ATCAGGAAGGGC >mm10_chr2:79540593-79540604(+)::chr2:79540592-79540604(+) GAGAGGAAGAGG >mm10_chr2:79543663-79543674(+)::chr2:79543662-79543674(+) TCAAGGAAGGCT >mm10_chr2:79543712-79543723(-)::chr2:79543711-79543723(-) AGCAGGAAGTAG >mm10_chr2:79545353-79545364(-)::chr2:79545352-79545364(-) GGAAGGAACTCT >mm10_chr2:79545357-79545368(-)::chr2:79545356-79545368(-) TGGAGGAAGGAA >mm10_chr2:79545413-79545424(+)::chr2:79545412-79545424(+) ATGAGGAAGGAA >mm10_chr2:79545417-79545428(+)::chr2:79545416-79545428(+) GGAAGGAACTGG >mm10_chr2:79560617-79560628(-)::chr2:79560616-79560628(-) AGAAGGAAGTCT >mm10_chr2:79592226-79592237(-)::chr2:79592225-79592237(-) gcaaggaaggga >mm10_chr2:79594669-79594680(-)::chr2:79594668-79594680(-) GCAAGGAAGGAG >mm10_chr2:79597365-79597376(+)::chr2:79597364-79597376(+) ATGAGGAAGACC >mm10_chr2:79606213-79606224(+)::chr2:79606212-79606224(+) TGCAGGAAGTCT >mm10_chr2:79611040-79611051(-)::chr2:79611039-79611051(-) TGAAGGCAGTGA >mm10_chr2:79627724-79627735(-)::chr2:79627723-79627735(-) accagGAAGTTT >mm10_chr2:79635600-79635611(+)::chr2:79635599-79635611(+) AGGAGGAAGGCC >mm10_chr2:79636182-79636193(-)::chr2:79636181-79636193(-) AGAAGGAAGCTA >mm10_chr2:79642830-79642841(-)::chr2:79642829-79642841(-) AGGAGGAAGTGG >mm10_chr2:79642855-79642866(-)::chr2:79642854-79642866(-) TGAAGGAAGTAG >mm10_chr2:79659946-79659957(-)::chr2:79659945-79659957(-) ACAAGGAAGTGT >mm10_chr2:79714291-79714302(-)::chr2:79714290-79714302(-) tccaggaagttt >mm10_chr2:79788872-79788883(+)::chr2:79788871-79788883(+) gaaaggaagaag >mm10_chr2:79788879-79788890(+)::chr2:79788878-79788890(+) agaaggaaggaa >mm10_chr2:79788883-79788894(+)::chr2:79788882-79788894(+) ggaaggaaattg >mm10_chr2:79991166-79991177(-)::chr2:79991165-79991177(-) agaaggaaaTCT >mm10_chr2:79991173-79991184(-)::chr2:79991172-79991184(-) aggaggaagaag >mm10_chr2:79991214-79991225(-)::chr2:79991213-79991225(-) gggaggaagaag >mm10_chr2:79991228-79991239(-)::chr2:79991227-79991239(-) aagaggaagaaa >mm10_chr2:80038599-80038610(+)::chr2:80038598-80038610(+) AGAAGGAAAAAG >mm10_chr2:80081720-80081731(+)::chr2:80081719-80081731(+) AGGAGGAAGTGA >mm10_chr2:80087038-80087049(+)::chr2:80087037-80087049(+) AAAAGGAAGAGG >mm10_chr2:80300853-80300864(+)::chr2:80300852-80300864(+) AAAAGGAACTCA >mm10_chr2:80300869-80300880(+)::chr2:80300868-80300880(+) GAAAGGAAGTCC >mm10_chr2:80412829-80412840(-)::chr2:80412828-80412840(-) ACCAGGAAGCAT >mm10_chr2:80430844-80430855(-)::chr2:80430843-80430855(-) AGCAGGAAGGCA >mm10_chr2:80536795-80536806(+)::chr2:80536794-80536806(+) GGAAGGAAGTAA >mm10_chr2:80536848-80536859(-)::chr2:80536847-80536859(-) ATAAGGAACAGA >mm10_chr2:80579413-80579424(-)::chr2:80579412-80579424(-) AAGAGGAAGTGA >mm10_chr2:80579441-80579452(-)::chr2:80579440-80579452(-) TAGAGGAAATGG >mm10_chr2:80580448-80580459(-)::chr2:80580447-80580459(-) AGGGGGAAGTGA >mm10_chr2:80581633-80581644(+)::chr2:80581632-80581644(+) CGGAGGAAGGAG >mm10_chr2:80581637-80581648(+)::chr2:80581636-80581648(+) GGAAGGAGGTCG >mm10_chr2:80581644-80581655(+)::chr2:80581643-80581655(+) GGTCGGAAGTAA >mm10_chr2:80581664-80581675(-)::chr2:80581663-80581675(-) CTAAGGAACTCG >mm10_chr2:80617136-80617147(-)::chr2:80617135-80617147(-) TGACGGAAGTTG >mm10_chr2:80617624-80617635(-)::chr2:80617623-80617635(-) ACAAGGAAGTGG >mm10_chr2:80638784-80638795(+)::chr2:80638783-80638795(+) GACCGGAAGTTG >mm10_chr2:80640102-80640113(+)::chr2:80640101-80640113(+) CTGAGGAAGTCA >mm10_chr2:80640115-80640126(+)::chr2:80640114-80640126(+) TGAAGGAATTAA >mm10_chr2:80667620-80667631(+)::chr2:80667619-80667631(+) gaagggaagtaa >mm10_chr2:80771521-80771532(-)::chr2:80771520-80771532(-) gtaaggaaatga >mm10_chr2:80771541-80771552(-)::chr2:80771540-80771552(-) tccaggaagatg >mm10_chr2:80863794-80863805(-)::chr2:80863793-80863805(-) ACAAGGAGGTAA >mm10_chr2:80934050-80934061(-)::chr2:80934049-80934061(-) ACAAGGAATTGG >mm10_chr2:80950576-80950587(+)::chr2:80950575-80950587(+) GCCAGGAAGATT >mm10_chr2:81760440-81760451(+)::chr2:81760439-81760451(+) TGGAGGAAATAT >mm10_chr2:82880234-82880245(-)::chr2:82880233-82880245(-) GGAATGAAGTAT >mm10_chr2:82924353-82924364(+)::chr2:82924352-82924364(+) TGAAGGAAATAC >mm10_chr2:83257048-83257059(-)::chr2:83257047-83257059(-) AGAGGGAAGAAA >mm10_chr2:83682818-83682829(-)::chr2:83682817-83682829(-) AAGAGGAAGGAG >mm10_chr2:83682824-83682835(-)::chr2:83682823-83682835(-) AACAGGAAGAGG >mm10_chr2:83689575-83689586(-)::chr2:83689574-83689586(-) tggaggaagtat >mm10_chr2:83700820-83700831(+)::chr2:83700819-83700831(+) TGAAGGAAATCC >mm10_chr2:83711027-83711038(+)::chr2:83711026-83711038(+) aggaggaagtgc >mm10_chr2:83711087-83711098(+)::chr2:83711086-83711098(+) agcaggaaatga >mm10_chr2:83711457-83711468(-)::chr2:83711456-83711468(-) TCACGGAAGTTG >mm10_chr2:83723448-83723459(-)::chr2:83723447-83723459(-) aggaggaagagg >mm10_chr2:83723457-83723468(-)::chr2:83723456-83723468(-) agaaggaagagg >mm10_chr2:83723481-83723492(-)::chr2:83723480-83723492(-) aagaggaagagg >mm10_chr2:83723487-83723498(-)::chr2:83723486-83723498(-) aggaggaagagg >mm10_chr2:83724339-83724350(+)::chr2:83724338-83724350(+) GCACGGAAGTGC >mm10_chr2:83724386-83724397(-)::chr2:83724385-83724397(-) AAGAGGAAGTCG >mm10_chr2:83725281-83725292(-)::chr2:83725280-83725292(-) ATACGGAAGAAA >mm10_chr2:83725304-83725315(-)::chr2:83725303-83725315(-) ACTAGGAAGAGG >mm10_chr2:83725337-83725348(-)::chr2:83725336-83725348(-) CGAAGGAAGAGC >mm10_chr2:83729576-83729587(-)::chr2:83729575-83729587(-) AAGAGGAAGGGC >mm10_chr2:83729596-83729607(+)::chr2:83729595-83729607(+) GGTAGGAAGTGC >mm10_chr2:83734378-83734389(-)::chr2:83734377-83734389(-) TCAAGGAACTGA >mm10_chr2:83734413-83734424(-)::chr2:83734412-83734424(-) AGAAGGAAGAAA >mm10_chr2:83734420-83734431(-)::chr2:83734419-83734431(-) ATGAGGAAGAAG >mm10_chr2:83735398-83735409(+)::chr2:83735397-83735409(+) TAAAGGAAGTCT >mm10_chr2:83740572-83740583(-)::chr2:83740571-83740583(-) GGGAGGAAGTGA >mm10_chr2:83744484-83744495(+)::chr2:83744483-83744495(+) AAGAGGAAGTGA >mm10_chr2:83929516-83929527(+)::chr2:83929515-83929527(+) GCAAGGAAATAA >mm10_chr2:83939014-83939025(+)::chr2:83939013-83939025(+) TACAGGAAGAAA >mm10_chr2:83939026-83939037(+)::chr2:83939025-83939037(+) TCCAGGAAGTCC >mm10_chr2:83994094-83994105(-)::chr2:83994093-83994105(-) AGCAGGAAGTGC >mm10_chr2:84252271-84252282(+)::chr2:84252270-84252282(+) ATGAGGAAATGA >mm10_chr2:84252316-84252327(+)::chr2:84252315-84252327(+) AGGAGGAAATGT >mm10_chr2:84289214-84289225(-)::chr2:84289213-84289225(-) ACAAGGAAGCCC >mm10_chr2:84387583-84387594(+)::chr2:84387582-84387594(+) CCCAGGAAGTGA >mm10_chr2:84460083-84460094(-)::chr2:84460082-84460094(-) AAGAGGAAGTCT >mm10_chr2:84460108-84460119(+)::chr2:84460107-84460119(+) GAAAGGAAACGA >mm10_chr2:84474258-84474269(+)::chr2:84474257-84474269(+) ACCAGGAAGTCT >mm10_chr2:84483676-84483687(-)::chr2:84483675-84483687(-) CCGAGGAAGCAG >mm10_chr2:84504512-84504523(-)::chr2:84504511-84504523(-) ttaaggaagttt >mm10_chr2:84524229-84524240(-)::chr2:84524228-84524240(-) AAGAGGAAGTGT >mm10_chr2:84539158-84539169(-)::chr2:84539157-84539169(-) TATAGGAAGAGT >mm10_chr2:84541034-84541045(+)::chr2:84541033-84541045(+) TGAGGGAAGACG >mm10_chr2:84541071-84541082(-)::chr2:84541070-84541082(-) AAAAGGAAGATA >mm10_chr2:84560755-84560766(-)::chr2:84560754-84560766(-) ATAGGGAAGTTA >mm10_chr2:84560779-84560790(-)::chr2:84560778-84560790(-) ATAGGGAAGTTA >mm10_chr2:84561018-84561029(-)::chr2:84561017-84561029(-) ATCAGGAAGAGG >mm10_chr2:84622882-84622893(+)::chr2:84622881-84622893(+) GGGAGGAAGTTA >mm10_chr2:84622910-84622921(+)::chr2:84622909-84622921(+) AGAAGGAAGGGT >mm10_chr2:84623757-84623768(-)::chr2:84623756-84623768(-) GTGAGGAAGTCA >mm10_chr2:84632769-84632780(+)::chr2:84632768-84632780(+) GGCAGGAAGATC >mm10_chr2:84632835-84632846(+)::chr2:84632834-84632846(+) AAAAGGAAGAAG >mm10_chr2:84633301-84633312(+)::chr2:84633300-84633312(+) ATAAGGAAACTA >mm10_chr2:84633346-84633357(+)::chr2:84633345-84633357(+) TCCAGGAAGGGG >mm10_chr2:84635021-84635032(+)::chr2:84635020-84635032(+) AGAGGGAAGGGA >mm10_chr2:84638592-84638603(+)::chr2:84638591-84638603(+) TTAAGGAAGCCA >mm10_chr2:84638618-84638629(+)::chr2:84638617-84638629(+) GACAGGAAGTAA >mm10_chr2:84649854-84649865(+)::chr2:84649853-84649865(+) AGAAGGAAAAAA >mm10_chr2:84650498-84650509(-)::chr2:84650497-84650509(-) AAGAGGAAGAGG >mm10_chr2:84650504-84650515(-)::chr2:84650503-84650515(-) ACGAGGAAGAGG >mm10_chr2:84670767-84670778(+)::chr2:84670766-84670778(+) AAAAGGGAGTCG >mm10_chr2:84678294-84678305(+)::chr2:84678293-84678305(+) CGACGGAAGTAA >mm10_chr2:84678298-84678309(+)::chr2:84678297-84678309(+) GGAAGTAAGTTG >mm10_chr2:84704502-84704513(+)::chr2:84704501-84704513(+) GGAAGGAAGGAG >mm10_chr2:84738672-84738683(-)::chr2:84738671-84738683(-) CTAAGGAAGGAA >mm10_chr2:84738719-84738730(+)::chr2:84738718-84738730(+) GCAAGGAAGGGT >mm10_chr2:84742590-84742601(+)::chr2:84742589-84742601(+) AGGAGGAAATGG >mm10_chr2:84792787-84792798(+)::chr2:84792786-84792798(+) ATCCGGAAGTTC >mm10_chr2:84811126-84811137(+)::chr2:84811125-84811137(+) GGGAGGAAGTGG >mm10_chr2:84817748-84817759(-)::chr2:84817747-84817759(-) aggaggaagtaa >mm10_chr2:84817802-84817813(-)::chr2:84817801-84817813(-) agaaggaaatcc >mm10_chr2:84827027-84827038(-)::chr2:84827026-84827038(-) GGAAGGAAGTCT >mm10_chr2:84851168-84851179(+)::chr2:84851167-84851179(+) TCAAGGAAGCTG >mm10_chr2:84913924-84913935(-)::chr2:84913923-84913935(-) ATGAGGAAATTG >mm10_chr2:84934126-84934137(-)::chr2:84934125-84934137(-) CAAAGGAAGAAG >mm10_chr2:84934144-84934155(-)::chr2:84934143-84934155(-) ATAAGGAACTGG >mm10_chr2:84938543-84938554(-)::chr2:84938542-84938554(-) CTCAGGAAGTTG >mm10_chr2:84961419-84961430(+)::chr2:84961418-84961430(+) AGAAAGAAGTGA >mm10_chr2:84961435-84961446(-)::chr2:84961434-84961446(-) GGGAGGAAGTCT >mm10_chr2:84999612-84999623(-)::chr2:84999611-84999623(-) GCCAGGAAGTTT >mm10_chr2:85018456-85018467(+)::chr2:85018455-85018467(+) atagggaagtgt >mm10_chr2:85047692-85047703(+)::chr2:85047691-85047703(+) AACAGGAAGACT >mm10_chr2:85050843-85050854(-)::chr2:85050842-85050854(-) AATAGGAAGCAG >mm10_chr2:85105781-85105792(-)::chr2:85105780-85105792(-) ACCAGGAAGTTC >mm10_chr2:85180365-85180376(-)::chr2:85180364-85180376(-) GCCAGGAAGACA >mm10_chr2:85252673-85252684(+)::chr2:85252672-85252684(+) AGAAGGAAGCTG >mm10_chr2:85262250-85262261(+)::chr2:85262249-85262261(+) CTAAGGAAGTGT >mm10_chr2:85262280-85262291(-)::chr2:85262279-85262291(-) GTGAGGAAGTGG >mm10_chr2:85440667-85440678(+)::chr2:85440666-85440678(+) ATGAGGAAGACA >mm10_chr2:85539538-85539549(+)::chr2:85539537-85539549(+) TGAAGGAAGAGA >mm10_chr2:85773282-85773293(-)::chr2:85773281-85773293(-) ggaaggaaggga >mm10_chr2:85773286-85773297(-)::chr2:85773285-85773297(-) aggaggaaggaa >mm10_chr2:88416291-88416302(-)::chr2:88416290-88416302(-) TGAAGGAAATGA >mm10_chr2:90470269-90470280(+)::chr2:90470268-90470280(+) AGCAGGAAGACC >mm10_chr2:90475005-90475016(+)::chr2:90475004-90475016(+) AAAGGGAAGTCA >mm10_chr2:90478724-90478735(+)::chr2:90478723-90478735(+) ATGAGGAAGGAG >mm10_chr2:90478756-90478767(-)::chr2:90478755-90478767(-) AGCAGGAAGAAC >mm10_chr2:90490051-90490062(+)::chr2:90490050-90490062(+) GCCAGGAAGTTG >mm10_chr2:90497724-90497735(-)::chr2:90497723-90497735(-) ATGAGGAAGTCC >mm10_chr2:90504160-90504171(+)::chr2:90504159-90504171(+) AGGAGGAAGTAC >mm10_chr2:90504172-90504183(+)::chr2:90504171-90504183(+) AGCAGGAAGAGA >mm10_chr2:90504187-90504198(+)::chr2:90504186-90504198(+) AGAAGGAAAAGG >mm10_chr2:90504897-90504908(-)::chr2:90504896-90504908(-) GAGAGGAAGTGC >mm10_chr2:90504949-90504960(+)::chr2:90504948-90504960(+) ACAAAGAAGTGG >mm10_chr2:90514888-90514899(-)::chr2:90514887-90514899(-) ACAAGGAAAAGA >mm10_chr2:90515142-90515153(+)::chr2:90515141-90515153(+) CTAAGGAAGTCA >mm10_chr2:90516570-90516581(+)::chr2:90516569-90516581(+) TCCAGGAAGTTA >mm10_chr2:90520795-90520806(+)::chr2:90520794-90520806(+) gggaggaagtaa >mm10_chr2:90523930-90523941(+)::chr2:90523929-90523941(+) acaaggaaatga >mm10_chr2:90530161-90530172(+)::chr2:90530160-90530172(+) ACCAGGAAGAAA >mm10_chr2:90545504-90545515(+)::chr2:90545503-90545515(+) AGGAGGAAGCAA >mm10_chr2:90560531-90560542(-)::chr2:90560530-90560542(-) GGGAGGAAATGT >mm10_chr2:90564789-90564800(-)::chr2:90564788-90564800(-) CTAAGGAAGAAC >mm10_chr2:90564843-90564854(-)::chr2:90564842-90564854(-) ATGAGGAAATGA >mm10_chr2:90572438-90572449(+)::chr2:90572437-90572449(+) GGAAGGAAGGCC >mm10_chr2:90572463-90572474(+)::chr2:90572462-90572474(+) TTCAGGAAGTTA >mm10_chr2:90574277-90574288(-)::chr2:90574276-90574288(-) AGAGGGAAGCCG >mm10_chr2:90575238-90575249(+)::chr2:90575237-90575249(+) agcaggaagtat >mm10_chr2:90575266-90575277(+)::chr2:90575265-90575277(+) ataaggaaatga >mm10_chr2:90578054-90578065(+)::chr2:90578053-90578065(+) TAGAGGAAGGAA >mm10_chr2:90578058-90578069(+)::chr2:90578057-90578069(+) GGAAGGAAATAA >mm10_chr2:90578113-90578124(+)::chr2:90578112-90578124(+) ACAAGGAAGGAT >mm10_chr2:90584753-90584764(+)::chr2:90584752-90584764(+) AAGAGGAAGTAG >mm10_chr2:90612398-90612409(+)::chr2:90612397-90612409(+) AGCAGGAAGCCG >mm10_chr2:90624518-90624529(-)::chr2:90624517-90624529(-) GGAAGGAAGGAG >mm10_chr2:90624522-90624533(-)::chr2:90624521-90624533(-) GGGAGGAAGGAA >mm10_chr2:90624545-90624556(-)::chr2:90624544-90624556(-) GGAAGGAAGGTT >mm10_chr2:90635210-90635221(+)::chr2:90635209-90635221(+) TACAGGAAGTTT >mm10_chr2:90635480-90635491(-)::chr2:90635479-90635491(-) TGAAGGAAGCAG >mm10_chr2:90635549-90635560(+)::chr2:90635548-90635560(+) ACAAGGAAGTGT >mm10_chr2:90664894-90664905(-)::chr2:90664893-90664905(-) ATAAGGAAAAAT >mm10_chr2:90667839-90667850(+)::chr2:90667838-90667850(+) CAGAGGAAGTGG >mm10_chr2:90670378-90670389(-)::chr2:90670377-90670389(-) GTAAGGAAATGA >mm10_chr2:90670405-90670416(+)::chr2:90670404-90670416(+) ACAAGGAAAACA >mm10_chr2:90670432-90670443(+)::chr2:90670431-90670443(+) ATACGGAAGACA >mm10_chr2:90744513-90744524(+)::chr2:90744512-90744524(+) ACAAGGAAGACT >mm10_chr2:90745275-90745286(+)::chr2:90745274-90745286(+) CTAAGGAAGTCG >mm10_chr2:90847030-90847041(-)::chr2:90847029-90847041(-) AGCCGGAAGTGT >mm10_chr2:90904712-90904723(+)::chr2:90904711-90904723(+) GACCGGAAGTGG >mm10_chr2:90913863-90913874(-)::chr2:90913862-90913874(-) AGAAGGAAATAT >mm10_chr2:90917004-90917015(-)::chr2:90917003-90917015(-) acaaggaagtcc >mm10_chr2:90947645-90947656(-)::chr2:90947644-90947656(-) ggaaggaaggag >mm10_chr2:90947649-90947660(-)::chr2:90947648-90947660(-) AGaaggaaggaa >mm10_chr2:90959418-90959429(-)::chr2:90959417-90959429(-) AAAAGGAAGAAA >mm10_chr2:90965854-90965865(-)::chr2:90965853-90965865(-) GGAAGGAAGTAA >mm10_chr2:91002291-91002302(+)::chr2:91002290-91002302(+) AACAGGAAGTTC >mm10_chr2:91002303-91002314(+)::chr2:91002302-91002314(+) AGCAGGAAGCTC >mm10_chr2:91054249-91054260(+)::chr2:91054248-91054260(+) TGCAGGAAATGA >mm10_chr2:91183930-91183941(-)::chr2:91183929-91183941(-) AACAGGAAGGCC >mm10_chr2:91202839-91202850(-)::chr2:91202838-91202850(-) TGCCGGAAGTGC >mm10_chr2:91236892-91236903(+)::chr2:91236891-91236903(+) AACAGGAAGGGA >mm10_chr2:91266117-91266128(+)::chr2:91266116-91266128(+) AGGAGGAAATGG >mm10_chr2:91357912-91357923(-)::chr2:91357911-91357923(-) GAGAGGAAGTGC >mm10_chr2:91357924-91357935(-)::chr2:91357923-91357935(-) AAAAGAAAGTGA >mm10_chr2:91466102-91466113(+)::chr2:91466101-91466113(+) GTGAGGAAGGGA >mm10_chr2:91466145-91466156(+)::chr2:91466144-91466156(+) ACCAGGAAGTGC >mm10_chr2:91467767-91467778(-)::chr2:91467766-91467778(-) GAAAGGAAACGC >mm10_chr2:91498279-91498290(+)::chr2:91498278-91498290(+) AACAGGAAGCAC >mm10_chr2:91585830-91585841(+)::chr2:91585829-91585841(+) GTACGGAAGAAG >mm10_chr2:91649782-91649793(+)::chr2:91649781-91649793(+) TACCGGAAGTGA >mm10_chr2:91650064-91650075(+)::chr2:91650063-91650075(+) TGCCGGAAGTGG >mm10_chr2:91650114-91650125(+)::chr2:91650113-91650125(+) AGCCGGAAGTAG >mm10_chr2:91650136-91650147(+)::chr2:91650135-91650147(+) TGGCGGAAGTGG >mm10_chr2:91710850-91710861(+)::chr2:91710849-91710861(+) ACGCGGAAGGGG >mm10_chr2:91711544-91711555(-)::chr2:91711543-91711555(-) AAAAGGAAGGAA >mm10_chr2:91711558-91711569(-)::chr2:91711557-91711569(-) GGAAGGAAGAGT >mm10_chr2:91711562-91711573(-)::chr2:91711561-91711573(-) GAAAGGAAGGAA >mm10_chr2:91738252-91738263(+)::chr2:91738251-91738263(+) AGAAGGAAATAC >mm10_chr2:91744125-91744136(-)::chr2:91744124-91744136(-) TTAAGGAAGTAC >mm10_chr2:91744134-91744145(+)::chr2:91744133-91744145(+) TAAGGGAAGTTG >mm10_chr2:91825353-91825364(+)::chr2:91825352-91825364(+) GTAAGGAAATAT >mm10_chr2:91825390-91825401(+)::chr2:91825389-91825401(+) GGCAGGAAGCTA >mm10_chr2:91844914-91844925(-)::chr2:91844913-91844925(-) ATCAGGAAGCAC >mm10_chr2:91867189-91867200(-)::chr2:91867188-91867200(-) acaaggaaaaga >mm10_chr2:91867201-91867212(-)::chr2:91867200-91867212(-) gcaaggaagcaa >mm10_chr2:91867209-91867220(-)::chr2:91867208-91867220(-) gcaaggaagcaa >mm10_chr2:91867217-91867228(-)::chr2:91867216-91867228(-) gcaaggaagcaa >mm10_chr2:91906240-91906251(+)::chr2:91906239-91906251(+) GACAGGAAGAAG >mm10_chr2:91913333-91913344(-)::chr2:91913332-91913344(-) AGAAGGAAGCTT >mm10_chr2:91937054-91937065(-)::chr2:91937053-91937065(-) TCAAGGAAGCCT >mm10_chr2:91948020-91948031(+)::chr2:91948019-91948031(+) AGAAGGAAGCAG >mm10_chr2:91948374-91948385(-)::chr2:91948373-91948385(-) GATAGGAAGAGA >mm10_chr2:91953980-91953991(+)::chr2:91953979-91953991(+) AGGAGGAAGGAA >mm10_chr2:91954037-91954048(-)::chr2:91954036-91954048(-) AGGAGGAAGCAC >mm10_chr2:91959650-91959661(-)::chr2:91959649-91959661(-) TGAAGGAAGAAT >mm10_chr2:91963630-91963641(+)::chr2:91963629-91963641(+) TTCAGGAAGTGC >mm10_chr2:92017151-92017162(+)::chr2:92017150-92017162(+) AGGAGGAAGCGG >mm10_chr2:92034465-92034476(-)::chr2:92034464-92034476(-) atgaggaagttc >mm10_chr2:92037054-92037065(+)::chr2:92037053-92037065(+) AGGAGGAAGGCT >mm10_chr2:92047590-92047601(-)::chr2:92047589-92047601(-) GGACGGAAGTTA >mm10_chr2:92065468-92065479(-)::chr2:92065467-92065479(-) AAAAGGAAGTAC >mm10_chr2:92065509-92065520(+)::chr2:92065508-92065520(+) AGgaggaagctg >mm10_chr2:92099285-92099296(+)::chr2:92099284-92099296(+) aacaggaagtca >mm10_chr2:92100809-92100820(-)::chr2:92100808-92100820(-) aataggaaatac >mm10_chr2:92100844-92100855(-)::chr2:92100843-92100855(-) tctaggaagtgc >mm10_chr2:92148056-92148067(-)::chr2:92148055-92148067(-) ACAAGGAAGAGA >mm10_chr2:92286208-92286219(+)::chr2:92286207-92286219(+) GCAAGGAAGGTG >mm10_chr2:92296535-92296546(+)::chr2:92296534-92296546(+) ACCAGGAAGTAG >mm10_chr2:92300029-92300040(+)::chr2:92300028-92300040(+) AAAAGGAAATCC >mm10_chr2:92335229-92335240(-)::chr2:92335228-92335240(-) tgaaggaagtta >mm10_chr2:92342127-92342138(-)::chr2:92342126-92342138(-) accaggaagttg >mm10_chr2:92349436-92349447(+)::chr2:92349435-92349447(+) ACGCGGAAGAGA >mm10_chr2:92349920-92349931(+)::chr2:92349919-92349931(+) CTAAGGAAGAAA >mm10_chr2:92349981-92349992(-)::chr2:92349980-92349992(-) TGGAGGAAGAGA >mm10_chr2:92349993-92350004(-)::chr2:92349992-92350004(-) TAGAGGAAGGTG >mm10_chr2:92351197-92351208(-)::chr2:92351196-92351208(-) TGGAGGAAGACA >mm10_chr2:92351216-92351227(+)::chr2:92351215-92351227(+) AGCAGGAAGGTC >mm10_chr2:92365469-92365480(+)::chr2:92365468-92365480(+) CTCAGGAAGTAC >mm10_chr2:92367140-92367151(-)::chr2:92367139-92367151(-) ATGAGGAAGAGC >mm10_chr2:92373987-92373998(+)::chr2:92373986-92373998(+) GGAAGGAAGTGG >mm10_chr2:92374011-92374022(-)::chr2:92374010-92374022(-) GGAATGAAGTGT >mm10_chr2:92375483-92375494(+)::chr2:92375482-92375494(+) AGCAGGAAGGAG >mm10_chr2:92385134-92385145(+)::chr2:92385133-92385145(+) TGAAGGAAGTCA >mm10_chr2:92422815-92422826(+)::chr2:92422814-92422826(+) AACAGGAAGGCC >mm10_chr2:92434652-92434663(-)::chr2:92434651-92434663(-) GCGAGGAAGTGG >mm10_chr2:92459527-92459538(+)::chr2:92459526-92459538(+) cgaaggaaggac >mm10_chr2:92459546-92459557(+)::chr2:92459545-92459557(+) aggaggaaATAA >mm10_chr2:92459842-92459853(-)::chr2:92459841-92459853(-) CGCGGGAAGTGG >mm10_chr2:92499375-92499386(-)::chr2:92499374-92499386(-) ATGAGGAAGAAg >mm10_chr2:92529335-92529346(+)::chr2:92529334-92529346(+) ATGAGGAAATGG >mm10_chr2:92556855-92556866(-)::chr2:92556854-92556866(-) TAAAGAAAGTAG >mm10_chr2:92556872-92556883(-)::chr2:92556871-92556883(-) GGCAGGAAGATT >mm10_chr2:92563125-92563136(-)::chr2:92563124-92563136(-) ATGAGGAAGTGT >mm10_chr2:92595292-92595303(+)::chr2:92595291-92595303(+) AGAAGGAAGTAG >mm10_chr2:92608462-92608473(+)::chr2:92608461-92608473(+) ACAGGGAAGGTA >mm10_chr2:92614399-92614410(-)::chr2:92614398-92614410(-) GGGCGGAAGTCA >mm10_chr2:92640760-92640771(+)::chr2:92640759-92640771(+) aaaaggaagagg >mm10_chr2:92640766-92640777(+)::chr2:92640765-92640777(+) aagaggaagggg >mm10_chr2:92640786-92640797(+)::chr2:92640785-92640797(+) agaaggaagTGC >mm10_chr2:92668055-92668066(+)::chr2:92668054-92668066(+) AAGAGGAAGGCA >mm10_chr2:92690571-92690582(+)::chr2:92690570-92690582(+) AGACGGAAGATA >mm10_chr2:92701896-92701907(+)::chr2:92701895-92701907(+) TGAAGGAAGTGA >mm10_chr2:92742285-92742296(+)::chr2:92742284-92742296(+) TCGAGGAAGAGC >mm10_chr2:92742299-92742310(+)::chr2:92742298-92742310(+) GGAAGGAAGCAG >mm10_chr2:92743176-92743187(-)::chr2:92743175-92743187(-) gaaaggaagaag >mm10_chr2:92743197-92743208(-)::chr2:92743196-92743208(-) ggaaggaagagg >mm10_chr2:92752294-92752305(-)::chr2:92752293-92752305(-) TAAAGGAAGTTG >mm10_chr2:92752318-92752329(+)::chr2:92752317-92752329(+) AGGAGGAAGTGA >mm10_chr2:92752337-92752348(-)::chr2:92752336-92752348(-) CACAGGAAGTCC >mm10_chr2:92752797-92752808(+)::chr2:92752796-92752808(+) ACAAGGAAATAA >mm10_chr2:92752844-92752855(+)::chr2:92752843-92752855(+) AGCAGGAAGATA >mm10_chr2:92752853-92752864(+)::chr2:92752852-92752864(+) ATAAGGAAACAG >mm10_chr2:92759491-92759502(+)::chr2:92759490-92759502(+) AGGAGGAAGAGG >mm10_chr2:92759820-92759831(+)::chr2:92759819-92759831(+) acaaggaaataa >mm10_chr2:92765970-92765981(-)::chr2:92765969-92765981(-) AGAAGGAAGCAT >mm10_chr2:92765977-92765988(-)::chr2:92765976-92765988(-) AACAGGAAGAAG >mm10_chr2:92794916-92794927(-)::chr2:92794915-92794927(-) AACAGGAAGTTA >mm10_chr2:92803474-92803485(+)::chr2:92803473-92803485(+) ctcaggaagtaa >mm10_chr2:92806040-92806051(+)::chr2:92806039-92806051(+) AGAGGGAAGTGC >mm10_chr2:92861805-92861816(+)::chr2:92861804-92861816(+) agaaggaagagg >mm10_chr2:92861811-92861822(+)::chr2:92861810-92861822(+) aagaggaagagg >mm10_chr2:92861817-92861828(+)::chr2:92861816-92861828(+) aagaggaagagg >mm10_chr2:92861823-92861834(+)::chr2:92861822-92861834(+) aagaggaaggag >mm10_chr2:92861848-92861859(+)::chr2:92861847-92861859(+) TAAAGGAAGCAC >mm10_chr2:92975679-92975690(-)::chr2:92975678-92975690(-) TCAAGGATGTGC >mm10_chr2:92987981-92987992(+)::chr2:92987980-92987992(+) AACAGGAAATAA >mm10_chr2:92988017-92988028(+)::chr2:92988016-92988028(+) GGGAGGAAGTCG >mm10_chr2:92988526-92988537(-)::chr2:92988525-92988537(-) atcaggaaggcg >mm10_chr2:93005445-93005456(+)::chr2:93005444-93005456(+) AGCAGGAAGGAA >mm10_chr2:93005463-93005474(+)::chr2:93005462-93005474(+) AGAAGGAAGGGA >mm10_chr2:93014064-93014075(+)::chr2:93014063-93014075(+) AAGAGGAAATGC >mm10_chr2:93014240-93014251(+)::chr2:93014239-93014251(+) GGCAGGAAGGGG >mm10_chr2:93028177-93028188(+)::chr2:93028176-93028188(+) AGAAGGAAGAGG >mm10_chr2:93028209-93028220(+)::chr2:93028208-93028220(+) GCCAGGAAGGTG >mm10_chr2:93028241-93028252(+)::chr2:93028240-93028252(+) GGAAGGAGGTGG >mm10_chr2:93029167-93029178(+)::chr2:93029166-93029178(+) AACAGGAAGGAA >mm10_chr2:93029171-93029182(+)::chr2:93029170-93029182(+) GGAAGGAAGGAA >mm10_chr2:93029175-93029186(+)::chr2:93029174-93029186(+) GGAAGGAAGTAT >mm10_chr2:93032406-93032417(+)::chr2:93032405-93032417(+) GTAAGGAAGAGT >mm10_chr2:93040904-93040915(+)::chr2:93040903-93040915(+) AGCAGGAAGCTG >mm10_chr2:93040962-93040973(+)::chr2:93040961-93040973(+) AGAAGGCAGTAG >mm10_chr2:93049789-93049800(+)::chr2:93049788-93049800(+) atgaggaaatag >mm10_chr2:93049804-93049815(+)::chr2:93049803-93049815(+) gtaaagaagtga >mm10_chr2:93112464-93112475(+)::chr2:93112463-93112475(+) ACCAGGAAGCAG >mm10_chr2:93121923-93121934(-)::chr2:93121922-93121934(-) TTAAGGAAGGGC >mm10_chr2:93121947-93121958(-)::chr2:93121946-93121958(-) AGCAGGAAGCAG >mm10_chr2:93132338-93132349(-)::chr2:93132337-93132349(-) CAAAGGAAGCCA >mm10_chr2:93134946-93134957(-)::chr2:93134945-93134957(-) agaaggaagggg >mm10_chr2:93134964-93134975(-)::chr2:93134963-93134975(-) ccgaggaaggca >mm10_chr2:93142796-93142807(+)::chr2:93142795-93142807(+) AAAAGGAAGACG >mm10_chr2:93146841-93146852(+)::chr2:93146840-93146852(+) AGGAGGAAGGAG >mm10_chr2:93162151-93162162(-)::chr2:93162150-93162162(-) ttgaggaagtag >mm10_chr2:93177158-93177169(+)::chr2:93177157-93177169(+) AGACGGAAGGGC >mm10_chr2:93186422-93186433(-)::chr2:93186421-93186433(-) agcaggaagtac >mm10_chr2:93191776-93191787(-)::chr2:93191775-93191787(-) AAAAGGAAGCTA >mm10_chr2:93214355-93214366(-)::chr2:93214354-93214366(-) TGGAGGAAGTCA >mm10_chr2:93214374-93214385(-)::chr2:93214373-93214385(-) AGCAGGAAGCTG >mm10_chr2:93219193-93219204(-)::chr2:93219192-93219204(-) ACCAGGAAGGAG >mm10_chr2:93262013-93262024(-)::chr2:93262012-93262024(-) GGGAGGAAGGAG >mm10_chr2:93310841-93310852(+)::chr2:93310840-93310852(+) ACGAGGAAGACA >mm10_chr2:93320008-93320019(+)::chr2:93320007-93320019(+) TCACGGAAGTAC >mm10_chr2:93380916-93380927(+)::chr2:93380915-93380927(+) AAAAGGAAGAGG >mm10_chr2:93399422-93399433(-)::chr2:93399421-93399433(-) ggaaggaaggaa >mm10_chr2:93399435-93399446(-)::chr2:93399434-93399446(-) ggaaggaaggga >mm10_chr2:93399439-93399450(-)::chr2:93399438-93399450(-) ggaaggaaggaa >mm10_chr2:93399443-93399454(-)::chr2:93399442-93399454(-) ggaaggaaggaa >mm10_chr2:93399447-93399458(-)::chr2:93399446-93399458(-) ggtaggaaggaa >mm10_chr2:93399455-93399466(-)::chr2:93399454-93399466(-) agagggaaggta >mm10_chr2:93399462-93399473(-)::chr2:93399461-93399473(-) ggGaggaagagg >mm10_chr2:93431801-93431812(-)::chr2:93431800-93431812(-) AGGAGGAAGTGG >mm10_chr2:93431808-93431819(-)::chr2:93431807-93431819(-) AGGAGGAAGGAG >mm10_chr2:93437404-93437415(+)::chr2:93437403-93437415(+) AAGAGGAAGAGG >mm10_chr2:93437410-93437421(+)::chr2:93437409-93437421(+) AAGAGGAAATAC >mm10_chr2:93443354-93443365(-)::chr2:93443353-93443365(-) AGGAGGAAGTGG >mm10_chr2:93446110-93446121(-)::chr2:93446109-93446121(-) GTGAGGAAGTCT >mm10_chr2:93457876-93457887(+)::chr2:93457875-93457887(+) TGTAGGAAGTCC >mm10_chr2:93458693-93458704(+)::chr2:93458692-93458704(+) GCCAGGAAGGGA >mm10_chr2:93458717-93458728(+)::chr2:93458716-93458728(+) AGAGGGAAGTTA >mm10_chr2:93467591-93467602(+)::chr2:93467590-93467602(+) agaaggaaggga >mm10_chr2:93467603-93467614(+)::chr2:93467602-93467614(+) gagaggaaggag >mm10_chr2:93481542-93481553(+)::chr2:93481541-93481553(+) AGAAGGAAGTGG >mm10_chr2:93514728-93514739(-)::chr2:93514727-93514739(-) CTAAGGAAGAAG >mm10_chr2:93520902-93520913(+)::chr2:93520901-93520913(+) GGGAGGAAGAAG >mm10_chr2:93552902-93552913(-)::chr2:93552901-93552913(-) AAGAGGAAGCAG >mm10_chr2:93572018-93572029(-)::chr2:93572017-93572029(-) ctaaggaagacc >mm10_chr2:93581470-93581481(-)::chr2:93581469-93581481(-) accaggaagtga >mm10_chr2:93581500-93581511(+)::chr2:93581499-93581511(+) aggaggaaggga >mm10_chr2:93589995-93590006(-)::chr2:93589994-93590006(-) GAGAGGAAGAGA >mm10_chr2:93723346-93723357(-)::chr2:93723345-93723357(-) ttgaggaagtag >mm10_chr2:93821355-93821366(-)::chr2:93821354-93821366(-) AACAGGAAATTG >mm10_chr2:93849914-93849925(-)::chr2:93849913-93849925(-) ACAGGGAAGTGC >mm10_chr2:93888582-93888593(+)::chr2:93888581-93888593(+) AGTAGGAAGTGG >mm10_chr2:93906284-93906295(+)::chr2:93906283-93906295(+) ATAAGGAAAAGC >mm10_chr2:93906567-93906578(-)::chr2:93906566-93906578(-) GACAGGAAGTGG >mm10_chr2:93941853-93941864(+)::chr2:93941852-93941864(+) GGGAGGAAGCGA >mm10_chr2:93941919-93941930(+)::chr2:93941918-93941930(+) ACAGGGAAGTAC >mm10_chr2:93947512-93947523(-)::chr2:93947511-93947523(-) ACCAGGAAGAAC >mm10_chr2:93955283-93955294(+)::chr2:93955282-93955294(+) GGGAGGAAGGAA >mm10_chr2:93961895-93961906(-)::chr2:93961894-93961906(-) GAAAGGAAGACT >mm10_chr2:93961929-93961940(-)::chr2:93961928-93961940(-) GCTAGGAAGTTG >mm10_chr2:93991776-93991787(+)::chr2:93991775-93991787(+) CACAGGAAGTAA >mm10_chr2:94058830-94058841(+)::chr2:94058829-94058841(+) TTGAGGAAGTTC >mm10_chr2:94085646-94085657(-)::chr2:94085645-94085657(-) agtaggaagaac >mm10_chr2:94087370-94087381(+)::chr2:94087369-94087381(+) ACAAGGAAATTC >mm10_chr2:94144716-94144727(-)::chr2:94144715-94144727(-) ATAGGGAAATGA >mm10_chr2:94144730-94144741(-)::chr2:94144729-94144741(-) GACAGGAAGTAT >mm10_chr2:94144744-94144755(+)::chr2:94144743-94144755(+) AGAAGGAAAGGG >mm10_chr2:94154582-94154593(+)::chr2:94154581-94154593(+) acaaggaagagt >mm10_chr2:94189600-94189611(-)::chr2:94189599-94189611(-) agaaggaAAAAA >mm10_chr2:94189621-94189632(-)::chr2:94189620-94189632(-) aagaggaagagg >mm10_chr2:94189627-94189638(-)::chr2:94189626-94189638(-) aagaggaagagg >mm10_chr2:94189633-94189644(-)::chr2:94189632-94189644(-) aagaggaagagg >mm10_chr2:94189645-94189656(-)::chr2:94189644-94189656(-) aggaggaagaga >mm10_chr2:94189657-94189668(-)::chr2:94189656-94189668(-) aggaggaagagg >mm10_chr2:94256122-94256133(-)::chr2:94256121-94256133(-) TTCAGGAAGTAG >mm10_chr2:94256140-94256151(+)::chr2:94256139-94256151(+) AGAAGGAAGAAG >mm10_chr2:94405838-94405849(+)::chr2:94405837-94405849(+) CAAAGGAACTAG >mm10_chr2:94531395-94531406(+)::chr2:94531394-94531406(+) TGGCGGAAGTGG >mm10_chr2:94531463-94531474(+)::chr2:94531462-94531474(+) AGGAGGAAGATA >mm10_chr2:94649506-94649517(+)::chr2:94649505-94649517(+) TCAAGGAAGAAC >mm10_chr2:94893631-94893642(-)::chr2:94893630-94893642(-) TGTAGGAAGAAA >mm10_chr2:94919621-94919632(-)::chr2:94919620-94919632(-) GTAAGGAGGTGG >mm10_chr2:95232410-95232421(-)::chr2:95232409-95232421(-) GAAAGGAAGGAG >mm10_chr2:95314514-95314525(-)::chr2:95314513-95314525(-) TAGAGGAAGTGA >mm10_chr2:96138818-96138829(+)::chr2:96138817-96138829(+) TTAAGGAAGCTG >mm10_chr2:98107065-98107076(-)::chr2:98107064-98107076(-) ggcaggaagaga >mm10_chr2:98747035-98747046(+)::chr2:98747034-98747046(+) GAAAGGAAATGA >mm10_chr2:98747113-98747124(-)::chr2:98747112-98747124(-) AAAAGGAATTAT >mm10_chr2:99247982-99247993(+)::chr2:99247981-99247993(+) ATAAGGAACAAA >mm10_chr2:100785428-100785439(+)::chr2:100785427-100785439(+) agaaggaagcag >mm10_chr2:100785435-100785446(+)::chr2:100785434-100785446(+) agcaggaaatga >mm10_chr2:101247121-101247132(+)::chr2:101247120-101247132(+) ACAAGGAAGAGT >mm10_chr2:101247144-101247155(-)::chr2:101247143-101247155(-) AGCAGGAAGAAT >mm10_chr2:101265828-101265839(-)::chr2:101265827-101265839(-) TGAAGGAAGCTG >mm10_chr2:101637271-101637282(-)::chr2:101637270-101637282(-) TCAGGGAAGTAT >mm10_chr2:101665581-101665592(-)::chr2:101665580-101665592(-) AGGAGGAAGAGC >mm10_chr2:101752687-101752698(+)::chr2:101752686-101752698(+) ATCCGGAAGTAG >mm10_chr2:101810936-101810947(-)::chr2:101810935-101810947(-) AGGAGGAAATGT >mm10_chr2:101823043-101823054(+)::chr2:101823042-101823054(+) AGAAGGAAGCAG >mm10_chr2:101828574-101828585(+)::chr2:101828573-101828585(+) agaaggaagtta >mm10_chr2:101862547-101862558(+)::chr2:101862546-101862558(+) ATAAGGAAAAGG >mm10_chr2:101881950-101881961(-)::chr2:101881949-101881961(-) cccaggaagtct >mm10_chr2:101886137-101886148(+)::chr2:101886136-101886148(+) AGGAGGAAGACT >mm10_chr2:101886144-101886155(-)::chr2:101886143-101886155(-) ACCAGGAAGTCT >mm10_chr2:101993372-101993383(+)::chr2:101993371-101993383(+) ATCAGGAAGAGA >mm10_chr2:102015039-102015050(+)::chr2:102015038-102015050(+) ACCAGGAAGTGT >mm10_chr2:102015091-102015102(+)::chr2:102015090-102015102(+) GTCAGGAAGAAC >mm10_chr2:102120312-102120323(-)::chr2:102120311-102120323(-) agaaggaaacaa >mm10_chr2:102146582-102146593(+)::chr2:102146581-102146593(+) agaaggaagctt >mm10_chr2:102146614-102146625(-)::chr2:102146613-102146625(-) ggaaggaagtgg >mm10_chr2:102181409-102181420(+)::chr2:102181408-102181420(+) AAGAGGAAGGAA >mm10_chr2:102181427-102181438(+)::chr2:102181426-102181438(+) TCAAGGAAGTCT >mm10_chr2:102181477-102181488(+)::chr2:102181476-102181488(+) TAAAGGAACTTC >mm10_chr2:102199950-102199961(+)::chr2:102199949-102199961(+) agaaggaaacac >mm10_chr2:102219256-102219267(-)::chr2:102219255-102219267(-) agaaggaagcag >mm10_chr2:102228725-102228736(+)::chr2:102228724-102228736(+) ATCAGGAAGTGA >mm10_chr2:102228768-102228779(+)::chr2:102228767-102228779(+) ATAGGGAAGTAT >mm10_chr2:102259303-102259314(-)::chr2:102259302-102259314(-) GGGAGGAAGTGA >mm10_chr2:102277195-102277206(+)::chr2:102277194-102277206(+) GCCAGGAAGTCC >mm10_chr2:102281592-102281603(-)::chr2:102281591-102281603(-) TCAAGGAAGAAA >mm10_chr2:102450255-102450266(-)::chr2:102450254-102450266(-) CCGAGGAAGAGA >mm10_chr2:102450327-102450338(+)::chr2:102450326-102450338(+) GCGAGGAAGTCA >mm10_chr2:102457103-102457114(-)::chr2:102457102-102457114(-) GCAGGGAAGTTC >mm10_chr2:102504144-102504155(+)::chr2:102504143-102504155(+) acaaggaacttg >mm10_chr2:102504174-102504185(-)::chr2:102504173-102504185(-) atgaggaagtag >mm10_chr2:102531235-102531246(-)::chr2:102531234-102531246(-) aggaggaagagg >mm10_chr2:102532296-102532307(-)::chr2:102532295-102532307(-) AGAAGGAAATGA >mm10_chr2:102532315-102532326(+)::chr2:102532314-102532326(+) ATGAGGAAGTTA >mm10_chr2:102544196-102544207(-)::chr2:102544195-102544207(-) AAAATGAAGTCA >mm10_chr2:102552171-102552182(-)::chr2:102552170-102552182(-) TAAAGGAAATGT >mm10_chr2:102557759-102557770(+)::chr2:102557758-102557770(+) TAAAGGAAGATG >mm10_chr2:102693018-102693029(+)::chr2:102693017-102693029(+) AAGAGGAAGGAG >mm10_chr2:102745459-102745470(+)::chr2:102745458-102745470(+) AGGAGGAAGTGA >mm10_chr2:102768590-102768601(-)::chr2:102768589-102768601(-) TAAAGGAAGTGC >mm10_chr2:102768870-102768881(-)::chr2:102768869-102768881(-) GCCAGGAAGTGC >mm10_chr2:102768878-102768889(-)::chr2:102768877-102768889(-) ACAAGGAAGCCA >mm10_chr2:102792754-102792765(+)::chr2:102792753-102792765(+) aggaggaaatgc >mm10_chr2:102793622-102793633(+)::chr2:102793621-102793633(+) ataaggaagaga >mm10_chr2:102823397-102823408(+)::chr2:102823396-102823408(+) TTAAGGAAGCAA >mm10_chr2:102825652-102825663(+)::chr2:102825651-102825663(+) ACAAGGAAAATG >mm10_chr2:102825710-102825721(-)::chr2:102825709-102825721(-) TAAAGGAAGAAA >mm10_chr2:102826218-102826229(-)::chr2:102826217-102826229(-) AAAAGGAAAATA >mm10_chr2:102828014-102828025(-)::chr2:102828013-102828025(-) ataaggaagcaa >mm10_chr2:102828715-102828726(+)::chr2:102828714-102828726(+) ACAAGGAAGAAG >mm10_chr2:102852263-102852274(+)::chr2:102852262-102852274(+) TAGAGGAAGTGC >mm10_chr2:102852277-102852288(+)::chr2:102852276-102852288(+) AGAGGGAAGTGG >mm10_chr2:102867074-102867085(+)::chr2:102867073-102867085(+) AGAAAGAAGTGG >mm10_chr2:102867161-102867172(+)::chr2:102867160-102867172(+) TGAAGGAAGTGA >mm10_chr2:102878188-102878199(-)::chr2:102878187-102878199(-) AACGGGAAGTTA >mm10_chr2:102911811-102911822(+)::chr2:102911810-102911822(+) aaaaggaagagg >mm10_chr2:102911817-102911828(+)::chr2:102911816-102911828(+) aagaggaagagA >mm10_chr2:102931171-102931182(-)::chr2:102931170-102931182(-) ataaggaaatga >mm10_chr2:103010639-103010650(+)::chr2:103010638-103010650(+) TGGAGGAAGAGG >mm10_chr2:103010874-103010885(-)::chr2:103010873-103010885(-) AGCAGGAAGAGG >mm10_chr2:103018939-103018950(-)::chr2:103018938-103018950(-) ACAAGGAAGTGT >mm10_chr2:103054363-103054374(+)::chr2:103054362-103054374(+) aagaggaaatgt >mm10_chr2:103058494-103058505(-)::chr2:103058493-103058505(-) GAAAGGAAGGTG >mm10_chr2:103059396-103059407(+)::chr2:103059395-103059407(+) TTAAGGAAGTCA >mm10_chr2:103059413-103059424(-)::chr2:103059412-103059424(-) AAAAGGAAATAA >mm10_chr2:103062216-103062227(-)::chr2:103062215-103062227(-) AGTAGGAAGTAG >mm10_chr2:103062233-103062244(-)::chr2:103062232-103062244(-) GTTAGGAAGTGG >mm10_chr2:103080150-103080161(-)::chr2:103080149-103080161(-) ACAGGGAAGTAA >mm10_chr2:103080167-103080178(-)::chr2:103080166-103080178(-) ATCAGGAAGATA >mm10_chr2:103164937-103164948(+)::chr2:103164936-103164948(+) tgcaggaaggca >mm10_chr2:103169850-103169861(+)::chr2:103169849-103169861(+) AGGAGGAAGGCA >mm10_chr2:103169862-103169873(+)::chr2:103169861-103169873(+) GAGAGGAAGAAC >mm10_chr2:103177712-103177723(-)::chr2:103177711-103177723(-) ACCAGGAAGGGA >mm10_chr2:103184141-103184152(-)::chr2:103184140-103184152(-) aggaggaaggca >mm10_chr2:103184156-103184167(-)::chr2:103184155-103184167(-) aggaggaagagg >mm10_chr2:103184181-103184192(-)::chr2:103184180-103184192(-) agaaggaagagg >mm10_chr2:103184209-103184220(-)::chr2:103184208-103184220(-) aggaggaagaag >mm10_chr2:103211666-103211677(+)::chr2:103211665-103211677(+) AGAAGGAAGATG >mm10_chr2:103211680-103211691(+)::chr2:103211679-103211691(+) TAAAGGAAGCTg >mm10_chr2:103245616-103245627(+)::chr2:103245615-103245627(+) GAAAGGAAGTGG >mm10_chr2:103259598-103259609(+)::chr2:103259597-103259609(+) ACAAAGAAGTAG >mm10_chr2:103261787-103261798(+)::chr2:103261786-103261798(+) aggaggaagaag >mm10_chr2:103261799-103261810(+)::chr2:103261798-103261810(+) aggaggaagagg >mm10_chr2:103261817-103261828(+)::chr2:103261816-103261828(+) aggaggaagagg >mm10_chr2:103272625-103272636(+)::chr2:103272624-103272636(+) TACCGGAAGTTG >mm10_chr2:103275031-103275042(-)::chr2:103275030-103275042(-) GCCAGGAAGAAG >mm10_chr2:103282400-103282411(-)::chr2:103282399-103282411(-) AGCAGGAAGTCT >mm10_chr2:103291091-103291102(-)::chr2:103291090-103291102(-) AGCAGGAAGCTC >mm10_chr2:103291102-103291113(-)::chr2:103291101-103291113(-) AACAGGAAGTCA >mm10_chr2:103318202-103318213(-)::chr2:103318201-103318213(-) GCAAGGAAGCCA >mm10_chr2:103348346-103348357(+)::chr2:103348345-103348357(+) acgaggaaatag >mm10_chr2:103362602-103362613(-)::chr2:103362601-103362613(-) TAAAGGAAGAAA >mm10_chr2:103369745-103369756(+)::chr2:103369744-103369756(+) AGAAGGAAGACA >mm10_chr2:103369792-103369803(-)::chr2:103369791-103369803(-) GTGAGGAAGAAA >mm10_chr2:103372515-103372526(+)::chr2:103372514-103372526(+) gtcaggaaggaa >mm10_chr2:103380005-103380016(+)::chr2:103380004-103380016(+) ACAAGGAAACGT >mm10_chr2:103390583-103390594(+)::chr2:103390582-103390594(+) TGGAGGAAGAGT >mm10_chr2:103393762-103393773(+)::chr2:103393761-103393773(+) taaaGGAAATAA >mm10_chr2:103435796-103435807(+)::chr2:103435795-103435807(+) ACCAGGAAATGT >mm10_chr2:103436520-103436531(-)::chr2:103436519-103436531(-) ACCAGGAAGCTG >mm10_chr2:103446419-103446430(-)::chr2:103446418-103446430(-) TGCAGGAAGCGA >mm10_chr2:103462066-103462077(+)::chr2:103462065-103462077(+) CACAGGAAGTGT >mm10_chr2:103485286-103485297(+)::chr2:103485285-103485297(+) GATAGGAAGAGA >mm10_chr2:103522192-103522203(-)::chr2:103522191-103522203(-) AGAAGGAAATAT >mm10_chr2:103578930-103578941(+)::chr2:103578929-103578941(+) acaaggaactgt >mm10_chr2:103578996-103579007(+)::chr2:103578995-103579007(+) agaaggaaggag >mm10_chr2:103583444-103583455(+)::chr2:103583443-103583455(+) AAAAGGAAGGAC >mm10_chr2:103586806-103586817(-)::chr2:103586805-103586817(-) AAAAGGAACTAT >mm10_chr2:103606019-103606030(+)::chr2:103606018-103606030(+) tggaggaagtat >mm10_chr2:103606051-103606062(+)::chr2:103606050-103606062(+) atgaggaagtta >mm10_chr2:103617079-103617090(-)::chr2:103617078-103617090(-) ACAAGGAAGAAA >mm10_chr2:103623844-103623855(+)::chr2:103623843-103623855(+) ctaaggaaggga >mm10_chr2:103623907-103623918(+)::chr2:103623906-103623918(+) aggaggaagatt >mm10_chr2:103643900-103643911(+)::chr2:103643899-103643911(+) GAGAGGAAGAAA >mm10_chr2:103644555-103644566(+)::chr2:103644554-103644566(+) AGTAGGAAGTTG >mm10_chr2:103683064-103683075(+)::chr2:103683063-103683075(+) TGGAGGAAGACA >mm10_chr2:103695032-103695043(-)::chr2:103695031-103695043(-) CAAAGGAAGGGA >mm10_chr2:103703055-103703066(+)::chr2:103703054-103703066(+) aggaggaagagg >mm10_chr2:103703061-103703072(+)::chr2:103703060-103703072(+) aagaggaagagg >mm10_chr2:103703067-103703078(+)::chr2:103703066-103703078(+) aagaggaagaag >mm10_chr2:103724822-103724833(+)::chr2:103724821-103724833(+) AGAAGGAAAACG >mm10_chr2:103724840-103724851(+)::chr2:103724839-103724851(+) ATGAGGAAGGGA >mm10_chr2:103761372-103761383(+)::chr2:103761371-103761383(+) AGGCGGAAGTAA >mm10_chr2:103780780-103780791(+)::chr2:103780779-103780791(+) ggcaggaagata >mm10_chr2:103780794-103780805(-)::chr2:103780793-103780805(-) ggaaggatgtct >mm10_chr2:103780798-103780809(-)::chr2:103780797-103780809(-) ctaaggaaggat >mm10_chr2:103832157-103832168(-)::chr2:103832156-103832168(-) ataaggaagtag >mm10_chr2:103833983-103833994(-)::chr2:103833982-103833994(-) ACCAGGAAGTAT >mm10_chr2:103835805-103835816(+)::chr2:103835804-103835816(+) AACAGGAAGACT >mm10_chr2:103842713-103842724(-)::chr2:103842712-103842724(-) AAGAGGAAATGA >mm10_chr2:103848095-103848106(-)::chr2:103848094-103848106(-) ACAAGGAAGAAG >mm10_chr2:103970077-103970088(-)::chr2:103970076-103970088(-) AGAAGGAAATCA >mm10_chr2:103970113-103970124(+)::chr2:103970112-103970124(+) AAAAGGAAATGA >mm10_chr2:104017761-104017772(+)::chr2:104017760-104017772(+) AGTAGGAAGGAA >mm10_chr2:104017765-104017776(+)::chr2:104017764-104017776(+) GGAAGGAAGCTG >mm10_chr2:104096084-104096095(-)::chr2:104096083-104096095(-) AGTAGGAAGCAG >mm10_chr2:104110234-104110245(-)::chr2:104110233-104110245(-) CACAGGAAGTTC >mm10_chr2:104156951-104156962(+)::chr2:104156950-104156962(+) AGGAGGAAGTAC >mm10_chr2:104157008-104157019(-)::chr2:104157007-104157019(-) TGAAGGAAGATC >mm10_chr2:104167365-104167376(-)::chr2:104167364-104167376(-) AGAAGGAAGTTC >mm10_chr2:104167378-104167389(+)::chr2:104167377-104167389(+) ACCAGGAAGTAG >mm10_chr2:104220801-104220812(+)::chr2:104220800-104220812(+) ACAAGGAGGTGG >mm10_chr2:104377840-104377851(+)::chr2:104377839-104377851(+) AACAGGAAGGAG >mm10_chr2:104471390-104471401(+)::chr2:104471389-104471401(+) TGCAGGAAGTAT >mm10_chr2:104491500-104491511(-)::chr2:104491499-104491511(-) AAGAGGAAGGAG >mm10_chr2:104498011-104498022(-)::chr2:104498010-104498022(-) TTGAGGAAGATA >mm10_chr2:104498019-104498030(+)::chr2:104498018-104498030(+) TCAAGGAAGTTA >mm10_chr2:104507217-104507228(-)::chr2:104507216-104507228(-) atatggaagtat >mm10_chr2:104507242-104507253(+)::chr2:104507241-104507253(+) tgaaggaagtca >mm10_chr2:104507263-104507274(+)::chr2:104507262-104507274(+) atagggaaggaa >mm10_chr2:104507281-104507292(+)::chr2:104507280-104507292(+) agcaggaactga >mm10_chr2:104590439-104590450(+)::chr2:104590438-104590450(+) TCCCGGAAGTGA >mm10_chr2:104590451-104590462(+)::chr2:104590450-104590462(+) ATACGGAAGCGC >mm10_chr2:104641122-104641133(-)::chr2:104641121-104641133(-) aagaggaagagg >mm10_chr2:104641128-104641139(-)::chr2:104641127-104641139(-) aagaggaagagg >mm10_chr2:104641134-104641145(-)::chr2:104641133-104641145(-) aagaggaagagg >mm10_chr2:104641140-104641151(-)::chr2:104641139-104641151(-) aggaggaagagg >mm10_chr2:104690509-104690520(-)::chr2:104690508-104690520(-) TTCAGGAAGTGC >mm10_chr2:104690519-104690530(-)::chr2:104690518-104690530(-) AGGAGGAAGTTT >mm10_chr2:104693040-104693051(-)::chr2:104693039-104693051(-) AGGAGGAAGTTA >mm10_chr2:104693051-104693062(-)::chr2:104693050-104693062(-) GGGAGGAAGAAA >mm10_chr2:104697523-104697534(-)::chr2:104697522-104697534(-) TTAAGGAAATAG >mm10_chr2:104697550-104697561(-)::chr2:104697549-104697561(-) ATGAGGAAGTTA >mm10_chr2:104700329-104700340(+)::chr2:104700328-104700340(+) aggaggaaggag >mm10_chr2:104700356-104700367(+)::chr2:104700355-104700367(+) aggaggaagaag >mm10_chr2:104740736-104740747(-)::chr2:104740735-104740747(-) TCCAGGAAGAGA >mm10_chr2:104817298-104817309(-)::chr2:104817297-104817309(-) GGCAGGAAGCGA >mm10_chr2:104960011-104960022(-)::chr2:104960010-104960022(-) agaaggaaaagg >mm10_chr2:104960042-104960053(-)::chr2:104960041-104960053(-) agaaggaagaag >mm10_chr2:104960054-104960065(-)::chr2:104960053-104960065(-) ggaaggaaggga >mm10_chr2:104960058-104960069(-)::chr2:104960057-104960069(-) gaaaggaaggaa >mm10_chr2:104960066-104960077(-)::chr2:104960065-104960077(-) agaaggaagaaa >mm10_chr2:104960074-104960085(-)::chr2:104960073-104960085(-) agaaggaaagaa >mm10_chr2:104960094-104960105(-)::chr2:104960093-104960105(-) agaaggaagaaa >mm10_chr2:105017125-105017136(-)::chr2:105017124-105017136(-) GGGAGGAAGTAG >mm10_chr2:105035976-105035987(+)::chr2:105035975-105035987(+) GGAAGGAAGGAG >mm10_chr2:105134079-105134090(-)::chr2:105134078-105134090(-) GGAAGGAAGTGG >mm10_chr2:105134083-105134094(-)::chr2:105134082-105134094(-) GAGAGGAAGGAA >mm10_chr2:105162966-105162977(-)::chr2:105162965-105162977(-) CGAGGGAAGTAC >mm10_chr2:105450328-105450339(-)::chr2:105450327-105450339(-) ACAAGGAAGGAG >mm10_chr2:105450359-105450370(-)::chr2:105450358-105450370(-) AGCAGGAAGCTA >mm10_chr2:105509613-105509624(+)::chr2:105509612-105509624(+) ATAAGGAAGGAA >mm10_chr2:105509617-105509628(+)::chr2:105509616-105509628(+) GGAAGGAAGAGC >mm10_chr2:105521820-105521831(-)::chr2:105521819-105521831(-) aggaggaagagg >mm10_chr2:105578728-105578739(+)::chr2:105578727-105578739(+) AAAAGAAAGTAT >mm10_chr2:105606283-105606294(+)::chr2:105606282-105606294(+) GCCAGGAAGAAG >mm10_chr2:105606310-105606321(+)::chr2:105606309-105606321(+) AGGAGGAAGTGT >mm10_chr2:105608962-105608973(+)::chr2:105608961-105608973(+) GCCAGGAAGTTC >mm10_chr2:105611683-105611694(-)::chr2:105611682-105611694(-) ggaaggaaggaG >mm10_chr2:105611701-105611712(-)::chr2:105611700-105611712(-) ggaaggaaggag >mm10_chr2:105611717-105611728(-)::chr2:105611716-105611728(-) AAGaggaaggaa >mm10_chr2:105615910-105615921(-)::chr2:105615909-105615921(-) AGGCGGAAGTGG >mm10_chr2:105657045-105657056(+)::chr2:105657044-105657056(+) AACAGGAAGAGA >mm10_chr2:105657089-105657100(+)::chr2:105657088-105657100(+) AGCAGGAAGTGT >mm10_chr2:105675517-105675528(+)::chr2:105675516-105675528(+) AGGAGGAAGTGT >mm10_chr2:105687637-105687648(-)::chr2:105687636-105687648(-) TAGCGGAAGTTA >mm10_chr2:105687647-105687658(+)::chr2:105687646-105687658(+) TAGAGGAAGTGT >mm10_chr2:105722528-105722539(-)::chr2:105722527-105722539(-) ATCGGGAAGTAG >mm10_chr2:105787056-105787067(+)::chr2:105787055-105787067(+) TACAGGAAGCAC >mm10_chr2:105904158-105904169(+)::chr2:105904157-105904169(+) AAAAGGAAATGG >mm10_chr2:105904598-105904609(-)::chr2:105904597-105904609(-) GCAAGGAAATCT >mm10_chr2:105905784-105905795(-)::chr2:105905783-105905795(-) aggaggaaggga >mm10_chr2:105985028-105985039(+)::chr2:105985027-105985039(+) aagaggaagaag >mm10_chr2:105985046-105985057(+)::chr2:105985045-105985057(+) aggaggaagagg >mm10_chr2:105985055-105985066(+)::chr2:105985054-105985066(+) aggaggaagagg >mm10_chr2:105985061-105985072(+)::chr2:105985060-105985072(+) aagaggaagaga >mm10_chr2:105986807-105986818(+)::chr2:105986806-105986818(+) AGGAGGAAGTTG >mm10_chr2:106003263-106003274(-)::chr2:106003262-106003274(-) AAAAGAAAGTGG >mm10_chr2:106003276-106003287(+)::chr2:106003275-106003287(+) CGCCGGAAGTTG >mm10_chr2:106038203-106038214(-)::chr2:106038202-106038214(-) AAAAGGAACCGC >mm10_chr2:106050359-106050370(-)::chr2:106050358-106050370(-) GGCAGGAAGCAA >mm10_chr2:106370133-106370144(+)::chr2:106370132-106370144(+) TAAAGGAAGAGG >mm10_chr2:106370157-106370168(+)::chr2:106370156-106370168(+) ACCAGGAAGTCT >mm10_chr2:106379353-106379364(-)::chr2:106379352-106379364(-) tcaaggaagtgc >mm10_chr2:106398225-106398236(-)::chr2:106398224-106398236(-) GGGAGGAAGAGG >mm10_chr2:106573340-106573351(-)::chr2:106573339-106573351(-) aaaaggaagaaa >mm10_chr2:106573376-106573387(-)::chr2:106573375-106573387(-) aggaggaagaAG >mm10_chr2:106573391-106573402(-)::chr2:106573390-106573402(-) aggaggaagagg >mm10_chr2:106656645-106656656(+)::chr2:106656644-106656656(+) tgcaggaagttc >mm10_chr2:106673311-106673322(+)::chr2:106673310-106673322(+) acaaggaagtag >mm10_chr2:106673353-106673364(+)::chr2:106673352-106673364(+) acaaggaagtaa >mm10_chr2:106677510-106677521(-)::chr2:106677509-106677521(-) ATGAGGAAGCAA >mm10_chr2:106750952-106750963(+)::chr2:106750951-106750963(+) GGGCGGAAGTAC >mm10_chr2:106765026-106765037(-)::chr2:106765025-106765037(-) TTCAGGAAGTTC >mm10_chr2:106768233-106768244(+)::chr2:106768232-106768244(+) AAGAGGAAGTGC >mm10_chr2:106800720-106800731(+)::chr2:106800719-106800731(+) gtaaggaagagc >mm10_chr2:106800748-106800759(+)::chr2:106800747-106800759(+) taaaggaagaca >mm10_chr2:106807603-106807614(+)::chr2:106807602-106807614(+) AGAAGGAAATCC >mm10_chr2:106814827-106814838(-)::chr2:106814826-106814838(-) agaaggaagaag >mm10_chr2:106814834-106814845(-)::chr2:106814833-106814845(-) agaaggaagaag >mm10_chr2:106814841-106814852(-)::chr2:106814840-106814852(-) agaaggaagaag >mm10_chr2:106814848-106814859(-)::chr2:106814847-106814859(-) agaaggaagaag >mm10_chr2:106814855-106814866(-)::chr2:106814854-106814866(-) agaaggaagaag >mm10_chr2:106814862-106814873(-)::chr2:106814861-106814873(-) agaaggaagaag >mm10_chr2:106845299-106845310(+)::chr2:106845298-106845310(+) GGAAGGAAGAGT >mm10_chr2:106856285-106856296(-)::chr2:106856284-106856296(-) AGACGGAAGCAG >mm10_chr2:106857405-106857416(-)::chr2:106857404-106857416(-) ACAAGGTAGTGG >mm10_chr2:106857422-106857433(-)::chr2:106857421-106857433(-) ATAAGGAAGGTC >mm10_chr2:106863175-106863186(+)::chr2:106863174-106863186(+) ACAATGAAGTCA >mm10_chr2:106950725-106950736(-)::chr2:106950724-106950736(-) GAAAGGAAGTTG >mm10_chr2:106975430-106975441(+)::chr2:106975429-106975441(+) AGGAGGAAGTCT >mm10_chr2:107575550-107575561(+)::chr2:107575549-107575561(+) gagaggaagtaa >mm10_chr2:107679212-107679223(-)::chr2:107679211-107679223(-) ATAGGGAAATTA >mm10_chr2:107886295-107886306(-)::chr2:107886294-107886306(-) GACAGGAAGCTA >mm10_chr2:108087959-108087970(-)::chr2:108087958-108087970(-) TGCAGGAAGTTG >mm10_chr2:108096057-108096068(-)::chr2:108096056-108096068(-) ttagggaagtga >mm10_chr2:108919599-108919610(-)::chr2:108919598-108919610(-) GAAAGGAAGATG >mm10_chr2:108919619-108919630(-)::chr2:108919618-108919630(-) CAAGGGAAGTAA >mm10_chr2:109055766-109055777(-)::chr2:109055765-109055777(-) TGAAGAAAGTAT >mm10_chr2:109507796-109507807(+)::chr2:109507795-109507807(+) AACAGGAAGTAA >mm10_chr2:109507829-109507840(+)::chr2:109507828-109507840(+) ATGAGGAAGGGA >mm10_chr2:109507838-109507849(+)::chr2:109507837-109507849(+) GGAAGGAAGCTA >mm10_chr2:109669183-109669194(-)::chr2:109669182-109669194(-) ATGAGGAAGTAT >mm10_chr2:109669209-109669220(-)::chr2:109669208-109669220(-) ATCAGGAAATAA >mm10_chr2:109670367-109670378(+)::chr2:109670366-109670378(+) ccaaggaaatcg >mm10_chr2:109890771-109890782(-)::chr2:109890770-109890782(-) AGAAGGATGCGG >mm10_chr2:109917654-109917665(+)::chr2:109917653-109917665(+) AAAAGGACGCGC >mm10_chr2:109929021-109929032(-)::chr2:109929020-109929032(-) AGAAGGAAGTGT >mm10_chr2:109936287-109936298(-)::chr2:109936286-109936298(-) TGCAGGAAGTGC >mm10_chr2:109962832-109962843(-)::chr2:109962831-109962843(-) accaggaactaa >mm10_chr2:109983748-109983759(-)::chr2:109983747-109983759(-) GGAAGGAAGTAC >mm10_chr2:109983752-109983763(-)::chr2:109983751-109983763(-) AACAGGAAGGAA >mm10_chr2:109996646-109996657(+)::chr2:109996645-109996657(+) TGACGGAAGTGC >mm10_chr2:110007561-110007572(+)::chr2:110007560-110007572(+) AAGAGGAAGTTG >mm10_chr2:110064437-110064448(+)::chr2:110064436-110064448(+) tcaaggaagaaa >mm10_chr2:110064495-110064506(+)::chr2:110064494-110064506(+) gagaggaagtta >mm10_chr2:110079413-110079424(-)::chr2:110079412-110079424(-) TAAAGGAACTAG >mm10_chr2:110083166-110083177(-)::chr2:110083165-110083177(-) ACAAGGAAGACA >mm10_chr2:110083196-110083207(+)::chr2:110083195-110083207(+) AGAAGGAAGTAC >mm10_chr2:110085692-110085703(-)::chr2:110085691-110085703(-) AGAAGGAAGCAG >mm10_chr2:110098163-110098174(+)::chr2:110098162-110098174(+) ataaggaagttc >mm10_chr2:110132706-110132717(-)::chr2:110132705-110132717(-) CAAAGGAAATGA >mm10_chr2:110136020-110136031(-)::chr2:110136019-110136031(-) AGAAGGAAATCA >mm10_chr2:110136051-110136062(-)::chr2:110136050-110136062(-) GGAAGGAAGGCA >mm10_chr2:110136055-110136066(-)::chr2:110136054-110136066(-) AAAAGGAAGGAA >mm10_chr2:110136086-110136097(-)::chr2:110136085-110136097(-) GAAAGGAAGTGT >mm10_chr2:110136752-110136763(-)::chr2:110136751-110136763(-) aggaggaagagg >mm10_chr2:110136776-110136787(-)::chr2:110136775-110136787(-) TACAGGAAGTAA >mm10_chr2:110136807-110136818(-)::chr2:110136806-110136818(-) agaaggaagggt >mm10_chr2:110177614-110177625(+)::chr2:110177613-110177625(+) AACAGGAAGGAC >mm10_chr2:110177668-110177679(-)::chr2:110177667-110177679(-) AGAAGGAAGTCC >mm10_chr2:110180167-110180178(+)::chr2:110180166-110180178(+) ACGAGGAAGAGG >mm10_chr2:110180590-110180601(-)::chr2:110180589-110180601(-) TTCAGGAAGTAT >mm10_chr2:110180654-110180665(-)::chr2:110180653-110180665(-) ACACGGAAGTTG >mm10_chr2:110186461-110186472(+)::chr2:110186460-110186472(+) ATGAGGAAGAGC >mm10_chr2:110199602-110199613(+)::chr2:110199601-110199613(+) GCGAGGAAGGCA >mm10_chr2:110199640-110199651(+)::chr2:110199639-110199651(+) ACAGGGAAGTGG >mm10_chr2:110201528-110201539(-)::chr2:110201527-110201539(-) GAAAGGAAGTTT >mm10_chr2:110202042-110202053(+)::chr2:110202041-110202053(+) TGAAGGAAGTAG >mm10_chr2:110210717-110210728(+)::chr2:110210716-110210728(+) AAGAGGAAATGC >mm10_chr2:110219056-110219067(-)::chr2:110219055-110219067(-) tagaggaagtgg >mm10_chr2:110219072-110219083(-)::chr2:110219071-110219083(-) aacaggaagcaa >mm10_chr2:110232244-110232255(-)::chr2:110232243-110232255(-) AAACGGAAGAGC >mm10_chr2:110316208-110316219(-)::chr2:110316207-110316219(-) gtaaggatgttc >mm10_chr2:110316224-110316235(-)::chr2:110316223-110316235(-) ataaggaacttt >mm10_chr2:110316236-110316247(+)::chr2:110316235-110316247(+) tgagggaagtga >mm10_chr2:110316249-110316260(+)::chr2:110316248-110316260(+) agcaggaagtca >mm10_chr2:110329096-110329107(-)::chr2:110329095-110329107(-) ACAAGGAAAGGA >mm10_chr2:110355480-110355491(+)::chr2:110355479-110355491(+) tgcaggaagttg >mm10_chr2:110362830-110362841(+)::chr2:110362829-110362841(+) ACAAGGAAAAAG >mm10_chr2:110525781-110525792(+)::chr2:110525780-110525792(+) ttgaggaagtta >mm10_chr2:110525838-110525849(+)::chr2:110525837-110525849(+) cacaggaagtac >mm10_chr2:110597841-110597852(-)::chr2:110597840-110597852(-) AAAAGGAAGAAG >mm10_chr2:110656282-110656293(+)::chr2:110656281-110656293(+) TAGGGGAAGTAA >mm10_chr2:110656290-110656301(+)::chr2:110656289-110656301(+) GTAAAGAAGTGA >mm10_chr2:110659270-110659281(-)::chr2:110659269-110659281(-) AGTAGGAAGGGC >mm10_chr2:110666810-110666821(+)::chr2:110666809-110666821(+) agaaggaagggg >mm10_chr2:110666839-110666850(+)::chr2:110666838-110666850(+) gggaggaagaga >mm10_chr2:110666851-110666862(+)::chr2:110666850-110666862(+) aggaggaagaaa >mm10_chr2:110681807-110681818(-)::chr2:110681806-110681818(-) GGAAGTAAGTAA >mm10_chr2:110681811-110681822(-)::chr2:110681810-110681822(-) TGGAGGAAGTAA >mm10_chr2:110715576-110715587(+)::chr2:110715575-110715587(+) TAAAGGCAGTGA >mm10_chr2:110728149-110728160(-)::chr2:110728148-110728160(-) tggaggaagtaa >mm10_chr2:110728163-110728174(-)::chr2:110728162-110728174(-) actaggaaggag >mm10_chr2:110807064-110807075(+)::chr2:110807063-110807075(+) aagaggaagttg >mm10_chr2:110916646-110916657(-)::chr2:110916645-110916657(-) aaaagaaagtaa >mm10_chr2:112020920-112020931(-)::chr2:112020919-112020931(-) TACAGGAAGGTC >mm10_chr2:112020970-112020981(-)::chr2:112020969-112020981(-) ACAAGGAAATGA >mm10_chr2:112217791-112217802(+)::chr2:112217790-112217802(+) cacaggaagtgg >mm10_chr2:112217850-112217861(-)::chr2:112217849-112217861(-) aaaaggaagttc >mm10_chr2:112232889-112232900(-)::chr2:112232888-112232900(-) AAGAGGAAGCAA >mm10_chr2:112232895-112232906(-)::chr2:112232894-112232906(-) AGGAGGAAGAGG >mm10_chr2:112232913-112232924(-)::chr2:112232912-112232924(-) aggAGGAAGGGA >mm10_chr2:112239419-112239430(+)::chr2:112239418-112239430(+) GGAAGGAAAGCG >mm10_chr2:112240568-112240579(+)::chr2:112240567-112240579(+) TACAGGAAGTAG >mm10_chr2:112240618-112240629(+)::chr2:112240617-112240629(+) GGGAGGAAGTGT >mm10_chr2:112244094-112244105(+)::chr2:112244093-112244105(+) TCAACGAAGTAC >mm10_chr2:112244102-112244113(-)::chr2:112244101-112244113(-) TCCAGGAAGTAC >mm10_chr2:112261918-112261929(-)::chr2:112261917-112261929(-) GGACGGAAGTCT >mm10_chr2:112284568-112284579(-)::chr2:112284567-112284579(-) ATCGGGAAGTAC >mm10_chr2:112368052-112368063(-)::chr2:112368051-112368063(-) GACAGGAAGTTT >mm10_chr2:112380888-112380899(+)::chr2:112380887-112380899(+) TTAGGGAAGTTA >mm10_chr2:112380931-112380942(-)::chr2:112380930-112380942(-) CCAAGGAAGAGT >mm10_chr2:112630851-112630862(+)::chr2:112630850-112630862(+) CCGAGGAAGAGC >mm10_chr2:112676823-112676834(-)::chr2:112676822-112676834(-) aacaggaagttc >mm10_chr2:112710494-112710505(+)::chr2:112710493-112710505(+) TGCAGGAAGGAC >mm10_chr2:113211030-113211041(+)::chr2:113211029-113211041(+) ATAAGGAAGAAA >mm10_chr2:113327843-113327854(-)::chr2:113327842-113327854(-) GTCAGGAAGAAA >mm10_chr2:113397852-113397863(-)::chr2:113397851-113397863(-) GACAGGAAGAGG >mm10_chr2:113406633-113406644(-)::chr2:113406632-113406644(-) TGAAGGAAGAAC >mm10_chr2:113409290-113409301(-)::chr2:113409289-113409301(-) ggaaggaagaga >mm10_chr2:113409294-113409305(-)::chr2:113409293-113409305(-) accaggaaggaa >mm10_chr2:113432768-113432779(+)::chr2:113432767-113432779(+) tacaggaaggag >mm10_chr2:113432809-113432820(-)::chr2:113432808-113432820(-) tggaggaagtgg >mm10_chr2:113439451-113439462(-)::chr2:113439450-113439462(-) ggaaggaagAGA >mm10_chr2:113439455-113439466(-)::chr2:113439454-113439466(-) ggaaggaaggaa >mm10_chr2:113439459-113439470(-)::chr2:113439458-113439470(-) ggaaggaaggaa >mm10_chr2:113439463-113439474(-)::chr2:113439462-113439474(-) ggaaggaaggaa >mm10_chr2:113439467-113439478(-)::chr2:113439466-113439478(-) ggaaggaaggaa >mm10_chr2:113439471-113439482(-)::chr2:113439470-113439482(-) ggaaggaaggaa >mm10_chr2:113439475-113439486(-)::chr2:113439474-113439486(-) ggaaggaaggaa >mm10_chr2:113439479-113439490(-)::chr2:113439478-113439490(-) ggaaggaaggaa >mm10_chr2:113439483-113439494(-)::chr2:113439482-113439494(-) ggaaggaaggaa >mm10_chr2:113439487-113439498(-)::chr2:113439486-113439498(-) ggaaggaaggaa >mm10_chr2:113439491-113439502(-)::chr2:113439490-113439502(-) ggaaggaaggaa >mm10_chr2:113439495-113439506(-)::chr2:113439494-113439506(-) agaaggaaggaa >mm10_chr2:113445656-113445667(-)::chr2:113445655-113445667(-) GGAAGAAAGTGG >mm10_chr2:113453677-113453688(+)::chr2:113453676-113453688(+) ttcaggaagtaa >mm10_chr2:113470974-113470985(+)::chr2:113470973-113470985(+) acccggaagtcc >mm10_chr2:113471019-113471030(-)::chr2:113471018-113471030(-) accaggaaatgg >mm10_chr2:113484000-113484011(+)::chr2:113483999-113484011(+) tgtaggaagtca >mm10_chr2:113484025-113484036(+)::chr2:113484024-113484036(+) agcaggaactga >mm10_chr2:113497166-113497177(-)::chr2:113497165-113497177(-) aagaggaagagg >mm10_chr2:113497172-113497183(-)::chr2:113497171-113497183(-) aagaggaagagg >mm10_chr2:113497178-113497189(-)::chr2:113497177-113497189(-) aggaggaagagg >mm10_chr2:113497190-113497201(-)::chr2:113497189-113497201(-) aggaggaagggg >mm10_chr2:113497208-113497219(-)::chr2:113497207-113497219(-) ggaaggaagaga >mm10_chr2:113497212-113497223(-)::chr2:113497211-113497223(-) agaaggaaggaa >mm10_chr2:113507890-113507901(+)::chr2:113507889-113507901(+) ATAAGGAAATGA >mm10_chr2:113555606-113555617(+)::chr2:113555605-113555617(+) GAAAGGAAGAAG >mm10_chr2:113573313-113573324(-)::chr2:113573312-113573324(-) GTAAGGAAGGAG >mm10_chr2:113596001-113596012(+)::chr2:113596000-113596012(+) GCCAGGAAATAT >mm10_chr2:113656066-113656077(-)::chr2:113656065-113656077(-) AGGAGGAAGAAG >mm10_chr2:113848340-113848351(-)::chr2:113848339-113848351(-) AAAGGGAAGTTT >mm10_chr2:113848358-113848369(-)::chr2:113848357-113848369(-) AAACGGAAGAGT >mm10_chr2:113848989-113849000(-)::chr2:113848988-113849000(-) GGGCGGAAGTGG >mm10_chr2:113904867-113904878(+)::chr2:113904866-113904878(+) GCCAGGAAGGAA >mm10_chr2:113904871-113904882(+)::chr2:113904870-113904882(+) GGAAGGAAGGGA >mm10_chr2:113911239-113911250(+)::chr2:113911238-113911250(+) AAGAGGAAGAAG >mm10_chr2:114036284-114036295(+)::chr2:114036283-114036295(+) AGAAGGAAATCT >mm10_chr2:114036295-114036306(-)::chr2:114036294-114036306(-) TTCAGGAAGTGA >mm10_chr2:114052359-114052370(+)::chr2:114052358-114052370(+) ACAGGGAAGTCC >mm10_chr2:114076684-114076695(+)::chr2:114076683-114076695(+) GAAAGGAAGAGT >mm10_chr2:114106628-114106639(+)::chr2:114106627-114106639(+) AGAAGGAAGTGC >mm10_chr2:114107773-114107784(-)::chr2:114107772-114107784(-) GCGAGGAAGGGG >mm10_chr2:114113684-114113695(+)::chr2:114113683-114113695(+) AGCAGGAAGAAG >mm10_chr2:114174586-114174597(+)::chr2:114174585-114174597(+) AAGAGGAAATAT >mm10_chr2:114527597-114527608(+)::chr2:114527596-114527608(+) AGGAGGAAGTTG >mm10_chr2:114535642-114535653(-)::chr2:114535641-114535653(-) AGAAGGAAATGT >mm10_chr2:114614891-114614902(-)::chr2:114614890-114614902(-) AGAAGGAAGTCT >mm10_chr2:114654201-114654212(+)::chr2:114654200-114654212(+) TTAAGTAAGTTA >mm10_chr2:114735111-114735122(-)::chr2:114735110-114735122(-) ATAAGGAAGTGT >mm10_chr2:114800556-114800567(-)::chr2:114800555-114800567(-) ATCAGGAAATGG >mm10_chr2:115374089-115374100(+)::chr2:115374088-115374100(+) TGCAGGAAGAGA >mm10_chr2:115494862-115494873(+)::chr2:115494861-115494873(+) ACAAGGAAGTAT >mm10_chr2:115581408-115581419(-)::chr2:115581407-115581419(-) ACCCGGAAGTGC >mm10_chr2:115589265-115589276(-)::chr2:115589264-115589276(-) CCAAGGAAATAA >mm10_chr2:115622959-115622970(-)::chr2:115622958-115622970(-) ACAAGGAAAGAA >mm10_chr2:115622980-115622991(-)::chr2:115622979-115622991(-) agAAGGAAACTA >mm10_chr2:115765009-115765020(+)::chr2:115765008-115765020(+) AGAAGGAAGAAA >mm10_chr2:115765071-115765082(+)::chr2:115765070-115765082(+) TCCAGGAAGTTG >mm10_chr2:115895589-115895600(+)::chr2:115895588-115895600(+) ACAAGGAAGGAG >mm10_chr2:115969203-115969214(+)::chr2:115969202-115969214(+) AGAAGGAAGGCG >mm10_chr2:116065736-116065747(+)::chr2:116065735-116065747(+) ACCCGGAAGTCG >mm10_chr2:116071716-116071727(-)::chr2:116071715-116071727(-) AAAAGGAAGTGA >mm10_chr2:116095943-116095954(-)::chr2:116095942-116095954(-) AACGGGAAGTGT >mm10_chr2:116096889-116096900(-)::chr2:116096888-116096900(-) AGCAGGAAGTAC >mm10_chr2:116598755-116598766(-)::chr2:116598754-116598766(-) aggaggaaggag >mm10_chr2:116932470-116932481(-)::chr2:116932469-116932481(-) TGGAGGAAGTAC >mm10_chr2:116932934-116932945(+)::chr2:116932933-116932945(+) aggaggaagaga >mm10_chr2:116932943-116932954(+)::chr2:116932942-116932954(+) agaaggaagaCA >mm10_chr2:116933006-116933017(+)::chr2:116933005-116933017(+) aggaggaagagg >mm10_chr2:116980989-116981000(+)::chr2:116980988-116981000(+) ACCAGGAAGTCA >mm10_chr2:116990274-116990285(-)::chr2:116990273-116990285(-) AAAAGGAAGTCA >mm10_chr2:117086526-117086537(+)::chr2:117086525-117086537(+) TCAAGGAAGGAA >mm10_chr2:117086530-117086541(+)::chr2:117086529-117086541(+) GGAAGGAAGCAT >mm10_chr2:117089688-117089699(+)::chr2:117089687-117089699(+) acagggaaggcg >mm10_chr2:117109462-117109473(+)::chr2:117109461-117109473(+) AGAAGGAAGTCT >mm10_chr2:117109486-117109497(+)::chr2:117109485-117109497(+) CAAAGGAAGGAA >mm10_chr2:117109490-117109501(+)::chr2:117109489-117109501(+) GGAAGGAAGCCT >mm10_chr2:117119732-117119743(-)::chr2:117119731-117119743(-) GGAAGGAAGCTT >mm10_chr2:117177103-117177114(-)::chr2:117177102-117177114(-) aagaggaagttc >mm10_chr2:117185750-117185761(-)::chr2:117185749-117185761(-) ACCAGGAAGCAA >mm10_chr2:117185813-117185824(-)::chr2:117185812-117185824(-) TGCAGGAAGTAA >mm10_chr2:117194468-117194479(+)::chr2:117194467-117194479(+) TAGAGGAAATGG >mm10_chr2:117231374-117231385(+)::chr2:117231373-117231385(+) AACAGGAAGCAT >mm10_chr2:117231391-117231402(-)::chr2:117231390-117231402(-) TCAAGGAAGCTC >mm10_chr2:117231648-117231659(-)::chr2:117231647-117231659(-) TAAAGGATGTGA >mm10_chr2:117264703-117264714(-)::chr2:117264702-117264714(-) TGAAGGATGTCA >mm10_chr2:117300577-117300588(+)::chr2:117300576-117300588(+) ACAAGGAAGGAA >mm10_chr2:117300581-117300592(+)::chr2:117300580-117300592(+) GGAAGGAAGAAG >mm10_chr2:117374353-117374364(+)::chr2:117374352-117374364(+) TCAGGGAAGTGT >mm10_chr2:117383112-117383123(+)::chr2:117383111-117383123(+) GGAAGGAATTAT >mm10_chr2:117383131-117383142(-)::chr2:117383130-117383142(-) AACAGGAAGAAA >mm10_chr2:117386699-117386710(+)::chr2:117386698-117386710(+) AAAAGGAAGTAC >mm10_chr2:117386707-117386718(-)::chr2:117386706-117386718(-) CCAGGGAAGTAC >mm10_chr2:117386723-117386734(+)::chr2:117386722-117386734(+) AAAAGGAAGTAA >mm10_chr2:117441440-117441451(-)::chr2:117441439-117441451(-) aggaggaagagg >mm10_chr2:117441470-117441481(-)::chr2:117441469-117441481(-) aagaggaagaaa >mm10_chr2:117441476-117441487(-)::chr2:117441475-117441487(-) agaaggaagagg >mm10_chr2:117441505-117441516(+)::chr2:117441504-117441516(+) ATAAGGAAATAT >mm10_chr2:117471443-117471454(-)::chr2:117471442-117471454(-) AACAGGAAGTGG >mm10_chr2:117512614-117512625(-)::chr2:117512613-117512625(-) ACCAGGAAGCTA >mm10_chr2:117652979-117652990(-)::chr2:117652978-117652990(-) ACCAGGAAGGTA >mm10_chr2:117691045-117691056(-)::chr2:117691044-117691056(-) ACAAGGAAGACG >mm10_chr2:117796575-117796586(+)::chr2:117796574-117796586(+) AAAAGGAAACGC >mm10_chr2:117796622-117796633(+)::chr2:117796621-117796633(+) TAACGGAAGTTC >mm10_chr2:117814481-117814492(+)::chr2:117814480-117814492(+) AAAAGGAAGGCC >mm10_chr2:117838580-117838591(+)::chr2:117838579-117838591(+) GTCAGGAAATAG >mm10_chr2:117879251-117879262(+)::chr2:117879250-117879262(+) GGGAGGAAGGAC >mm10_chr2:117936892-117936903(-)::chr2:117936891-117936903(-) AACAGGAAGTGA >mm10_chr2:117950007-117950018(+)::chr2:117950006-117950018(+) ATGAGGAAATAA >mm10_chr2:117950020-117950031(+)::chr2:117950019-117950031(+) GAGAGGAAGAAT >mm10_chr2:118047900-118047911(-)::chr2:118047899-118047911(-) GGAAGGAAGTCT >mm10_chr2:118047904-118047915(-)::chr2:118047903-118047915(-) GGGAGGAAGGAA >mm10_chr2:118062377-118062388(-)::chr2:118062376-118062388(-) GAAGGGAAGTAG >mm10_chr2:118097181-118097192(+)::chr2:118097180-118097192(+) GAAAGGAAGCTC >mm10_chr2:118149862-118149873(-)::chr2:118149861-118149873(-) GGTAGGAAATAC >mm10_chr2:118296033-118296044(+)::chr2:118296032-118296044(+) ACTAGGAAGATG >mm10_chr2:118298001-118298012(-)::chr2:118298000-118298012(-) aagaggaagaAg >mm10_chr2:118298007-118298018(-)::chr2:118298006-118298018(-) aggaggaagagg >mm10_chr2:118298023-118298034(-)::chr2:118298022-118298034(-) aggaggaaatgg >mm10_chr2:118356144-118356155(+)::chr2:118356143-118356155(+) AGGAGGAAGAAG >mm10_chr2:118356151-118356162(+)::chr2:118356150-118356162(+) AGAAGGAAGAGC >mm10_chr2:118404344-118404355(-)::chr2:118404343-118404355(-) TCAAGGAAATTA >mm10_chr2:118408597-118408608(-)::chr2:118408596-118408608(-) TGAATGAAGTGA >mm10_chr2:118465257-118465268(-)::chr2:118465256-118465268(-) AGGAGGAAGGGC >mm10_chr2:118479711-118479722(-)::chr2:118479710-118479722(-) TGACGGAAGACG >mm10_chr2:118490327-118490338(-)::chr2:118490326-118490338(-) AGCAGGAAGCTG >mm10_chr2:118499485-118499496(-)::chr2:118499484-118499496(-) AAAAGGAAGCCT >mm10_chr2:118512452-118512463(-)::chr2:118512451-118512463(-) GCCAGGAAGTAC >mm10_chr2:118532616-118532627(+)::chr2:118532615-118532627(+) AAAAGGAAGACC >mm10_chr2:118534120-118534131(-)::chr2:118534119-118534131(-) ATGAGGAAGCCA >mm10_chr2:118536694-118536705(+)::chr2:118536693-118536705(+) AGAAGGAAGGGG >mm10_chr2:118536708-118536719(+)::chr2:118536707-118536719(+) ACCAGGAAGACT >mm10_chr2:118540388-118540399(+)::chr2:118540387-118540399(+) ACCAGGAAGTAG >mm10_chr2:118545352-118545363(+)::chr2:118545351-118545363(+) AGAAGGAAGAGG >mm10_chr2:118545358-118545369(+)::chr2:118545357-118545369(+) AAGAGGAAGCAG >mm10_chr2:118547908-118547919(+)::chr2:118547907-118547919(+) AGAAGGAAGTGA >mm10_chr2:118580661-118580672(-)::chr2:118580660-118580672(-) GGAAGGAAGCAA >mm10_chr2:118580665-118580676(-)::chr2:118580664-118580676(-) AGTAGGAAGGAA >mm10_chr2:118580672-118580683(-)::chr2:118580671-118580683(-) TGGGGGAAGTAG >mm10_chr2:118586260-118586271(+)::chr2:118586259-118586271(+) GGGAGGAAGGGA >mm10_chr2:118587766-118587777(-)::chr2:118587765-118587777(-) aagaggaagagg >mm10_chr2:118587780-118587791(+)::chr2:118587779-118587791(+) tgaaggaagtcc >mm10_chr2:118654152-118654163(+)::chr2:118654151-118654163(+) TTAGGGAAGTTT >mm10_chr2:118665144-118665155(-)::chr2:118665143-118665155(-) AGCAGGAAGCAA >mm10_chr2:118686946-118686957(+)::chr2:118686945-118686957(+) AGAAGGAAATGA >mm10_chr2:118697050-118697061(-)::chr2:118697049-118697061(-) AGGAGGAAGAAG >mm10_chr2:118697772-118697783(-)::chr2:118697771-118697783(-) GCCAGGAAGGTG >mm10_chr2:118697803-118697814(+)::chr2:118697802-118697814(+) CCAAGGAAGAAC >mm10_chr2:118698166-118698177(+)::chr2:118698165-118698177(+) CCGAGGAAGGAG >mm10_chr2:118728380-118728391(+)::chr2:118728379-118728391(+) AGAAGGAAGAAG >mm10_chr2:118728649-118728660(-)::chr2:118728648-118728660(-) AGCAGGAAGTGC >mm10_chr2:118728663-118728674(-)::chr2:118728662-118728674(-) AGAAGGAAGCCC >mm10_chr2:118730061-118730072(-)::chr2:118730060-118730072(-) GACAGGAAGGAG >mm10_chr2:118765426-118765437(-)::chr2:118765425-118765437(-) AGGAGGAAGGAG >mm10_chr2:118823046-118823057(+)::chr2:118823045-118823057(+) ttcaggaagtcc >mm10_chr2:118825783-118825794(+)::chr2:118825782-118825794(+) AAAAAGAAGTAG >mm10_chr2:118825866-118825877(+)::chr2:118825865-118825877(+) agcaggaaatat >mm10_chr2:118834100-118834111(+)::chr2:118834099-118834111(+) TAGAGGAAATGG >mm10_chr2:118838477-118838488(+)::chr2:118838476-118838488(+) AACAGGAAGCTA >mm10_chr2:118846956-118846967(+)::chr2:118846955-118846967(+) aacaggaagctg >mm10_chr2:118859781-118859792(-)::chr2:118859780-118859792(-) ACAAGGAAGTTA >mm10_chr2:118871311-118871322(-)::chr2:118871310-118871322(-) GACAGGAAGGAA >mm10_chr2:118872805-118872816(+)::chr2:118872804-118872816(+) AGGAGGAAGAGG >mm10_chr2:118892418-118892429(+)::chr2:118892417-118892429(+) ACGAGGAAGAGG >mm10_chr2:118892425-118892436(+)::chr2:118892424-118892436(+) AGAGGGAAGTGC >mm10_chr2:118892471-118892482(+)::chr2:118892470-118892482(+) AGTAGGAAGTTG >mm10_chr2:118901399-118901410(+)::chr2:118901398-118901410(+) ACGAGGAAGCAG >mm10_chr2:118901406-118901417(+)::chr2:118901405-118901417(+) AGCAGGAAGGAG >mm10_chr2:118904242-118904253(-)::chr2:118904241-118904253(-) AGCAGGAAGAAA >mm10_chr2:118923063-118923074(-)::chr2:118923062-118923074(-) AAGAGGAAGTCA >mm10_chr2:118923069-118923080(-)::chr2:118923068-118923080(-) TCCAGGAAGAGG >mm10_chr2:118977960-118977971(+)::chr2:118977959-118977971(+) TTAAGGAAGACC >mm10_chr2:118988099-118988110(+)::chr2:118988098-118988110(+) ACAAGGAAGTCT >mm10_chr2:118988135-118988146(-)::chr2:118988134-118988146(-) ACAGGGAAGACG >mm10_chr2:119015191-119015202(-)::chr2:119015190-119015202(-) TTAAGGAAGCCA >mm10_chr2:119015923-119015934(+)::chr2:119015922-119015934(+) ACACGGAAGGAA >mm10_chr2:119015927-119015938(+)::chr2:119015926-119015938(+) GGAAGGAAGCTG >mm10_chr2:119015977-119015988(+)::chr2:119015976-119015988(+) TTAAGGAAGTTC >mm10_chr2:119028659-119028670(+)::chr2:119028658-119028670(+) GTGAGGAAGAAG >mm10_chr2:119028666-119028677(+)::chr2:119028665-119028677(+) AGAAGGAAGTGG >mm10_chr2:119028690-119028701(+)::chr2:119028689-119028701(+) GCGAGGAAGAAG >mm10_chr2:119028700-119028711(+)::chr2:119028699-119028711(+) AGCAGGAAGAGG >mm10_chr2:119028706-119028717(+)::chr2:119028705-119028717(+) AAGAGGAAATAA >mm10_chr2:119029362-119029373(+)::chr2:119029361-119029373(+) GTCAGGAAGAAT >mm10_chr2:119034684-119034695(-)::chr2:119034683-119034695(-) CTGAGGAAGTCC >mm10_chr2:119034754-119034765(-)::chr2:119034753-119034765(-) ATCAGGAAGATC >mm10_chr2:119046843-119046854(-)::chr2:119046842-119046854(-) ACCAGGAAGAAA >mm10_chr2:119112676-119112687(+)::chr2:119112675-119112687(+) TAAAGGAAGGGA >mm10_chr2:119156965-119156976(-)::chr2:119156964-119156976(-) TTAAGGACGTCA >mm10_chr2:119172948-119172959(-)::chr2:119172947-119172959(-) ACAAGGAAGGAC >mm10_chr2:119175718-119175729(+)::chr2:119175717-119175729(+) TGAAGGAAGCTG >mm10_chr2:119189374-119189385(-)::chr2:119189373-119189385(-) ACCAGGAAGCCA >mm10_chr2:119191950-119191961(-)::chr2:119191949-119191961(-) aagaggaagagg >mm10_chr2:119191970-119191981(-)::chr2:119191969-119191981(-) aggaggaagagg >mm10_chr2:119191989-119192000(-)::chr2:119191988-119192000(-) aggaggaagaag >mm10_chr2:119208544-119208555(+)::chr2:119208543-119208555(+) TTCAGGAAGTGC >mm10_chr2:119210307-119210318(+)::chr2:119210306-119210318(+) ACAAGGAATTGC >mm10_chr2:119210352-119210363(-)::chr2:119210351-119210363(-) AGGAGGAAGAGT >mm10_chr2:119210359-119210370(-)::chr2:119210358-119210370(-) TGAAGGAAGGAG >mm10_chr2:119210387-119210398(-)::chr2:119210386-119210398(-) AGAAGGAAGTGA >mm10_chr2:119227609-119227620(-)::chr2:119227608-119227620(-) AGCAGGAAGCAA >mm10_chr2:119232978-119232989(+)::chr2:119232977-119232989(+) aagaggaagagg >mm10_chr2:119232996-119233007(+)::chr2:119232995-119233007(+) aggaggaagaag >mm10_chr2:119233017-119233028(+)::chr2:119233016-119233028(+) aagaggaagaag >mm10_chr2:119233031-119233042(+)::chr2:119233030-119233042(+) aggaggaagagg >mm10_chr2:119233037-119233048(+)::chr2:119233036-119233048(+) aagaggaagagg >mm10_chr2:119234922-119234933(-)::chr2:119234921-119234933(-) ccaaggaagtaa >mm10_chr2:119236686-119236697(+)::chr2:119236685-119236697(+) AAAAGGAAATGT >mm10_chr2:119237260-119237271(+)::chr2:119237259-119237271(+) AGCCGGAAGTTC >mm10_chr2:119251089-119251100(-)::chr2:119251088-119251100(-) ATCAGGAAGGCA >mm10_chr2:119260638-119260649(+)::chr2:119260637-119260649(+) AAGAGGAAGGGC >mm10_chr2:119260661-119260672(+)::chr2:119260660-119260672(+) aggaggaagaag >mm10_chr2:119260682-119260693(+)::chr2:119260681-119260693(+) aggaggaagagg >mm10_chr2:119263029-119263040(+)::chr2:119263028-119263040(+) CCCAGGAAGTCA >mm10_chr2:119269341-119269352(-)::chr2:119269340-119269352(-) GGCAGGAAGTGA >mm10_chr2:119289348-119289359(+)::chr2:119289347-119289359(+) ATAAGGAAGTAC >mm10_chr2:119296991-119297002(+)::chr2:119296990-119297002(+) AGGAGGAAGAAG >mm10_chr2:119318538-119318549(-)::chr2:119318537-119318549(-) ACCAGGAAGTAC >mm10_chr2:119320660-119320671(+)::chr2:119320659-119320671(+) GACAGGAAGGAA >mm10_chr2:119320664-119320675(+)::chr2:119320663-119320675(+) GGAAGGAACCGG >mm10_chr2:119330267-119330278(-)::chr2:119330266-119330278(-) agaaggaagagt >mm10_chr2:119330318-119330329(-)::chr2:119330317-119330329(-) atgaggaagtca >mm10_chr2:119336004-119336015(-)::chr2:119336003-119336015(-) AGGAGGAAGTAC >mm10_chr2:119351926-119351937(-)::chr2:119351925-119351937(-) agaaggaagagg >mm10_chr2:119351935-119351946(-)::chr2:119351934-119351946(-) aagaggaagaga >mm10_chr2:119351944-119351955(-)::chr2:119351943-119351955(-) gaaaggaagaag >mm10_chr2:119354175-119354186(-)::chr2:119354174-119354186(-) ATAAGGAAATGA >mm10_chr2:119354216-119354227(-)::chr2:119354215-119354227(-) TATAGGAAGTGA >mm10_chr2:119361279-119361290(-)::chr2:119361278-119361290(-) AGGAGGAAGGAG >mm10_chr2:119361286-119361297(-)::chr2:119361285-119361297(-) AAGAGGAAGGAG >mm10_chr2:119368580-119368591(-)::chr2:119368579-119368591(-) tggaggaagaaa >mm10_chr2:119368604-119368615(-)::chr2:119368603-119368615(-) acaaggaagaga >mm10_chr2:119369932-119369943(+)::chr2:119369931-119369943(+) GGAAGCAAGTGG >mm10_chr2:119369970-119369981(+)::chr2:119369969-119369981(+) GCAAGGAAGAAA >mm10_chr2:119388495-119388506(+)::chr2:119388494-119388506(+) TGGAGGAAGTTG >mm10_chr2:119408081-119408092(+)::chr2:119408080-119408092(+) AAGAGGAAGAAA >mm10_chr2:119411323-119411334(+)::chr2:119411322-119411334(+) TGAAGGAAGAAG >mm10_chr2:119425407-119425418(+)::chr2:119425406-119425418(+) TGCAGGAAGTTT >mm10_chr2:119432893-119432904(+)::chr2:119432892-119432904(+) agtaggaagagg >mm10_chr2:119432902-119432913(+)::chr2:119432901-119432913(+) aggaggaagaag >mm10_chr2:119432909-119432920(+)::chr2:119432908-119432920(+) agaaggaagagg >mm10_chr2:119432924-119432935(+)::chr2:119432923-119432935(+) agaaggaagaag >mm10_chr2:119478033-119478044(+)::chr2:119478032-119478044(+) TTCCGGAAGTAA >mm10_chr2:119508486-119508497(+)::chr2:119508485-119508497(+) AGAAGGAAAGAT >mm10_chr2:119559067-119559078(-)::chr2:119559066-119559078(-) AACAGGAAGTGA >mm10_chr2:119559079-119559090(-)::chr2:119559078-119559090(-) GGCAGGAAGTAA >mm10_chr2:119559106-119559117(-)::chr2:119559105-119559117(-) ATGAGGAAGGGG >mm10_chr2:119575404-119575415(-)::chr2:119575403-119575415(-) aggaggaagaag >mm10_chr2:119575413-119575424(-)::chr2:119575412-119575424(-) aagaggaagagg >mm10_chr2:119575419-119575430(-)::chr2:119575418-119575430(-) aagaggaagagg >mm10_chr2:119575425-119575436(-)::chr2:119575424-119575436(-) aagaggaagagg >mm10_chr2:119575450-119575461(-)::chr2:119575449-119575461(-) aaaaggaaggag >mm10_chr2:119575462-119575473(-)::chr2:119575461-119575473(-) GCCaggaagaag >mm10_chr2:119662843-119662854(-)::chr2:119662842-119662854(-) AACCGGAAGCGT >mm10_chr2:119698629-119698640(+)::chr2:119698628-119698640(+) AAAAGGAAGTGG >mm10_chr2:119741967-119741978(+)::chr2:119741966-119741978(+) CCGAGGAAGCGA >mm10_chr2:119787521-119787532(-)::chr2:119787520-119787532(-) CGGCGGAAGTGC >mm10_chr2:119810450-119810461(-)::chr2:119810449-119810461(-) AAAGGGAAGCGA >mm10_chr2:119813290-119813301(+)::chr2:119813289-119813301(+) TGGAGGAAGTGT >mm10_chr2:119814053-119814064(+)::chr2:119814052-119814064(+) ataaggaaaagg >mm10_chr2:119814086-119814097(+)::chr2:119814085-119814097(+) atgaggaaatgg >mm10_chr2:119817195-119817206(-)::chr2:119817194-119817206(-) TGCAGGAAGAAC >mm10_chr2:119835788-119835799(-)::chr2:119835787-119835799(-) AGGAGGAAGAAG >mm10_chr2:119835802-119835813(-)::chr2:119835801-119835813(-) AGAAGGAAGAGG >mm10_chr2:119835809-119835820(-)::chr2:119835808-119835820(-) ATAAGGAAGAAG >mm10_chr2:119864037-119864048(-)::chr2:119864036-119864048(-) tggaggaagttc >mm10_chr2:119877333-119877344(-)::chr2:119877332-119877344(-) aggaggaagagg >mm10_chr2:119877345-119877356(-)::chr2:119877344-119877356(-) aagaggaagaac >mm10_chr2:119877351-119877362(-)::chr2:119877350-119877362(-) GAgaggaagagg >mm10_chr2:119877378-119877389(-)::chr2:119877377-119877389(-) ggaaggaaggaa >mm10_chr2:119877382-119877393(-)::chr2:119877381-119877393(-) gaaaggaaggaa >mm10_chr2:119895922-119895933(+)::chr2:119895921-119895933(+) CGAAGCAAGTCA >mm10_chr2:119895957-119895968(-)::chr2:119895956-119895968(-) AAGAGGAAGGAC >mm10_chr2:119964868-119964879(+)::chr2:119964867-119964879(+) ACCAGGAAGGCC >mm10_chr2:119986161-119986172(+)::chr2:119986160-119986172(+) ACAAGGAAACGG >mm10_chr2:119996082-119996093(+)::chr2:119996081-119996093(+) GCCAGGAAGTAT >mm10_chr2:119997556-119997567(+)::chr2:119997555-119997567(+) TCAAGGAAGAAC >mm10_chr2:119997593-119997604(+)::chr2:119997592-119997604(+) TGCAGGAAGTGC >mm10_chr2:120012184-120012195(-)::chr2:120012183-120012195(-) TGAAGGAAATGA >mm10_chr2:120012205-120012216(-)::chr2:120012204-120012216(-) TCAAGGAAGAGC >mm10_chr2:120032411-120032422(+)::chr2:120032410-120032422(+) ACAAGGAAGCAC >mm10_chr2:120033906-120033917(+)::chr2:120033905-120033917(+) AAAAGGAAGGGC >mm10_chr2:120034849-120034860(+)::chr2:120034848-120034860(+) AGAAGGAAGTGG >mm10_chr2:120034907-120034918(-)::chr2:120034906-120034918(-) TGCAGGAAGGAG >mm10_chr2:120037705-120037716(-)::chr2:120037704-120037716(-) AGAAGCAAGTTA >mm10_chr2:120037720-120037731(-)::chr2:120037719-120037731(-) AGAAGGAAGAGA >mm10_chr2:120050440-120050451(-)::chr2:120050439-120050451(-) CCAAGGAAGTGC >mm10_chr2:120111822-120111833(-)::chr2:120111821-120111833(-) ACAAGGAAACGT >mm10_chr2:120126646-120126657(+)::chr2:120126645-120126657(+) AGCAGGAAGTGA >mm10_chr2:120128714-120128725(+)::chr2:120128713-120128725(+) agcaggaagtgc >mm10_chr2:120152101-120152112(-)::chr2:120152100-120152112(-) AGGGGGAAGTGA >mm10_chr2:120158999-120159010(+)::chr2:120158998-120159010(+) GAGAGGAAGTCC >mm10_chr2:120159977-120159988(+)::chr2:120159976-120159988(+) gtaaagaagtgt >mm10_chr2:120188502-120188513(-)::chr2:120188501-120188513(-) AGAAGTAAGTAG >mm10_chr2:120188563-120188574(+)::chr2:120188562-120188574(+) AAAAGGAAGGAA >mm10_chr2:120188567-120188578(+)::chr2:120188566-120188578(+) GGAAGGAAGCTA >mm10_chr2:120194563-120194574(+)::chr2:120194562-120194574(+) ATAAGGAAGGGT >mm10_chr2:120194582-120194593(-)::chr2:120194581-120194593(-) TAAAGGAAGATA >mm10_chr2:120194647-120194658(+)::chr2:120194646-120194658(+) AGAAGGAAATGA >mm10_chr2:120251280-120251291(+)::chr2:120251279-120251291(+) agaagcaagtgc >mm10_chr2:120266129-120266140(+)::chr2:120266128-120266140(+) accaggaaggag >mm10_chr2:120266136-120266147(+)::chr2:120266135-120266147(+) aggaggaaggaa >mm10_chr2:120266140-120266151(+)::chr2:120266139-120266151(+) ggaaggaagacc >mm10_chr2:120281603-120281614(-)::chr2:120281602-120281614(-) CTAAGGAAATTG >mm10_chr2:120294364-120294375(+)::chr2:120294363-120294375(+) ATGAGGAAGTGG >mm10_chr2:120312194-120312205(-)::chr2:120312193-120312205(-) ACGAGGATGTCC >mm10_chr2:120312517-120312528(+)::chr2:120312516-120312528(+) GAAAGGAAGGAG >mm10_chr2:120348432-120348443(-)::chr2:120348431-120348443(-) AAGAGGAAGGGG >mm10_chr2:120348438-120348449(-)::chr2:120348437-120348449(-) ATAGGGAAGAGG >mm10_chr2:120367209-120367220(+)::chr2:120367208-120367220(+) gtagggaagttc >mm10_chr2:120367225-120367236(+)::chr2:120367224-120367236(+) aacaggaaggaa >mm10_chr2:120370832-120370843(+)::chr2:120370831-120370843(+) ttgaggaagtat >mm10_chr2:120376816-120376827(+)::chr2:120376815-120376827(+) ttaaggaaatgg >mm10_chr2:120413312-120413323(-)::chr2:120413311-120413323(-) GGTAGGAAGCGC >mm10_chr2:120524102-120524113(-)::chr2:120524101-120524113(-) GCAAGGAACTGC >mm10_chr2:120524170-120524181(-)::chr2:120524169-120524181(-) GCAAGGAAGGTC >mm10_chr2:120528919-120528930(-)::chr2:120528918-120528930(-) AAGAGGAAGAGG >mm10_chr2:120530757-120530768(+)::chr2:120530756-120530768(+) GGACGGAAGAGC >mm10_chr2:120532176-120532187(-)::chr2:120532175-120532187(-) AACAGGAAGTCT >mm10_chr2:120553338-120553349(-)::chr2:120553337-120553349(-) aagaggaagagg >mm10_chr2:120553344-120553355(-)::chr2:120553343-120553355(-) aggaggaagagg >mm10_chr2:120578468-120578479(-)::chr2:120578467-120578479(-) ATCAGGAAGGAA >mm10_chr2:120595214-120595225(+)::chr2:120595213-120595225(+) agaaggaagGAC >mm10_chr2:120609128-120609139(-)::chr2:120609127-120609139(-) CCAGGGAAGTAG >mm10_chr2:120632046-120632057(-)::chr2:120632045-120632057(-) GGAAGGAAGTTC >mm10_chr2:120632078-120632089(-)::chr2:120632077-120632089(-) TGGAGGAAGTTG >mm10_chr2:120632089-120632100(-)::chr2:120632088-120632100(-) ATCAGGAAGGGT >mm10_chr2:120650670-120650681(-)::chr2:120650669-120650681(-) AGAAGGAAAAAC >mm10_chr2:120650699-120650710(+)::chr2:120650698-120650710(+) TTAAGGAAGTTA >mm10_chr2:120650715-120650726(-)::chr2:120650714-120650726(-) AGGAGGAAGAAG >mm10_chr2:120763404-120763415(-)::chr2:120763403-120763415(-) TCGAGGAAGTGG >mm10_chr2:120763430-120763441(+)::chr2:120763429-120763441(+) ACCAGGAAGGGC >mm10_chr2:120763438-120763449(-)::chr2:120763437-120763449(-) AGAAGGAAGCCC >mm10_chr2:120850539-120850550(+)::chr2:120850538-120850550(+) ATCAGGAAGGGG >mm10_chr2:120977154-120977165(-)::chr2:120977153-120977165(-) ACCAGGAAGAGC >mm10_chr2:120998378-120998389(+)::chr2:120998377-120998389(+) aacgggaagttt >mm10_chr2:121008388-121008399(+)::chr2:121008387-121008399(+) AGAAGGAAGGGG >mm10_chr2:121036619-121036630(+)::chr2:121036618-121036630(+) CCCAGGAAGTGC >mm10_chr2:121048761-121048772(+)::chr2:121048760-121048772(+) AAAAGGAAGGAG >mm10_chr2:121048775-121048786(+)::chr2:121048774-121048786(+) AGAAAGAAGTAG >mm10_chr2:121048787-121048798(+)::chr2:121048786-121048798(+) GAAAGGAAGAGG >mm10_chr2:121056931-121056942(-)::chr2:121056930-121056942(-) aagaggaagagg >mm10_chr2:121056937-121056948(-)::chr2:121056936-121056948(-) aagaggaagagg >mm10_chr2:121056943-121056954(-)::chr2:121056942-121056954(-) aagaggaagagg >mm10_chr2:121056949-121056960(-)::chr2:121056948-121056960(-) aagaggaagagg >mm10_chr2:121056955-121056966(-)::chr2:121056954-121056966(-) aggaggaagagg >mm10_chr2:121275936-121275947(-)::chr2:121275935-121275947(-) aggaggaagagg >mm10_chr2:121275966-121275977(-)::chr2:121275965-121275977(-) agaaggaagggg >mm10_chr2:121275973-121275984(-)::chr2:121275972-121275984(-) aaaaggaagaag >mm10_chr2:121309308-121309319(-)::chr2:121309307-121309319(-) AACAGGAAGTGA >mm10_chr2:121309362-121309373(-)::chr2:121309361-121309373(-) GCAAGGAAGTGT >mm10_chr2:121357787-121357798(+)::chr2:121357786-121357798(+) AGAAGGAAGAGA >mm10_chr2:121357796-121357807(+)::chr2:121357795-121357807(+) AGAAGGAAGAAG >mm10_chr2:121358153-121358164(-)::chr2:121358152-121358164(-) ACCAGGAAGAGC >mm10_chr2:121358217-121358228(-)::chr2:121358216-121358228(-) AGGAGGAAGACT >mm10_chr2:121363768-121363779(+)::chr2:121363767-121363779(+) AGGAGGAAGTAG >mm10_chr2:121363775-121363786(+)::chr2:121363774-121363786(+) AGTAGGAAATGA >mm10_chr2:121364864-121364875(+)::chr2:121364863-121364875(+) AGTAGGAAGAAA >mm10_chr2:121397123-121397134(+)::chr2:121397122-121397134(+) GTGAGGAAGAAT >mm10_chr2:121455208-121455219(-)::chr2:121455207-121455219(-) AGCAGGAagctg >mm10_chr2:121455224-121455235(+)::chr2:121455223-121455235(+) AGTAGGAAGTTG >mm10_chr2:121474051-121474062(+)::chr2:121474050-121474062(+) AACCGGAAGTAC >mm10_chr2:121484634-121484645(-)::chr2:121484633-121484645(-) AGAAGGATGTGT >mm10_chr2:121506658-121506669(-)::chr2:121506657-121506669(-) TACCGGAAGTTG >mm10_chr2:121551247-121551258(-)::chr2:121551246-121551258(-) AGAAGGAACTGC >mm10_chr2:121551291-121551302(+)::chr2:121551290-121551302(+) GAGAGGAAATAG >mm10_chr2:121582768-121582779(-)::chr2:121582767-121582779(-) acaaggaagccg >mm10_chr2:121582824-121582835(-)::chr2:121582823-121582835(-) atcaggaagaac >mm10_chr2:121688555-121688566(+)::chr2:121688554-121688566(+) AGAAGGAAAAAG >mm10_chr2:121755651-121755662(-)::chr2:121755650-121755662(-) cccaggaagtct >mm10_chr2:121755665-121755676(-)::chr2:121755664-121755676(-) acagggaagcga >mm10_chr2:121807130-121807141(+)::chr2:121807129-121807141(+) AGGAGGAAGATC >mm10_chr2:121854260-121854271(+)::chr2:121854259-121854271(+) tccaggaagtga >mm10_chr2:121872073-121872084(-)::chr2:121872072-121872084(-) ACCAGGAAGTGG >mm10_chr2:121912472-121912483(-)::chr2:121912471-121912483(-) AGGAGGAAGCAC >mm10_chr2:121913121-121913132(+)::chr2:121913120-121913132(+) ttaaggaagggt >mm10_chr2:122011577-122011588(+)::chr2:122011576-122011588(+) TCCAGGAAGGAA >mm10_chr2:122011581-122011592(+)::chr2:122011580-122011592(+) GGAAGGAAGTAT >mm10_chr2:122028242-122028253(-)::chr2:122028241-122028253(-) AGATGGAAGTAT >mm10_chr2:122028295-122028306(-)::chr2:122028294-122028306(-) AGGAGGAAGTGG >mm10_chr2:122032134-122032145(+)::chr2:122032133-122032145(+) TTCAGGAAGTGA >mm10_chr2:122032142-122032153(+)::chr2:122032141-122032153(+) GTGAGGAAGTCG >mm10_chr2:122041367-122041378(+)::chr2:122041366-122041378(+) TAAAGGAAGTAT >mm10_chr2:122042085-122042096(-)::chr2:122042084-122042096(-) aggaggaagaAA >mm10_chr2:122042101-122042112(-)::chr2:122042100-122042112(-) aggaggaagaag >mm10_chr2:122042145-122042156(-)::chr2:122042144-122042156(-) aggaggaagaga >mm10_chr2:122071379-122071390(-)::chr2:122071378-122071390(-) GGAAGGAAGCAA >mm10_chr2:122071383-122071394(-)::chr2:122071382-122071394(-) GAAAGGAAGGAA >mm10_chr2:122071396-122071407(-)::chr2:122071395-122071407(-) AGAAGGAAGAAG >mm10_chr2:122071403-122071414(-)::chr2:122071402-122071414(-) AGAAGGAAGAAG >mm10_chr2:122118340-122118351(-)::chr2:122118339-122118351(-) GCCCGGAAGTGC >mm10_chr2:122148725-122148736(-)::chr2:122148724-122148736(-) AAAAGGAAGCGC >mm10_chr2:122187407-122187418(-)::chr2:122187406-122187418(-) aagaggaagaTC >mm10_chr2:122187413-122187424(-)::chr2:122187412-122187424(-) aagaggaagagg >mm10_chr2:122187419-122187430(-)::chr2:122187418-122187430(-) aggaggaagagg >mm10_chr2:122187434-122187445(-)::chr2:122187433-122187445(-) aggaggaagagg >mm10_chr2:122187449-122187460(-)::chr2:122187448-122187460(-) aggaggaagagg >mm10_chr2:122205359-122205370(+)::chr2:122205358-122205370(+) ATGAGGAAATGA >mm10_chr2:122212852-122212863(+)::chr2:122212851-122212863(+) acaaggaagtgg >mm10_chr2:122212895-122212906(+)::chr2:122212894-122212906(+) acaaggaagtaa >mm10_chr2:122228331-122228342(+)::chr2:122228330-122228342(+) TGTaggaaggga >mm10_chr2:122236546-122236557(+)::chr2:122236545-122236557(+) AGCAGGAAGGAA >mm10_chr2:122237512-122237523(+)::chr2:122237511-122237523(+) AAGAGGAAGAAA >mm10_chr2:122263443-122263454(-)::chr2:122263442-122263454(-) CTGAGGAAGTAC >mm10_chr2:122306570-122306581(-)::chr2:122306569-122306581(-) GGAAGGAACTCC >mm10_chr2:122338800-122338811(+)::chr2:122338799-122338811(+) GGCAGGAAGACT >mm10_chr2:122348864-122348875(+)::chr2:122348863-122348875(+) CAAAGGAAGCGA >mm10_chr2:122360059-122360070(+)::chr2:122360058-122360070(+) agaaggaagaga >mm10_chr2:122360104-122360115(+)::chr2:122360103-122360115(+) aggaggaagagg >mm10_chr2:122362088-122362099(+)::chr2:122362087-122362099(+) TCCAGGAAGTCT >mm10_chr2:122375628-122375639(-)::chr2:122375627-122375639(-) CGCAGGAAGTGG >mm10_chr2:122377461-122377472(-)::chr2:122377460-122377472(-) CCAAGGAAGGAG >mm10_chr2:122441755-122441766(+)::chr2:122441754-122441766(+) TGTAGGAAGGCG >mm10_chr2:122441791-122441802(+)::chr2:122441790-122441802(+) AGGAGGAAGTGA >mm10_chr2:122562434-122562445(+)::chr2:122562433-122562445(+) AGAAGGAAGAGA >mm10_chr2:122569578-122569589(+)::chr2:122569577-122569589(+) AGAAGGAAACAT >mm10_chr2:122581396-122581407(+)::chr2:122581395-122581407(+) acaaggaaatga >mm10_chr2:122581826-122581837(+)::chr2:122581825-122581837(+) aggaggaagtga >mm10_chr2:122610195-122610206(+)::chr2:122610194-122610206(+) TCAAGGAAGTGC >mm10_chr2:122610235-122610246(+)::chr2:122610234-122610246(+) AGGAGGAAGTCT >mm10_chr2:122654828-122654839(+)::chr2:122654827-122654839(+) aaaaggaattaa >mm10_chr2:122658268-122658279(+)::chr2:122658267-122658279(+) CCAAGGAAGAAG >mm10_chr2:122658275-122658286(+)::chr2:122658274-122658286(+) AGAAGGAAGTTC >mm10_chr2:122695539-122695550(+)::chr2:122695538-122695550(+) TAGAGGAAGAAG >mm10_chr2:122695567-122695578(-)::chr2:122695566-122695578(-) ATAAGGAAGATG >mm10_chr2:122700336-122700347(+)::chr2:122700335-122700347(+) accaggaagttc >mm10_chr2:122703179-122703190(-)::chr2:122703178-122703190(-) AGAAGGAAGACA >mm10_chr2:123271693-123271704(+)::chr2:123271692-123271704(+) ATAaggaagagg >mm10_chr2:123271711-123271722(+)::chr2:123271710-123271722(+) atgaggaagagg >mm10_chr2:123271717-123271728(+)::chr2:123271716-123271728(+) aagaggaagaga >mm10_chr2:123271729-123271740(+)::chr2:123271728-123271740(+) aggaggaagatg >mm10_chr2:123271738-123271749(+)::chr2:123271737-123271749(+) atgaggaagagg >mm10_chr2:123271744-123271755(+)::chr2:123271743-123271755(+) aagaggaagaca >mm10_chr2:123271756-123271767(+)::chr2:123271755-123271767(+) aggaggaagaga >mm10_chr2:123384576-123384587(-)::chr2:123384575-123384587(-) agcaggaagaaa >mm10_chr2:123385739-123385750(-)::chr2:123385738-123385750(-) tacaggaaatgg >mm10_chr2:123385824-123385835(-)::chr2:123385823-123385835(-) tggaggaagttg >mm10_chr2:123386222-123386233(-)::chr2:123386221-123386233(-) AGAAGGAAGGAA >mm10_chr2:123397692-123397703(+)::chr2:123397691-123397703(+) ACAAGGAAATGC >mm10_chr2:123415349-123415360(-)::chr2:123415348-123415360(-) AGAAGGAAATGG >mm10_chr2:123620896-123620907(+)::chr2:123620895-123620907(+) TGCAGGAAGAGA >mm10_chr2:123620916-123620927(+)::chr2:123620915-123620927(+) GGGAGGAAATGC >mm10_chr2:123624288-123624299(+)::chr2:123624287-123624299(+) TTAAGGAAGAGG >mm10_chr2:123655345-123655356(+)::chr2:123655344-123655356(+) AGAAGGATGTTG >mm10_chr2:124003545-124003556(-)::chr2:124003544-124003556(-) aagaggaAGAAG >mm10_chr2:124003551-124003562(-)::chr2:124003550-124003562(-) aagaggaagagg >mm10_chr2:124003557-124003568(-)::chr2:124003556-124003568(-) aggaggaagagg >mm10_chr2:124335763-124335774(-)::chr2:124335762-124335774(-) AACAGGAAGAAA >mm10_chr2:124380154-124380165(-)::chr2:124380153-124380165(-) CACAGGAAGTAT >mm10_chr2:124399828-124399839(+)::chr2:124399827-124399839(+) AGGAGGAAGGGC >mm10_chr2:124599193-124599204(-)::chr2:124599192-124599204(-) GGCAGGAAGCTA >mm10_chr2:124719832-124719843(-)::chr2:124719831-124719843(-) GCAAGGAACTTG >mm10_chr2:124762125-124762136(+)::chr2:124762124-124762136(+) ACCAGGAAGTCA >mm10_chr2:124775857-124775868(-)::chr2:124775856-124775868(-) AACAGGAAGGGC >mm10_chr2:124775886-124775897(-)::chr2:124775885-124775897(-) ACCAGGAAGTGT >mm10_chr2:124858649-124858660(+)::chr2:124858648-124858660(+) aaaaggaaggga >mm10_chr2:124858649-124858660(+)::chr2:124858648-124858660(+) aaaaggaaggga >mm10_chr2:124858674-124858685(+)::chr2:124858673-124858685(+) ggaaggaaggac >mm10_chr2:124858714-124858725(+)::chr2:124858713-124858725(+) agaaggaagTAC >mm10_chr2:125057419-125057430(-)::chr2:125057418-125057430(-) GGAAGGAAGATT >mm10_chr2:125129511-125129522(+)::chr2:125129510-125129522(+) agcaggaagaga >mm10_chr2:125322747-125322758(-)::chr2:125322746-125322758(-) AAGCGGAAGTGG >mm10_chr2:125322762-125322773(-)::chr2:125322761-125322773(-) AGCAGGAAGGGT >mm10_chr2:125380511-125380522(-)::chr2:125380510-125380522(-) ggaaggaagaag >mm10_chr2:125411986-125411997(-)::chr2:125411985-125411997(-) AGGAGGAAGTGA >mm10_chr2:125495061-125495072(+)::chr2:125495060-125495072(+) AAGAGGAAGAAG >mm10_chr2:125607132-125607143(+)::chr2:125607131-125607143(+) ccagggaagtga >mm10_chr2:125607145-125607156(-)::chr2:125607144-125607156(-) gcaaggaagggc >mm10_chr2:125621569-125621580(+)::chr2:125621568-125621580(+) Gagaggaagagg >mm10_chr2:125621575-125621586(+)::chr2:125621574-125621586(+) aagaggaagagg >mm10_chr2:125621581-125621592(+)::chr2:125621580-125621592(+) aagaggaagagg >mm10_chr2:125621587-125621598(+)::chr2:125621586-125621598(+) aagaggaagagg >mm10_chr2:125621593-125621604(+)::chr2:125621592-125621604(+) aagaggaagagg >mm10_chr2:125621599-125621610(+)::chr2:125621598-125621610(+) aagaggaagagg >mm10_chr2:125621605-125621616(+)::chr2:125621604-125621616(+) aagaggaagagg >mm10_chr2:125621611-125621622(+)::chr2:125621610-125621622(+) aagaggaagagg >mm10_chr2:125637553-125637564(-)::chr2:125637552-125637564(-) atcaggaagatc >mm10_chr2:125660724-125660735(-)::chr2:125660723-125660735(-) GGCAGGAAGTGG >mm10_chr2:125738947-125738958(+)::chr2:125738946-125738958(+) GGTAGGAAGGAA >mm10_chr2:125738951-125738962(+)::chr2:125738950-125738962(+) GGAAGGAAGCTC >mm10_chr2:125782232-125782243(+)::chr2:125782231-125782243(+) AGGAGGAAGCAT >mm10_chr2:125782282-125782293(-)::chr2:125782281-125782293(-) GGGAGGAAGGGC >mm10_chr2:125809356-125809367(-)::chr2:125809355-125809367(-) ACCAGGAAATCG >mm10_chr2:125826435-125826446(-)::chr2:125826434-125826446(-) AGAAGGAAATGT >mm10_chr2:125919044-125919055(+)::chr2:125919043-125919055(+) TCAAGGAAGCCA >mm10_chr2:125934045-125934056(-)::chr2:125934044-125934056(-) AGCAGGAAATGG >mm10_chr2:125934062-125934073(-)::chr2:125934061-125934073(-) AAGAGGAAGTGT >mm10_chr2:125988385-125988396(-)::chr2:125988384-125988396(-) GGAAGGAAGGAC >mm10_chr2:126115437-126115448(-)::chr2:126115436-126115448(-) aggaggaagagg >mm10_chr2:126115450-126115461(-)::chr2:126115449-126115461(-) ggcaggaaggag >mm10_chr2:126164824-126164835(-)::chr2:126164823-126164835(-) ACTAGGAAGTAA >mm10_chr2:126312410-126312421(+)::chr2:126312409-126312421(+) AGCAGGAAGTAC >mm10_chr2:126312431-126312442(+)::chr2:126312430-126312442(+) GTAAGGAAGGGC >mm10_chr2:126325730-126325741(+)::chr2:126325729-126325741(+) ATAAGGAAACGC >mm10_chr2:126449891-126449902(+)::chr2:126449890-126449902(+) GAAAGGAAGAAG >mm10_chr2:126450880-126450891(-)::chr2:126450879-126450891(-) CGGAGGAAGAAT >mm10_chr2:126522229-126522240(-)::chr2:126522228-126522240(-) ggaaggaaatca >mm10_chr2:126522233-126522244(-)::chr2:126522232-126522244(-) gacaggaaggaa >mm10_chr2:126530812-126530823(+)::chr2:126530811-126530823(+) ttcaggaaataa >mm10_chr2:126532220-126532231(-)::chr2:126532219-126532231(-) gacaggaagaca >mm10_chr2:126536256-126536267(+)::chr2:126536255-126536267(+) agaaggaagctt >mm10_chr2:126546611-126546622(-)::chr2:126546610-126546622(-) aagaggaagagg >mm10_chr2:126563926-126563937(+)::chr2:126563925-126563937(+) acgaggaagcac >mm10_chr2:126572557-126572568(+)::chr2:126572556-126572568(+) CCAAGGAAGACA >mm10_chr2:126597616-126597627(+)::chr2:126597615-126597627(+) CAGAGGAAGTTG >mm10_chr2:126677530-126677541(+)::chr2:126677529-126677541(+) TCAAGGAAATCA >mm10_chr2:126707177-126707188(-)::chr2:126707176-126707188(-) GGACGGAAGCGC >mm10_chr2:126754868-126754879(+)::chr2:126754867-126754879(+) ACAAGGAAGAGA >mm10_chr2:126775292-126775303(+)::chr2:126775291-126775303(+) aggaggaaggag >mm10_chr2:126866531-126866542(+)::chr2:126866530-126866542(+) tagaggaagtca >mm10_chr2:126866586-126866597(-)::chr2:126866585-126866597(-) ggaaggaactga >mm10_chr2:126866590-126866601(-)::chr2:126866589-126866601(-) tggaggaaggaa >mm10_chr2:126904539-126904550(+)::chr2:126904538-126904550(+) aagaggaagagg >mm10_chr2:126904545-126904556(+)::chr2:126904544-126904556(+) aagaggaagagg >mm10_chr2:126904551-126904562(+)::chr2:126904550-126904562(+) aagaggaagagg >mm10_chr2:126904557-126904568(+)::chr2:126904556-126904568(+) aagaggaagagg >mm10_chr2:126904563-126904574(+)::chr2:126904562-126904574(+) aagaggaagagg >mm10_chr2:126904569-126904580(+)::chr2:126904568-126904580(+) aagaggaagagg >mm10_chr2:126904575-126904586(+)::chr2:126904574-126904586(+) aagaggaagagg >mm10_chr2:126904581-126904592(+)::chr2:126904580-126904592(+) aagaggaagagg >mm10_chr2:126904587-126904598(+)::chr2:126904586-126904598(+) aagaggaagagg >mm10_chr2:126904593-126904604(+)::chr2:126904592-126904604(+) aagaggaagagg >mm10_chr2:126904599-126904610(+)::chr2:126904598-126904610(+) aagaggaagaga >mm10_chr2:126915296-126915307(-)::chr2:126915295-126915307(-) AAAAGGAAAACG >mm10_chr2:126915858-126915869(-)::chr2:126915857-126915869(-) GCAAGTAAGTGG >mm10_chr2:126932746-126932757(+)::chr2:126932745-126932757(+) CGAAGGCAGTTA >mm10_chr2:126934004-126934015(+)::chr2:126934003-126934015(+) ACAAGGAACTCC >mm10_chr2:126934324-126934335(+)::chr2:126934323-126934335(+) TGAAGGAAGCTC >mm10_chr2:126961870-126961881(-)::chr2:126961869-126961881(-) CGTAGGAAGGAA >mm10_chr2:126964356-126964367(+)::chr2:126964355-126964367(+) ttaaggaaatga >mm10_chr2:126996909-126996920(-)::chr2:126996908-126996920(-) AAGAGGAAGTAA >mm10_chr2:127008692-127008703(+)::chr2:127008691-127008703(+) CGCCGGAAGTGA >mm10_chr2:127046813-127046824(+)::chr2:127046812-127046824(+) ATGAGGAAGTGA >mm10_chr2:127079736-127079747(+)::chr2:127079735-127079747(+) tggaggaaggca >mm10_chr2:127099142-127099153(-)::chr2:127099141-127099153(-) tggaggaagaaa >mm10_chr2:127121701-127121712(+)::chr2:127121700-127121712(+) AGAAGGAAATGC >mm10_chr2:127141780-127141791(-)::chr2:127141779-127141791(-) AAGAGGAAGAGA >mm10_chr2:127141786-127141797(-)::chr2:127141785-127141797(-) AGGAGGAAGAGG >mm10_chr2:127189652-127189663(+)::chr2:127189651-127189663(+) ttcaggaagtgt >mm10_chr2:127189702-127189713(-)::chr2:127189701-127189713(-) aacaggaagtac >mm10_chr2:127189732-127189743(+)::chr2:127189731-127189743(+) aagaggaaatgc >mm10_chr2:127259234-127259245(-)::chr2:127259233-127259245(-) AACAGGAAGCTA >mm10_chr2:127259254-127259265(-)::chr2:127259253-127259265(-) AGGAGGAAGAAA >mm10_chr2:127285402-127285413(-)::chr2:127285401-127285413(-) agaaggaagaag >mm10_chr2:127285457-127285468(-)::chr2:127285456-127285468(-) atagggaagttg >mm10_chr2:127322734-127322745(+)::chr2:127322733-127322745(+) TTCAGGAAGTGG >mm10_chr2:127444615-127444626(-)::chr2:127444614-127444626(-) GGGAGGAAGCAG >mm10_chr2:127444651-127444662(-)::chr2:127444650-127444662(-) TAAAAGAAGTAC >mm10_chr2:127468519-127468530(+)::chr2:127468518-127468530(+) aggaggaagaag >mm10_chr2:127468532-127468543(+)::chr2:127468531-127468543(+) aggaggaaggag >mm10_chr2:127541483-127541494(+)::chr2:127541482-127541494(+) CGTAGGAAGGGC >mm10_chr2:127656467-127656478(-)::chr2:127656466-127656478(-) ACAGGGAAGTGC >mm10_chr2:127660311-127660322(+)::chr2:127660310-127660322(+) GGGAGGAAGTGC >mm10_chr2:127660355-127660366(-)::chr2:127660354-127660366(-) AGAAGGAAGTCT >mm10_chr2:127683773-127683784(-)::chr2:127683772-127683784(-) AGACGGAAGTCC >mm10_chr2:127722819-127722830(-)::chr2:127722818-127722830(-) GGGAGGAAGTGC >mm10_chr2:127722841-127722852(-)::chr2:127722840-127722852(-) AGCAGGAAGTCC >mm10_chr2:127728371-127728382(-)::chr2:127728370-127728382(-) accaggaagtta >mm10_chr2:127730478-127730489(-)::chr2:127730477-127730489(-) GGAAGGAACTGC >mm10_chr2:127730482-127730493(-)::chr2:127730481-127730493(-) AGCAGGAAGGAA >mm10_chr2:127737022-127737033(+)::chr2:127737021-127737033(+) AAGAGGAAGTGT >mm10_chr2:127803382-127803393(-)::chr2:127803381-127803393(-) TTCAGGAAGTGA >mm10_chr2:127803817-127803828(+)::chr2:127803816-127803828(+) ATAAGGAAGTCT >mm10_chr2:127803846-127803857(-)::chr2:127803845-127803857(-) AAGAGGAAGAGG >mm10_chr2:127890740-127890751(+)::chr2:127890739-127890751(+) AGAGGGAAGTCC >mm10_chr2:127895041-127895052(-)::chr2:127895040-127895052(-) TGCAGGAAATAA >mm10_chr2:127904116-127904127(-)::chr2:127904115-127904127(-) agaaggaagcag >mm10_chr2:127907244-127907255(-)::chr2:127907243-127907255(-) ACCAGGAAGTGT >mm10_chr2:127928015-127928026(-)::chr2:127928014-127928026(-) AAGAGGAAGAGG >mm10_chr2:128009515-128009526(+)::chr2:128009514-128009526(+) tggaggaagagg >mm10_chr2:128009524-128009535(+)::chr2:128009523-128009535(+) aggaggaaggga >mm10_chr2:128009551-128009562(+)::chr2:128009550-128009562(+) gaaaggaagaga >mm10_chr2:128009560-128009571(+)::chr2:128009559-128009571(+) agaaggaaggag >mm10_chr2:128009587-128009598(+)::chr2:128009586-128009598(+) aggaggaAGCTA >mm10_chr2:128041514-128041525(-)::chr2:128041513-128041525(-) ACAAGGAAGTCA >mm10_chr2:128095939-128095950(+)::chr2:128095938-128095950(+) aggaggaagtgg >mm10_chr2:128122642-128122653(+)::chr2:128122641-128122653(+) AGAAGGAAGGAA >mm10_chr2:128185999-128186010(+)::chr2:128185998-128186010(+) AACAGGAAGTGA >mm10_chr2:128186031-128186042(+)::chr2:128186030-128186042(+) GGGAGGAAGAGG >mm10_chr2:128203308-128203319(-)::chr2:128203307-128203319(-) TGAGGGAAGTGT >mm10_chr2:128203342-128203353(+)::chr2:128203341-128203353(+) AGGAGGAAGTGA >mm10_chr2:128209938-128209949(+)::chr2:128209937-128209949(+) AGGAGGAAGGTG >mm10_chr2:128210000-128210011(+)::chr2:128209999-128210011(+) ACAAGGAAAAGT >mm10_chr2:128211557-128211568(+)::chr2:128211556-128211568(+) GCCAGGAAGAGA >mm10_chr2:128241031-128241042(+)::chr2:128241030-128241042(+) AGCAGGAAGAAC >mm10_chr2:128258133-128258144(-)::chr2:128258132-128258144(-) AGGAGGAAATGG >mm10_chr2:128258163-128258174(-)::chr2:128258162-128258174(-) ATGAGGAAGTGA >mm10_chr2:128272994-128273005(+)::chr2:128272993-128273005(+) ACAAGGCAGTTA >mm10_chr2:128276672-128276683(-)::chr2:128276671-128276683(-) GAGAGGAAGTCT >mm10_chr2:128300388-128300399(+)::chr2:128300387-128300399(+) agcaggaagaac >mm10_chr2:128312086-128312097(-)::chr2:128312085-128312097(-) TAAAGGAAGTCA >mm10_chr2:128319168-128319179(-)::chr2:128319167-128319179(-) GACAGGAAATGA >mm10_chr2:128324672-128324683(-)::chr2:128324671-128324683(-) GCGAGGAAGCAT >mm10_chr2:128364340-128364351(-)::chr2:128364339-128364351(-) ACAAGGAAGATC >mm10_chr2:128387017-128387028(+)::chr2:128387016-128387028(+) ACAAGGAAATGA >mm10_chr2:128395365-128395376(-)::chr2:128395364-128395376(-) ACCAGGAAGGAA >mm10_chr2:128395539-128395550(-)::chr2:128395538-128395550(-) AAAAGGAAGTGA >mm10_chr2:128408370-128408381(+)::chr2:128408369-128408381(+) tccaggaagtca >mm10_chr2:128417238-128417249(+)::chr2:128417237-128417249(+) GGCAGGAAGGAC >mm10_chr2:128429359-128429370(-)::chr2:128429358-128429370(-) GAGAGGAAGTGG >mm10_chr2:128439626-128439637(+)::chr2:128439625-128439637(+) ACAAGGAAATAT >mm10_chr2:128489317-128489328(+)::chr2:128489316-128489328(+) AGAAGGAAGGAA >mm10_chr2:128489321-128489332(+)::chr2:128489320-128489332(+) GGAAGGAAGAAC >mm10_chr2:128489333-128489344(+)::chr2:128489332-128489344(+) AGGAGGAAGGAC >mm10_chr2:128494110-128494121(-)::chr2:128494109-128494121(-) GTAAGGAAGGTG >mm10_chr2:128545435-128545446(-)::chr2:128545434-128545446(-) AAAAGGAAGTTT >mm10_chr2:128545475-128545486(+)::chr2:128545474-128545486(+) ATAAGGAACTAG >mm10_chr2:128547046-128547057(-)::chr2:128547045-128547057(-) AAAAGGAAGAAT >mm10_chr2:128548065-128548076(+)::chr2:128548064-128548076(+) GTGAGGAAGACA >mm10_chr2:128678207-128678218(-)::chr2:128678206-128678218(-) ATCCGGAAGTAA >mm10_chr2:128722393-128722404(-)::chr2:128722392-128722404(-) AGAAGGAACTGG >mm10_chr2:128722415-128722426(-)::chr2:128722414-128722426(-) ACAGGGAAGTTC >mm10_chr2:128747723-128747734(-)::chr2:128747722-128747734(-) AGCAGGAAGTCA >mm10_chr2:129004647-129004658(-)::chr2:129004646-129004658(-) aagaggaagcag >mm10_chr2:129004653-129004664(-)::chr2:129004652-129004664(-) aggaggaagagg >mm10_chr2:129004690-129004701(-)::chr2:129004689-129004701(-) gaaagaaagtaa >mm10_chr2:129004708-129004719(-)::chr2:129004707-129004719(-) aggaggaagagg >mm10_chr2:129016152-129016163(+)::chr2:129016151-129016163(+) AAGAGGAAGAAG >mm10_chr2:129016159-129016170(+)::chr2:129016158-129016170(+) AGAAGGAAGTGG >mm10_chr2:129018832-129018843(+)::chr2:129018831-129018843(+) CAAAGGAAATAC >mm10_chr2:129024054-129024065(+)::chr2:129024053-129024065(+) ATAATGAAGTAA >mm10_chr2:129028977-129028988(-)::chr2:129028976-129028988(-) ataaggaaggca >mm10_chr2:129029055-129029066(-)::chr2:129029054-129029066(-) TGCAGGAAggat >mm10_chr2:129079351-129079362(+)::chr2:129079350-129079362(+) agaaggaagggt >mm10_chr2:129079401-129079412(+)::chr2:129079400-129079412(+) gccaggaagtca >mm10_chr2:129091015-129091026(-)::chr2:129091014-129091026(-) ACCAGGAAGCAC >mm10_chr2:129091058-129091069(-)::chr2:129091057-129091069(-) ATAAGGAAGAAA >mm10_chr2:129109946-129109957(+)::chr2:129109945-129109957(+) AAGAGGAAATGT >mm10_chr2:129142543-129142554(-)::chr2:129142542-129142554(-) AGCAGGAAGTGA >mm10_chr2:129142600-129142611(+)::chr2:129142599-129142611(+) GGCAGGAAGAGT >mm10_chr2:129142612-129142623(+)::chr2:129142611-129142623(+) CAAAGGAAGGAC >mm10_chr2:129149088-129149099(+)::chr2:129149087-129149099(+) gccaggaagtgg >mm10_chr2:129156077-129156088(+)::chr2:129156076-129156088(+) ACCAGGAAACGA >mm10_chr2:129164699-129164710(+)::chr2:129164698-129164710(+) TAAAGGaaatac >mm10_chr2:129178732-129178743(+)::chr2:129178731-129178743(+) AGTAGGAAATGG >mm10_chr2:129194531-129194542(-)::chr2:129194530-129194542(-) TCAAGGAAATGC >mm10_chr2:129197844-129197855(+)::chr2:129197843-129197855(+) ACGAGGAAATGA >mm10_chr2:129221324-129221335(+)::chr2:129221323-129221335(+) AAGAGGAAGCAG >mm10_chr2:129221344-129221355(-)::chr2:129221343-129221355(-) AAAAGGAACTCC >mm10_chr2:129243686-129243697(+)::chr2:129243685-129243697(+) ACAAGGAAAAAC >mm10_chr2:129244264-129244275(-)::chr2:129244263-129244275(-) ACCAGGAAGGGA >mm10_chr2:129272533-129272544(-)::chr2:129272532-129272544(-) TGCAGGAAGTTC >mm10_chr2:129281993-129282004(+)::chr2:129281992-129282004(+) AGAAGGAAGTGT >mm10_chr2:129282005-129282016(-)::chr2:129282004-129282016(-) TAGAGGAAATGA >mm10_chr2:129330620-129330631(+)::chr2:129330619-129330631(+) CGACGGAAGGAA >mm10_chr2:129360310-129360321(-)::chr2:129360309-129360321(-) GCGAGGAAGCAA >mm10_chr2:129361278-129361289(-)::chr2:129361277-129361289(-) GAAAGGAAGAGT >mm10_chr2:129361315-129361326(+)::chr2:129361314-129361326(+) AGCAGGAAGGCC >mm10_chr2:129361327-129361338(-)::chr2:129361326-129361338(-) GGAAGGAAGAAA >mm10_chr2:129380972-129380983(-)::chr2:129380971-129380983(-) ATAAGGAAGAAG >mm10_chr2:129380990-129381001(-)::chr2:129380989-129381001(-) GTGAGGAAGTGA >mm10_chr2:129384851-129384862(-)::chr2:129384850-129384862(-) aaaaggaagaac >mm10_chr2:129403163-129403174(+)::chr2:129403162-129403174(+) ACAAGGATGTCT >mm10_chr2:129403222-129403233(+)::chr2:129403221-129403233(+) TCCAGGAAGTAG >mm10_chr2:129407716-129407727(+)::chr2:129407715-129407727(+) gacaggaaatat >mm10_chr2:129407733-129407744(+)::chr2:129407732-129407744(+) ggcaggaaggtt >mm10_chr2:129413728-129413739(+)::chr2:129413727-129413739(+) AAAAGGAAGCTT >mm10_chr2:129431009-129431020(-)::chr2:129431008-129431020(-) AGGAGGAAATCA >mm10_chr2:129472084-129472095(+)::chr2:129472083-129472095(+) ACAAGGAAGTTC >mm10_chr2:129514731-129514742(-)::chr2:129514730-129514742(-) AAGAGGAAGGAC >mm10_chr2:129515178-129515189(-)::chr2:129515177-129515189(-) ATGAGGAAGTGT >mm10_chr2:129515799-129515810(-)::chr2:129515798-129515810(-) AGAAGGAAGAGG >mm10_chr2:129549844-129549855(-)::chr2:129549843-129549855(-) GAGAGGAAGTGG >mm10_chr2:129586311-129586322(+)::chr2:129586310-129586322(+) GAGAGGAAGTGG >mm10_chr2:129606605-129606616(+)::chr2:129606604-129606616(+) TGAAGGAAGAGG >mm10_chr2:129633073-129633084(+)::chr2:129633072-129633084(+) accaggaagaag >mm10_chr2:129633416-129633427(+)::chr2:129633415-129633427(+) acaaggaaatga >mm10_chr2:129652545-129652556(+)::chr2:129652544-129652556(+) AAAAGGAACTTC >mm10_chr2:129744489-129744500(+)::chr2:129744488-129744500(+) AGCAGGAAATGA >mm10_chr2:129801226-129801237(-)::chr2:129801225-129801237(-) ACCGGGAAGTAC >mm10_chr2:129801252-129801263(-)::chr2:129801251-129801263(-) GAAAGGAAAGCG >mm10_chr2:129808305-129808316(+)::chr2:129808304-129808316(+) TGAAGGAAGGAC >mm10_chr2:129815418-129815429(+)::chr2:129815417-129815429(+) TCCAGGAAATAG >mm10_chr2:129832469-129832480(+)::chr2:129832468-129832480(+) ATGAGGAAGTGC >mm10_chr2:129841159-129841170(-)::chr2:129841158-129841170(-) ggaaggaagaga >mm10_chr2:129841163-129841174(-)::chr2:129841162-129841174(-) aggaggaaggaa >mm10_chr2:129841170-129841181(-)::chr2:129841169-129841181(-) tgaaggaaggag >mm10_chr2:129866627-129866638(-)::chr2:129866626-129866638(-) tggaggaaggaa >mm10_chr2:129893508-129893519(+)::chr2:129893507-129893519(+) AGACGGAAGATG >mm10_chr2:129893521-129893532(+)::chr2:129893520-129893532(+) AAGAGGAAATGG >mm10_chr2:129903145-129903156(+)::chr2:129903144-129903156(+) agaaggaagctt >mm10_chr2:129903157-129903168(-)::chr2:129903156-129903168(-) ggcaggaagtaa >mm10_chr2:130113589-130113600(-)::chr2:130113588-130113600(-) AATAGGAAGTTA >mm10_chr2:130176968-130176979(-)::chr2:130176967-130176979(-) AGGAGGAAGTGG >mm10_chr2:130176979-130176990(-)::chr2:130176978-130176990(-) AGAAGGAACTGA >mm10_chr2:130179371-130179382(-)::chr2:130179370-130179382(-) GACCGGAAGTAG >mm10_chr2:130283943-130283954(-)::chr2:130283942-130283954(-) TCAAGGAAGTGT >mm10_chr2:130284424-130284435(+)::chr2:130284423-130284435(+) CCGAGGAAGTCG >mm10_chr2:130284436-130284447(+)::chr2:130284435-130284447(+) CGGGGGAAGTGA >mm10_chr2:130284448-130284459(+)::chr2:130284447-130284459(+) CGCCGGAAGCGA >mm10_chr2:130284477-130284488(-)::chr2:130284476-130284488(-) GACAGGAAGTCC >mm10_chr2:130424322-130424333(+)::chr2:130424321-130424333(+) AAGCGGAAGTGC >mm10_chr2:130451643-130451654(-)::chr2:130451642-130451654(-) GCAAGGAAGGGT >mm10_chr2:130451664-130451675(-)::chr2:130451663-130451675(-) ACAAGGAAGGCC >mm10_chr2:130453795-130453806(+)::chr2:130453794-130453806(+) AGCAGGAAGCTG >mm10_chr2:130453838-130453849(+)::chr2:130453837-130453849(+) TACAGGAACTAG >mm10_chr2:130453868-130453879(+)::chr2:130453867-130453879(+) AAACGGAAGAAT >mm10_chr2:130515600-130515611(-)::chr2:130515599-130515611(-) aacaggaaggaa >mm10_chr2:130532132-130532143(+)::chr2:130532131-130532143(+) CCAAGGAAGAAA >mm10_chr2:130532144-130532155(+)::chr2:130532143-130532155(+) ACAAGGAAAAAA >mm10_chr2:130563740-130563751(-)::chr2:130563739-130563751(-) GCCCGGAAGTGG >mm10_chr2:130599613-130599624(+)::chr2:130599612-130599624(+) CAGAGGAAGACG >mm10_chr2:130630006-130630017(-)::chr2:130630005-130630017(-) GGCCGGAAGTGG >mm10_chr2:130643695-130643706(+)::chr2:130643694-130643706(+) TAAAGGAAGCAC >mm10_chr2:130643740-130643751(+)::chr2:130643739-130643751(+) ATGAGGAAGCAA >mm10_chr2:130661766-130661777(-)::chr2:130661765-130661777(-) AGAAGGAAGAGG >mm10_chr2:130662175-130662186(-)::chr2:130662174-130662186(-) AGGAGGAAGAAG >mm10_chr2:130667794-130667805(-)::chr2:130667793-130667805(-) AGGCGGAAGTAG >mm10_chr2:130667808-130667819(+)::chr2:130667807-130667819(+) GGCCGGAAGTAC >mm10_chr2:130728334-130728345(+)::chr2:130728333-130728345(+) AGCAGGAAGAAG >mm10_chr2:130730471-130730482(-)::chr2:130730470-130730482(-) aggaggaagcga >mm10_chr2:130730499-130730510(-)::chr2:130730498-130730510(-) ATAaggaaagaa >mm10_chr2:130791907-130791918(+)::chr2:130791906-130791918(+) GAAGGGAAGTTA >mm10_chr2:130809004-130809015(+)::chr2:130809003-130809015(+) AGCAGGAAGAAG >mm10_chr2:130809011-130809022(+)::chr2:130809010-130809022(+) AGAAGGAAGCTA >mm10_chr2:130809050-130809061(-)::chr2:130809049-130809061(-) AGCAGGAAGAAA >mm10_chr2:130815839-130815850(-)::chr2:130815838-130815850(-) AGCAGGAAGTCT >mm10_chr2:130876244-130876255(+)::chr2:130876243-130876255(+) AGAAGGAAAAGG >mm10_chr2:130876320-130876331(+)::chr2:130876319-130876331(+) AGCAGGAAGTAG >mm10_chr2:130925199-130925210(+)::chr2:130925198-130925210(+) ACACGGAAGTTC >mm10_chr2:130925242-130925253(+)::chr2:130925241-130925253(+) TCGAGGAAGATG >mm10_chr2:130925277-130925288(+)::chr2:130925276-130925288(+) GTTAGGAAGTTG >mm10_chr2:130949868-130949879(-)::chr2:130949867-130949879(-) gtaaggaaggac >mm10_chr2:131045229-131045240(+)::chr2:131045228-131045240(+) GCCAGGAAGAGA >mm10_chr2:131056397-131056408(+)::chr2:131056396-131056408(+) GCAAGGATGTGT >mm10_chr2:131056410-131056421(+)::chr2:131056409-131056421(+) AACAGGAAGCGC >mm10_chr2:131176686-131176697(+)::chr2:131176685-131176697(+) GAAAGGAAGAGC >mm10_chr2:131178631-131178642(-)::chr2:131178630-131178642(-) aggaggaagaag >mm10_chr2:131178643-131178654(-)::chr2:131178642-131178654(-) aggaggaagagg >mm10_chr2:131178652-131178663(-)::chr2:131178651-131178663(-) gagaggaagagg >mm10_chr2:131178673-131178684(+)::chr2:131178672-131178684(+) TGAAGGAAGTGG >mm10_chr2:131204492-131204503(+)::chr2:131204491-131204503(+) ACAAGGAAGTCC >mm10_chr2:131204854-131204865(+)::chr2:131204853-131204865(+) TACAGGAAGCAA >mm10_chr2:131204922-131204933(-)::chr2:131204921-131204933(-) TCAGGGAAGTGG >mm10_chr2:131216114-131216125(-)::chr2:131216113-131216125(-) ggacggaagttg >mm10_chr2:131233775-131233786(+)::chr2:131233774-131233786(+) AGCAGGAAGAAA >mm10_chr2:131239011-131239022(-)::chr2:131239010-131239022(-) GAAAGGAAGGGG >mm10_chr2:131246671-131246682(+)::chr2:131246670-131246682(+) AAGAGGAAGAAC >mm10_chr2:131263151-131263162(-)::chr2:131263150-131263162(-) TAACGGAAGGGA >mm10_chr2:131263474-131263485(+)::chr2:131263473-131263485(+) ATGAGGAAGGTA >mm10_chr2:131263515-131263526(+)::chr2:131263514-131263526(+) TACGGGAAGTGG >mm10_chr2:131271607-131271618(-)::chr2:131271606-131271618(-) AGTAGGAAGTGA >mm10_chr2:131271621-131271632(-)::chr2:131271620-131271632(-) TCAAGGAAGAAA >mm10_chr2:131300736-131300747(-)::chr2:131300735-131300747(-) ACCAGGAAGTAG >mm10_chr2:131337766-131337777(+)::chr2:131337765-131337777(+) GCAATGAAGTGG >mm10_chr2:131404274-131404285(-)::chr2:131404273-131404285(-) GGAAGGAAGGCT >mm10_chr2:131404278-131404289(-)::chr2:131404277-131404289(-) GTGAGGAAGGAA >mm10_chr2:131423731-131423742(-)::chr2:131423730-131423742(-) tccaggaaatag >mm10_chr2:131436295-131436306(-)::chr2:131436294-131436306(-) accaggaagtat >mm10_chr2:131452302-131452313(+)::chr2:131452301-131452313(+) AGGAGGAAATGG >mm10_chr2:131461206-131461217(-)::chr2:131461205-131461217(-) AGAAGGAAGATG >mm10_chr2:131461220-131461231(+)::chr2:131461219-131461231(+) AGCAGGAAGTAG >mm10_chr2:131478420-131478431(+)::chr2:131478419-131478431(+) AAGAGGAAGAAG >mm10_chr2:131478465-131478476(+)::chr2:131478464-131478476(+) ACACGGAAGCAC >mm10_chr2:131484438-131484449(+)::chr2:131484437-131484449(+) CCCAGGAAGTTG >mm10_chr2:131492785-131492796(-)::chr2:131492784-131492796(-) AGGAGGAAGCGC >mm10_chr2:131505672-131505683(-)::chr2:131505671-131505683(-) TTATGGAAGTAG >mm10_chr2:131505681-131505692(+)::chr2:131505680-131505692(+) TAAAGGAGGTAT >mm10_chr2:131505741-131505752(-)::chr2:131505740-131505752(-) AGAAGGAAGAGC >mm10_chr2:131726694-131726705(+)::chr2:131726693-131726705(+) AGCAGGAAGCAG >mm10_chr2:131767642-131767653(+)::chr2:131767641-131767653(+) AAAAGGAAGAGA >mm10_chr2:131767673-131767684(-)::chr2:131767672-131767684(-) GACAGGAAGACG >mm10_chr2:131767690-131767701(+)::chr2:131767689-131767701(+) TTGAGGAAGTTG >mm10_chr2:131828813-131828824(-)::chr2:131828812-131828824(-) GGAAGGAAGAAA >mm10_chr2:131910042-131910053(-)::chr2:131910041-131910053(-) CGACGGAAGGCT >mm10_chr2:131995964-131995975(-)::chr2:131995963-131995975(-) ACAGGGAAGTGA >mm10_chr2:132006528-132006539(-)::chr2:132006527-132006539(-) GGAGGGAAGTTG >mm10_chr2:132107836-132107847(+)::chr2:132107835-132107847(+) ACAAGGATATAA >mm10_chr2:132110834-132110845(+)::chr2:132110833-132110845(+) ACCAGGAAGTCA >mm10_chr2:132169735-132169746(+)::chr2:132169734-132169746(+) TCCAGGAAGCGA >mm10_chr2:132171820-132171831(+)::chr2:132171819-132171831(+) ACAAGGAAATTA >mm10_chr2:132247838-132247849(+)::chr2:132247837-132247849(+) ACCAGGAAATCG >mm10_chr2:132264688-132264699(+)::chr2:132264687-132264699(+) ATCAGGAAGGAA >mm10_chr2:132279533-132279544(-)::chr2:132279532-132279544(-) AGCAGGAAATCC >mm10_chr2:132340466-132340477(-)::chr2:132340465-132340477(-) AGGAGGAAGGTT >mm10_chr2:132509426-132509437(-)::chr2:132509425-132509437(-) AGCAGGAAGTGA >mm10_chr2:132509433-132509444(-)::chr2:132509432-132509444(-) CGGAGGAAGCAG >mm10_chr2:132527301-132527312(+)::chr2:132527300-132527312(+) atatggaagtgg >mm10_chr2:132527318-132527329(-)::chr2:132527317-132527329(-) taagggaagtgc >mm10_chr2:132553495-132553506(+)::chr2:132553494-132553506(+) gaaaggaagagg >mm10_chr2:132553507-132553518(+)::chr2:132553506-132553518(+) aggaggaagagg >mm10_chr2:132553518-132553529(+)::chr2:132553517-132553529(+) gaaaggaagagg >mm10_chr2:132553542-132553553(+)::chr2:132553541-132553553(+) aggaggaagagg >mm10_chr2:132553553-132553564(+)::chr2:132553552-132553564(+) gaaaggaagagg >mm10_chr2:132583312-132583323(-)::chr2:132583311-132583323(-) AGCAGGAAATAC >mm10_chr2:132586124-132586135(-)::chr2:132586123-132586135(-) TCTAGGAAGTGT >mm10_chr2:132593138-132593149(+)::chr2:132593137-132593149(+) AGGAGGAAGCAA >mm10_chr2:132595973-132595984(-)::chr2:132595972-132595984(-) agagggaagtgg >mm10_chr2:132600216-132600227(+)::chr2:132600215-132600227(+) ACCAGGAAGAAA >mm10_chr2:132637833-132637844(-)::chr2:132637832-132637844(-) AGAAGGAAGAGG >mm10_chr2:132637856-132637867(+)::chr2:132637855-132637867(+) AAAGGGAAGTTG >mm10_chr2:132686903-132686914(-)::chr2:132686902-132686914(-) AAAAGGAAGTTG >mm10_chr2:132724009-132724020(-)::chr2:132724008-132724020(-) GGGAGGAAATGA >mm10_chr2:132724050-132724061(+)::chr2:132724049-132724061(+) GGAAGGAAATTG >mm10_chr2:132816090-132816101(-)::chr2:132816089-132816101(-) AGACGGAAGTCG >mm10_chr2:132849409-132849420(+)::chr2:132849408-132849420(+) CATAGGAAGTTT >mm10_chr2:132871144-132871155(+)::chr2:132871143-132871155(+) AGTAGGAAGTGG >mm10_chr2:132882653-132882664(-)::chr2:132882652-132882664(-) acaaggaagccg >mm10_chr2:132923906-132923917(-)::chr2:132923905-132923917(-) AACAGGAAGTTA >mm10_chr2:132939160-132939171(-)::chr2:132939159-132939171(-) ACAAGGAAGTAA >mm10_chr2:132946095-132946106(+)::chr2:132946094-132946106(+) CCCAGGAAGTGT >mm10_chr2:133067227-133067238(-)::chr2:133067226-133067238(-) aagaggaagaga >mm10_chr2:133067233-133067244(-)::chr2:133067232-133067244(-) aaaaggaagagg >mm10_chr2:133175929-133175940(-)::chr2:133175928-133175940(-) TGCAGGAAGACA >mm10_chr2:133175946-133175957(+)::chr2:133175945-133175957(+) ATGAGGAAGGCC >mm10_chr2:133175993-133176004(+)::chr2:133175992-133176004(+) GTGAGGAAGGAT >mm10_chr2:133177450-133177461(+)::chr2:133177449-133177461(+) AGCAGGAAGCAG >mm10_chr2:133287207-133287218(-)::chr2:133287206-133287218(-) aagaggaaatgc >mm10_chr2:133317924-133317935(+)::chr2:133317923-133317935(+) GTAAGGAAATAG >mm10_chr2:133381195-133381206(-)::chr2:133381194-133381206(-) GGGAGGAAATGG >mm10_chr2:133411353-133411364(-)::chr2:133411352-133411364(-) agcaggaagtga >mm10_chr2:133411371-133411382(-)::chr2:133411370-133411382(-) gccaggaagagg >mm10_chr2:133458960-133458971(-)::chr2:133458959-133458971(-) ACAGGGAAGTTC >mm10_chr2:133511612-133511623(+)::chr2:133511611-133511623(+) ACAGGGAAGTGA >mm10_chr2:133511626-133511637(+)::chr2:133511625-133511637(+) AGAAGGAAGTTC >mm10_chr2:133548735-133548746(+)::chr2:133548734-133548746(+) aacaggaaggAA >mm10_chr2:133548772-133548783(+)::chr2:133548771-133548783(+) ACCAGGAAGAGA >mm10_chr2:133548797-133548808(+)::chr2:133548796-133548808(+) ACCAGGAAGTGA >mm10_chr2:133650026-133650037(-)::chr2:133650025-133650037(-) AGGAGGAAGCCA >mm10_chr2:133663620-133663631(+)::chr2:133663619-133663631(+) AGAAGGAAGTCT >mm10_chr2:133667734-133667745(+)::chr2:133667733-133667745(+) TCAAGGAAGGCT >mm10_chr2:133667795-133667806(-)::chr2:133667794-133667806(-) acaaggaaacta >mm10_chr2:134039287-134039298(+)::chr2:134039286-134039298(+) TTCAGGAAGTGG >mm10_chr2:134061154-134061165(-)::chr2:134061153-134061165(-) ATGAGGAAGGAG >mm10_chr2:134258110-134258121(-)::chr2:134258109-134258121(-) CTAAGGAAGAGG >mm10_chr2:134502529-134502540(+)::chr2:134502528-134502540(+) TGGAGGAAGTGG >mm10_chr2:134631561-134631572(+)::chr2:134631560-134631572(+) aggaggaagcag >mm10_chr2:134631574-134631585(+)::chr2:134631573-134631585(+) tgaaggaagctc >mm10_chr2:134643447-134643458(-)::chr2:134643446-134643458(-) GGAAGGAAGACC >mm10_chr2:134644270-134644281(-)::chr2:134644269-134644281(-) AGCAGGAAGAGC >mm10_chr2:134645938-134645949(-)::chr2:134645937-134645949(-) ATAAGGAAGTGT >mm10_chr2:134738455-134738466(-)::chr2:134738454-134738466(-) gaaaggaagcaa >mm10_chr2:134827254-134827265(+)::chr2:134827253-134827265(+) TGAAGGAAATAT >mm10_chr2:134827294-134827305(-)::chr2:134827293-134827305(-) AAAAGGAAGTCA >mm10_chr2:134844444-134844455(-)::chr2:134844443-134844455(-) AGAGGGAAGTTG >mm10_chr2:134844451-134844462(-)::chr2:134844450-134844462(-) GCAAGGAAGAGG >mm10_chr2:134881197-134881208(+)::chr2:134881196-134881208(+) ATAAGGAAAAGG >mm10_chr2:134974901-134974912(-)::chr2:134974900-134974912(-) GAAAGGAAGTAA >mm10_chr2:134977784-134977795(+)::chr2:134977783-134977795(+) AAAGGGAAGTGT >mm10_chr2:135036069-135036080(-)::chr2:135036068-135036080(-) AGAAGGAAGCAT >mm10_chr2:135036082-135036093(+)::chr2:135036081-135036093(+) GGGAGGAAGGAT >mm10_chr2:135365840-135365851(+)::chr2:135365839-135365851(+) ataaggaagaag >mm10_chr2:135560557-135560568(+)::chr2:135560556-135560568(+) TTCAGGAAATAA >mm10_chr2:135576455-135576466(-)::chr2:135576454-135576466(-) GACAGGAAATAA >mm10_chr2:135577430-135577441(-)::chr2:135577429-135577441(-) acaaggaagcca >mm10_chr2:135658650-135658661(+)::chr2:135658649-135658661(+) GGGAGGAAGCCG >mm10_chr2:135679831-135679842(+)::chr2:135679830-135679842(+) ATGAGGAAGAAA >mm10_chr2:135679863-135679874(-)::chr2:135679862-135679874(-) AGAAGGAAGGAC >mm10_chr2:135712565-135712576(+)::chr2:135712564-135712576(+) AGAGGGAAGTGC >mm10_chr2:135717475-135717486(+)::chr2:135717474-135717486(+) CCAAGGAAGGAA >mm10_chr2:135717479-135717490(+)::chr2:135717478-135717490(+) GGAAGGAAGCTG >mm10_chr2:135717629-135717640(-)::chr2:135717628-135717640(-) AGGAGGAAGTGA >mm10_chr2:135725588-135725599(+)::chr2:135725587-135725599(+) AGAAGGAAATGA >mm10_chr2:135731740-135731751(-)::chr2:135731739-135731751(-) AGCAGGAAGGAG >mm10_chr2:135731796-135731807(-)::chr2:135731795-135731807(-) ATCAGGAAGAGA >mm10_chr2:135731810-135731821(-)::chr2:135731809-135731821(-) ACCAGGAAGCTG >mm10_chr2:135775113-135775124(+)::chr2:135775112-135775124(+) GAAAGGAAGGAA >mm10_chr2:135775117-135775128(+)::chr2:135775116-135775128(+) GGAAGGAAGCTC >mm10_chr2:135824990-135825001(+)::chr2:135824989-135825001(+) AAGAGGAAGTTG >mm10_chr2:135825005-135825016(-)::chr2:135825004-135825016(-) ATGAGGAACTAC >mm10_chr2:135828699-135828710(+)::chr2:135828698-135828710(+) AAACGGAAGTTC >mm10_chr2:135883265-135883276(-)::chr2:135883264-135883276(-) ACAAGGAAGTAA >mm10_chr2:135984139-135984150(+)::chr2:135984138-135984150(+) GGAAGGAACGTG >mm10_chr2:136016347-136016358(+)::chr2:136016346-136016358(+) AGAAGGAAGCTC >mm10_chr2:136399933-136399944(+)::chr2:136399932-136399944(+) CGTAGGAAATGA >mm10_chr2:136612372-136612383(-)::chr2:136612371-136612383(-) ACCAGGAAGATA >mm10_chr2:136892143-136892154(+)::chr2:136892142-136892154(+) CGAATGAAGTCG >mm10_chr2:136955278-136955289(+)::chr2:136955277-136955289(+) ATGAGGAAGACA >mm10_chr2:136993292-136993303(+)::chr2:136993291-136993303(+) ACCCGGAAGGCG >mm10_chr2:137034617-137034628(-)::chr2:137034616-137034628(-) AGAAGGAAGCTT >mm10_chr2:137034664-137034675(-)::chr2:137034663-137034675(-) GGGAGGAAGGGG >mm10_chr2:137034672-137034683(-)::chr2:137034671-137034683(-) GCAAGGAAGGGA >mm10_chr2:137057678-137057689(-)::chr2:137057677-137057689(-) TGAAGGAAGTAT >mm10_chr2:137057693-137057704(-)::chr2:137057692-137057704(-) TCAAGGAAGTTA >mm10_chr2:137134020-137134031(+)::chr2:137134019-137134031(+) ACAAGGAAGCTG >mm10_chr2:137135978-137135989(-)::chr2:137135977-137135989(-) AACAGGAAGTGT >mm10_chr2:137136033-137136044(-)::chr2:137136032-137136044(-) ATAGGGAAGACA >mm10_chr2:137148843-137148854(-)::chr2:137148842-137148854(-) GGAAAGAAGTCG >mm10_chr2:137225438-137225449(+)::chr2:137225437-137225449(+) AACAGGAAGTTG >mm10_chr2:137292322-137292333(+)::chr2:137292321-137292333(+) aggaggaagttg >mm10_chr2:137294578-137294589(-)::chr2:137294577-137294589(-) AGCAGGAAGTGA >mm10_chr2:137636410-137636421(-)::chr2:137636409-137636421(-) ACCAGGAAATAC >mm10_chr2:137636433-137636444(-)::chr2:137636432-137636444(-) ATAAGGAAATAG >mm10_chr2:137643453-137643464(-)::chr2:137643452-137643464(-) GCCAGGAAGTTC >mm10_chr2:137686921-137686932(-)::chr2:137686920-137686932(-) GGGAGGAAATGA >mm10_chr2:137686964-137686975(-)::chr2:137686963-137686975(-) ATAAGGAAGGAA >mm10_chr2:137807900-137807911(+)::chr2:137807899-137807911(+) AGAAGGAAGCTT >mm10_chr2:137826277-137826288(-)::chr2:137826276-137826288(-) TCAAGGAAGAAA >mm10_chr2:137826311-137826322(+)::chr2:137826310-137826322(+) AAAAGGAAGTAA >mm10_chr2:137826315-137826326(+)::chr2:137826314-137826326(+) GGAAGTAAGTGA >mm10_chr2:138033591-138033602(+)::chr2:138033590-138033602(+) GTGAGGAAGAAA >mm10_chr2:138053330-138053341(+)::chr2:138053329-138053341(+) tgaaggaaggaa >mm10_chr2:138113869-138113880(+)::chr2:138113868-138113880(+) AGGAGGAAATTG >mm10_chr2:138215816-138215827(+)::chr2:138215815-138215827(+) caaaggaagaca >mm10_chr2:138232454-138232465(-)::chr2:138232453-138232465(-) ACAAGGAAGGGA >mm10_chr2:138258172-138258183(-)::chr2:138258171-138258183(-) AAAAGGAAGAGT >mm10_chr2:138282171-138282182(+)::chr2:138282170-138282182(+) GGAAGAAAGTGT >mm10_chr2:138283389-138283400(-)::chr2:138283388-138283400(-) GGAAGGAAGCCA >mm10_chr2:138283393-138283404(-)::chr2:138283392-138283404(-) GCAAGGAAGGAA >mm10_chr2:138283444-138283455(-)::chr2:138283443-138283455(-) GAAAGAAAGTAG >mm10_chr2:138294660-138294671(+)::chr2:138294659-138294671(+) ACCAGGAAGAGC >mm10_chr2:138322687-138322698(+)::chr2:138322686-138322698(+) GCCAGGAAGTCC >mm10_chr2:138322729-138322740(+)::chr2:138322728-138322740(+) AGGAGGAAGAAC >mm10_chr2:138362229-138362240(+)::chr2:138362228-138362240(+) AGGAGGAAGGAG >mm10_chr2:138368841-138368852(-)::chr2:138368840-138368852(-) TCAGGGAAGTTA >mm10_chr2:138432129-138432140(+)::chr2:138432128-138432140(+) GAGAGGAAGGGA >mm10_chr2:138432174-138432185(+)::chr2:138432173-138432185(+) AGGAGGAAGAGA >mm10_chr2:138446268-138446279(-)::chr2:138446267-138446279(-) CTAAGGAAGAAG >mm10_chr2:138937208-138937219(-)::chr2:138937207-138937219(-) AGAGGGAAGAAA >mm10_chr2:139199309-139199320(-)::chr2:139199308-139199320(-) AGGAGGAAGAGG >mm10_chr2:139199354-139199365(-)::chr2:139199353-139199365(-) AGGAGGAAGAGT >mm10_chr2:139379345-139379356(-)::chr2:139379344-139379356(-) CTGAGGAAGTCA >mm10_chr2:139494684-139494695(+)::chr2:139494683-139494695(+) AAAGGGAAGTGA >mm10_chr2:139510481-139510492(+)::chr2:139510480-139510492(+) TTAGGGAAGTTC >mm10_chr2:139529051-139529062(-)::chr2:139529050-139529062(-) tggaggaagcaa >mm10_chr2:139532205-139532216(+)::chr2:139532204-139532216(+) agaaggaaaagc >mm10_chr2:139591436-139591447(-)::chr2:139591435-139591447(-) AGGAGGAAGTGG >mm10_chr2:139634362-139634373(+)::chr2:139634361-139634373(+) GGAAGGAAGGAA >mm10_chr2:139634366-139634377(+)::chr2:139634365-139634377(+) GGAAGGAAGCCG >mm10_chr2:139634404-139634415(+)::chr2:139634403-139634415(+) ACAAGGAAACAA >mm10_chr2:139639005-139639016(+)::chr2:139639004-139639016(+) ACAAAGAAGTTA >mm10_chr2:139639038-139639049(+)::chr2:139639037-139639049(+) ACAAGGAAGAGG >mm10_chr2:139642030-139642041(-)::chr2:139642029-139642041(-) GTAAGGAAGAGA >mm10_chr2:139642529-139642540(-)::chr2:139642528-139642540(-) ATGAGGAAGTAG >mm10_chr2:139642556-139642567(+)::chr2:139642555-139642567(+) GCAAGGAAATTG >mm10_chr2:139717937-139717948(+)::chr2:139717936-139717948(+) aagaggaagttg >mm10_chr2:139793398-139793409(-)::chr2:139793397-139793409(-) ATAAGGAGGTGG >mm10_chr2:139819218-139819229(+)::chr2:139819217-139819229(+) CTGAGGAAGTGT >mm10_chr2:139819252-139819263(-)::chr2:139819251-139819263(-) GTTAGGAAGTTT >mm10_chr2:139819262-139819273(+)::chr2:139819261-139819273(+) ACAAGGAAGCTA >mm10_chr2:139858369-139858380(-)::chr2:139858368-139858380(-) GGAAGGAAGAGC >mm10_chr2:139858373-139858384(-)::chr2:139858372-139858384(-) GCAAGGAAGGAA >mm10_chr2:139966517-139966528(-)::chr2:139966516-139966528(-) GTATGGAAGTGT >mm10_chr2:139966545-139966556(-)::chr2:139966544-139966556(-) ACCAGGAAATAG >mm10_chr2:139983727-139983738(+)::chr2:139983726-139983738(+) aataggaagagg >mm10_chr2:140060274-140060285(-)::chr2:140060273-140060285(-) ggaaggaagcga >mm10_chr2:140061631-140061642(-)::chr2:140061630-140061642(-) TGCAGGAAGTGT >mm10_chr2:140066809-140066820(+)::chr2:140066808-140066820(+) GTGAGGAAGCGG >mm10_chr2:140153959-140153970(-)::chr2:140153958-140153970(-) AGAAGGAACTAC >mm10_chr2:140154020-140154031(+)::chr2:140154019-140154031(+) AAAAGGAAGAAC >mm10_chr2:140216165-140216176(-)::chr2:140216164-140216176(-) ataaggaaatgg >mm10_chr2:140384816-140384827(-)::chr2:140384815-140384827(-) ACAAGGAAACAA >mm10_chr2:140522541-140522552(-)::chr2:140522540-140522552(-) gggaggaaggga >mm10_chr2:140603617-140603628(-)::chr2:140603616-140603628(-) ATGAGGAAGCAT >mm10_chr2:140603653-140603664(-)::chr2:140603652-140603664(-) AACAGGAAGTCC >mm10_chr2:140858562-140858573(+)::chr2:140858561-140858573(+) ttgaggaagttt >mm10_chr2:140904730-140904741(-)::chr2:140904729-140904741(-) agcaggaaatga >mm10_chr2:140987814-140987825(-)::chr2:140987813-140987825(-) AGAAGGAAATGA >mm10_chr2:141005389-141005400(+)::chr2:141005388-141005400(+) ATCAGGAAGAAC >mm10_chr2:141005400-141005411(+)::chr2:141005399-141005411(+) CACAGGAAGATA >mm10_chr2:141005420-141005431(-)::chr2:141005419-141005431(-) ACAAGGAAGGAA >mm10_chr2:141011198-141011209(-)::chr2:141011197-141011209(-) ACAAGGAAGTAA >mm10_chr2:141011222-141011233(+)::chr2:141011221-141011233(+) AGAAGGAAGTCA >mm10_chr2:141012144-141012155(-)::chr2:141012143-141012155(-) AGCAGGAAGTGC >mm10_chr2:141012159-141012170(+)::chr2:141012158-141012170(+) TGCAGGAAGTAC >mm10_chr2:141185986-141185997(+)::chr2:141185985-141185997(+) aggaggaagagg >mm10_chr2:141186010-141186021(+)::chr2:141186009-141186021(+) agaaggaagagg >mm10_chr2:141298882-141298893(+)::chr2:141298881-141298893(+) GAGAGGAAGCGG >mm10_chr2:141326038-141326049(+)::chr2:141326037-141326049(+) aagaggaagaGA >mm10_chr2:141556430-141556441(-)::chr2:141556429-141556441(-) CAGAGGAAGTCA >mm10_chr2:141603765-141603776(+)::chr2:141603764-141603776(+) aaaaggaacgtg >mm10_chr2:141709169-141709180(+)::chr2:141709168-141709180(+) ACAAGGAAAAAC >mm10_chr2:141709185-141709196(+)::chr2:141709184-141709196(+) GTAAGGAAGAGG >mm10_chr2:141709191-141709202(+)::chr2:141709190-141709202(+) AAGAGGAAGTGG >mm10_chr2:141709231-141709242(+)::chr2:141709230-141709242(+) AAAAGAAAGTGG >mm10_chr2:141709253-141709264(+)::chr2:141709252-141709264(+) GGAAGGAAATGA >mm10_chr2:141992552-141992563(+)::chr2:141992551-141992563(+) AGCAGGAAATAC >mm10_chr2:142023617-142023628(+)::chr2:142023616-142023628(+) GGAAGGAAGGAA >mm10_chr2:142023621-142023632(+)::chr2:142023620-142023632(+) GGAAGGAAGATG >mm10_chr2:142023642-142023653(+)::chr2:142023641-142023653(+) ACACGGAAGACC >mm10_chr2:142023961-142023972(+)::chr2:142023960-142023972(+) ataaggaagtaa >mm10_chr2:142024031-142024042(-)::chr2:142024030-142024042(-) acaaggaagtag >mm10_chr2:142438244-142438255(+)::chr2:142438243-142438255(+) GGAAGGAAATGC >mm10_chr2:142540120-142540131(+)::chr2:142540119-142540131(+) ATAGGGAAGAGT >mm10_chr2:142557574-142557585(-)::chr2:142557573-142557585(-) agaaggaagttg >mm10_chr2:142581207-142581218(+)::chr2:142581206-142581218(+) ACTAGGAAGAAC >mm10_chr2:142611367-142611378(-)::chr2:142611366-142611378(-) aaaaggaagtag >mm10_chr2:142611384-142611395(-)::chr2:142611383-142611395(-) aacaggaagtcc >mm10_chr2:142694608-142694619(-)::chr2:142694607-142694619(-) AAGAGGAAATTA >mm10_chr2:142694614-142694625(-)::chr2:142694613-142694625(-) AGTAGGAAGAGG >mm10_chr2:142694653-142694664(+)::chr2:142694652-142694664(+) CTGAGGAAGTGA >mm10_chr2:142694664-142694675(+)::chr2:142694663-142694675(+) AAGAGGAAGTGG >mm10_chr2:142731953-142731964(+)::chr2:142731952-142731964(+) CCAAGGAAGCCA >mm10_chr2:142814168-142814179(+)::chr2:142814167-142814179(+) ATGAGGAAGAAT >mm10_chr2:142847521-142847532(-)::chr2:142847520-142847532(-) GGAAGGAATTAA >mm10_chr2:142847525-142847536(-)::chr2:142847524-142847536(-) AGAAGGAAGGAA >mm10_chr2:142847572-142847583(-)::chr2:142847571-142847583(-) AGGCGGAAGTGG >mm10_chr2:142847594-142847605(+)::chr2:142847593-142847605(+) ACCAGGAAGAAT >mm10_chr2:142874688-142874699(-)::chr2:142874687-142874699(-) AGGAGGAAGCAC >mm10_chr2:142882808-142882819(-)::chr2:142882807-142882819(-) AGGAGGAAGAGT >mm10_chr2:142882845-142882856(+)::chr2:142882844-142882856(+) AGCAGGAAGGGC >mm10_chr2:142895282-142895293(+)::chr2:142895281-142895293(+) TAAAGGAATTAA >mm10_chr2:142895316-142895327(-)::chr2:142895315-142895327(-) AGAAGGAAAGAG >mm10_chr2:143846864-143846875(+)::chr2:143846863-143846875(+) ATAAGGAAGCTG >mm10_chr2:143846886-143846897(+)::chr2:143846885-143846897(+) AGGAGGAAGTAG >mm10_chr2:143864728-143864739(-)::chr2:143864727-143864739(-) AGAAGGAAGGAC >mm10_chr2:143895022-143895033(+)::chr2:143895021-143895033(+) tgaaggaggtat >mm10_chr2:143903883-143903894(+)::chr2:143903882-143903894(+) GCAAGGAAGGAG >mm10_chr2:143903911-143903922(+)::chr2:143903910-143903922(+) GGGAGGAAGTGA >mm10_chr2:143905229-143905240(+)::chr2:143905228-143905240(+) ATTAGGAAGGAA >mm10_chr2:143921224-143921235(-)::chr2:143921223-143921235(-) ACAAGGAAGCAT >mm10_chr2:143962379-143962390(-)::chr2:143962378-143962390(-) ATGAGGAAATGG >mm10_chr2:143962392-143962403(-)::chr2:143962391-143962403(-) TTCAGGAAGTCA >mm10_chr2:143996127-143996138(-)::chr2:143996126-143996138(-) ACAAGGAAGGAG >mm10_chr2:143998729-143998740(+)::chr2:143998728-143998740(+) TCACGGAAGTGC >mm10_chr2:144003854-144003865(-)::chr2:144003853-144003865(-) taatggaagtgg >mm10_chr2:144009678-144009689(-)::chr2:144009677-144009689(-) AGCAGGAAGTGT >mm10_chr2:144010172-144010183(-)::chr2:144010171-144010183(-) GGGCGGAAGTCG >mm10_chr2:144030426-144030437(-)::chr2:144030425-144030437(-) TAAAGGAAGTTG >mm10_chr2:144031177-144031188(-)::chr2:144031176-144031188(-) AGGAGGAAGGTC >mm10_chr2:144038871-144038882(-)::chr2:144038870-144038882(-) gggaggaagaac >mm10_chr2:144047131-144047142(-)::chr2:144047130-144047142(-) AGCAGGAAGTAG >mm10_chr2:144047176-144047187(-)::chr2:144047175-144047187(-) AGGAGGAAGCAG >mm10_chr2:144088165-144088176(-)::chr2:144088164-144088176(-) agaaggaggtgg >mm10_chr2:144088189-144088200(-)::chr2:144088188-144088200(-) aggaggaagatg >mm10_chr2:144088196-144088207(-)::chr2:144088195-144088207(-) gagaggaaggag >mm10_chr2:144088213-144088224(-)::chr2:144088212-144088224(-) aggaggaagggg >mm10_chr2:144164606-144164617(+)::chr2:144164605-144164617(+) AAAAGGAAGGAA >mm10_chr2:144164610-144164621(+)::chr2:144164609-144164621(+) GGAAGGAAGCTG >mm10_chr2:144164641-144164652(+)::chr2:144164640-144164652(+) AGAGGGAAGAAT >mm10_chr2:144165427-144165438(-)::chr2:144165426-144165438(-) GACGGGAAGTGG >mm10_chr2:144165446-144165457(+)::chr2:144165445-144165457(+) ATAAGGAAGCAG >mm10_chr2:144165800-144165811(+)::chr2:144165799-144165811(+) CAAAGGAAATAA >mm10_chr2:144183539-144183550(+)::chr2:144183538-144183550(+) AGAAGGAACTGG >mm10_chr2:144198836-144198847(-)::chr2:144198835-144198847(-) GCCAGGAAGTGC >mm10_chr2:144198850-144198861(+)::chr2:144198849-144198861(+) AGGAGGAAGGCT >mm10_chr2:144198886-144198897(+)::chr2:144198885-144198897(+) ACAGGGAAGCCG >mm10_chr2:144237400-144237411(-)::chr2:144237399-144237411(-) AGCAGGAAGAAC >mm10_chr2:144297567-144297578(-)::chr2:144297566-144297578(-) ACAGGGAAGTTC >mm10_chr2:144297617-144297628(+)::chr2:144297616-144297628(+) AGAAGGAAGACA >mm10_chr2:144333575-144333586(+)::chr2:144333574-144333586(+) AGGAGGAAGAGA >mm10_chr2:144368818-144368829(-)::chr2:144368817-144368829(-) ACCAGGAAGTCG >mm10_chr2:144369605-144369616(-)::chr2:144369604-144369616(-) ATGAGGAAGAGT >mm10_chr2:144369994-144370005(-)::chr2:144369993-144370005(-) AGAAGGAAGTTT >mm10_chr2:144398320-144398331(-)::chr2:144398319-144398331(-) AGAAGGAAAAGC >mm10_chr2:144398682-144398693(+)::chr2:144398681-144398693(+) ACAAGGAAATGC >mm10_chr2:144398695-144398706(+)::chr2:144398694-144398706(+) AGGAGGAAGAAA >mm10_chr2:144416726-144416737(-)::chr2:144416725-144416737(-) TCAAGGAACTAG >mm10_chr2:144456475-144456486(+)::chr2:144456474-144456486(+) AACAGGAAGTAA >mm10_chr2:144506159-144506170(+)::chr2:144506158-144506170(+) TTGAGGAAGTCT >mm10_chr2:144527534-144527545(-)::chr2:144527533-144527545(-) ACAAGAAAGTGT >mm10_chr2:144545010-144545021(+)::chr2:144545009-144545021(+) TTGAGGAAGTTT >mm10_chr2:144555898-144555909(-)::chr2:144555897-144555909(-) ACCGGGAAGCGA >mm10_chr2:144557909-144557920(+)::chr2:144557908-144557920(+) GTGAGGAAGGAG >mm10_chr2:144557947-144557958(-)::chr2:144557946-144557958(-) GAAAGGAAGTTT >mm10_chr2:144558675-144558686(-)::chr2:144558674-144558686(-) agcaggaactaa >mm10_chr2:144594066-144594077(-)::chr2:144594065-144594077(-) AGGAGGAAGTCC >mm10_chr2:144594508-144594519(-)::chr2:144594507-144594519(-) TCAAGGAAATGA >mm10_chr2:144638324-144638335(-)::chr2:144638323-144638335(-) ACCAGGAAGCAC >mm10_chr2:144993776-144993787(+)::chr2:144993775-144993787(+) tacaggaaatat >mm10_chr2:145135018-145135029(-)::chr2:145135017-145135029(-) ggacggaagacc >mm10_chr2:145154592-145154603(-)::chr2:145154591-145154603(-) ACGAGGAAGAAC >mm10_chr2:145163573-145163584(-)::chr2:145163572-145163584(-) GTAAGGAACTTC >mm10_chr2:145164498-145164509(-)::chr2:145164497-145164509(-) GAAAGGAAGTCT >mm10_chr2:145182544-145182555(-)::chr2:145182543-145182555(-) ACAAGGAAACGC >mm10_chr2:145183929-145183940(+)::chr2:145183928-145183940(+) TTAAGGAAGTCG >mm10_chr2:145226282-145226293(-)::chr2:145226281-145226293(-) AGCAGGAAGTTG >mm10_chr2:145315082-145315093(-)::chr2:145315081-145315093(-) TAGAGGAAGTCT >mm10_chr2:145356391-145356402(+)::chr2:145356390-145356402(+) ATTAGGAAATAC >mm10_chr2:145356932-145356943(-)::chr2:145356931-145356943(-) ACAAGGATGTTT >mm10_chr2:145371028-145371039(+)::chr2:145371027-145371039(+) TCCAGGAAGTAA >mm10_chr2:145471258-145471269(+)::chr2:145471257-145471269(+) GTGAGGAAGAAA >mm10_chr2:145471272-145471283(-)::chr2:145471271-145471283(-) AACAGGAAGAAC >mm10_chr2:145501068-145501079(-)::chr2:145501067-145501079(-) GTAAGGAAGTAA >mm10_chr2:145501097-145501108(+)::chr2:145501096-145501108(+) ATCAGGAAGCAA >mm10_chr2:145521794-145521805(+)::chr2:145521793-145521805(+) AGGAGGAAATTG >mm10_chr2:145659164-145659175(+)::chr2:145659163-145659175(+) ATAAGGAAGGGG >mm10_chr2:145659185-145659196(+)::chr2:145659184-145659196(+) AGAAGGAAGAAG >mm10_chr2:145659192-145659203(+)::chr2:145659191-145659203(+) AGAAGGAAGAAT >mm10_chr2:145659217-145659228(+)::chr2:145659216-145659228(+) ATAAGGAAGAAG >mm10_chr2:145675492-145675503(-)::chr2:145675491-145675503(-) AGGAGGAAGAAG >mm10_chr2:145700591-145700602(-)::chr2:145700590-145700602(-) accaggaagcag >mm10_chr2:145702303-145702314(-)::chr2:145702302-145702314(-) ATGAGGAAATCA >mm10_chr2:145704950-145704961(-)::chr2:145704949-145704961(-) CCGAGGAAGGAA >mm10_chr2:145704962-145704973(-)::chr2:145704961-145704973(-) AGAAGAAAGTGG >mm10_chr2:145705018-145705029(+)::chr2:145705017-145705029(+) AGGAGGAAGAGG >mm10_chr2:145712284-145712295(-)::chr2:145712283-145712295(-) ACCAGGAAATGG >mm10_chr2:145735187-145735198(+)::chr2:145735186-145735198(+) TCAGGGAAGTCA >mm10_chr2:145746816-145746827(-)::chr2:145746815-145746827(-) TAGAGGAAGAGG >mm10_chr2:145746830-145746841(+)::chr2:145746829-145746841(+) AGGAGGAAGAGT >mm10_chr2:145758287-145758298(-)::chr2:145758286-145758298(-) gtaaggaagttt >mm10_chr2:145787755-145787766(-)::chr2:145787754-145787766(-) tgcaggaagtct >mm10_chr2:145802967-145802978(-)::chr2:145802966-145802978(-) agcaggaagcag >mm10_chr2:145804906-145804917(-)::chr2:145804905-145804917(-) gtaaggaaggac >mm10_chr2:145810027-145810038(-)::chr2:145810026-145810038(-) gggaggaagAGG >mm10_chr2:145810046-145810057(-)::chr2:145810045-145810057(-) aagaggaagaag >mm10_chr2:145810061-145810072(-)::chr2:145810060-145810072(-) gagaggaaggga >mm10_chr2:145810071-145810082(-)::chr2:145810070-145810082(-) ataaggaagaga >mm10_chr2:145810079-145810090(-)::chr2:145810078-145810090(-) gcaaggaaataa >mm10_chr2:145812998-145813009(+)::chr2:145812997-145813009(+) ACAGGGAAGTTA >mm10_chr2:145813026-145813037(+)::chr2:145813025-145813037(+) AGAAGGAAGAGC >mm10_chr2:145822081-145822092(-)::chr2:145822080-145822092(-) AAGAGGAAGGAC >mm10_chr2:145832944-145832955(-)::chr2:145832943-145832955(-) ATGAGGAAGAAA >mm10_chr2:145832991-145833002(+)::chr2:145832990-145833002(+) TTCAGGAAGTTG >mm10_chr2:145833003-145833014(-)::chr2:145833002-145833014(-) CGGAGGAAGCTG >mm10_chr2:145834430-145834441(+)::chr2:145834429-145834441(+) ATGAGGAAGGAG >mm10_chr2:145850053-145850064(-)::chr2:145850052-145850064(-) GGAAGGAAGTGG >mm10_chr2:145860716-145860727(-)::chr2:145860715-145860727(-) AGGAGGAAGTGG >mm10_chr2:145869373-145869384(-)::chr2:145869372-145869384(-) CCAAGGAAGTGG >mm10_chr2:145873713-145873724(-)::chr2:145873712-145873724(-) CCAAGGAAGTGG >mm10_chr2:145878284-145878295(-)::chr2:145878283-145878295(-) aggaggaagaag >mm10_chr2:145878296-145878307(-)::chr2:145878295-145878307(-) aagaggaagagg >mm10_chr2:145878302-145878313(-)::chr2:145878301-145878313(-) aggaggaagagg >mm10_chr2:145890559-145890570(-)::chr2:145890558-145890570(-) AAGAGGAAGAGC >mm10_chr2:146062561-146062572(-)::chr2:146062560-146062572(-) GGAAGGAAGGCA >mm10_chr2:146063365-146063376(-)::chr2:146063364-146063376(-) TTAAGGAAGAAG >mm10_chr2:146063385-146063396(-)::chr2:146063384-146063396(-) ACAAGGAAAAGG >mm10_chr2:146083277-146083288(+)::chr2:146083276-146083288(+) ACCAGGAAGTTT >mm10_chr2:146171779-146171790(-)::chr2:146171778-146171790(-) aggaggaagagg >mm10_chr2:146171791-146171802(-)::chr2:146171790-146171802(-) ggaaggaagagg >mm10_chr2:146171795-146171806(-)::chr2:146171794-146171806(-) aggaggaaggaa >mm10_chr2:146171804-146171815(-)::chr2:146171803-146171815(-) aggaggaagagg >mm10_chr2:146171816-146171827(-)::chr2:146171815-146171827(-) aggaggaagagg >mm10_chr2:146171831-146171842(-)::chr2:146171830-146171842(-) aggaggaagagg >mm10_chr2:146345811-146345822(-)::chr2:146345810-146345822(-) TGGAGGAAGAAA >mm10_chr2:146555368-146555379(+)::chr2:146555367-146555379(+) GCAGGGAAGTCC >mm10_chr2:146555421-146555432(-)::chr2:146555420-146555432(-) AGAAGGAAGCAA >mm10_chr2:146560964-146560975(+)::chr2:146560963-146560975(+) TACAGGAAATAG >mm10_chr2:146560980-146560991(+)::chr2:146560979-146560991(+) ATAAGGAAGTTT >mm10_chr2:146565871-146565882(+)::chr2:146565870-146565882(+) ACAAGGAAGGAG >mm10_chr2:146576326-146576337(+)::chr2:146576325-146576337(+) GCCAGGAAGCAC >mm10_chr2:146576372-146576383(+)::chr2:146576371-146576383(+) AAAAGGAAGATC >mm10_chr2:146872856-146872867(+)::chr2:146872855-146872867(+) TGAAGGAAATGC >mm10_chr2:146942122-146942133(+)::chr2:146942121-146942133(+) TAAAGGAAGCAA >mm10_chr2:146948586-146948597(+)::chr2:146948585-146948597(+) ACACGGAAGCAT >mm10_chr2:147012683-147012694(+)::chr2:147012682-147012694(+) ACCAGGAAATGG >mm10_chr2:147013000-147013011(-)::chr2:147012999-147013011(-) GGCCGGAAGTTA >mm10_chr2:147013025-147013036(+)::chr2:147013024-147013036(+) GGGAGGAAGTGC >mm10_chr2:147023756-147023767(+)::chr2:147023755-147023767(+) TTTAGGAAGTGA >mm10_chr2:147023777-147023788(+)::chr2:147023776-147023788(+) GAGAGGAAGAAG >mm10_chr2:147033314-147033325(+)::chr2:147033313-147033325(+) ggcgggaagtga >mm10_chr2:147119743-147119754(+)::chr2:147119742-147119754(+) agaaggaactgg >mm10_chr2:147283549-147283560(-)::chr2:147283548-147283560(-) AGGAGGAAGTAG >mm10_chr2:147283579-147283590(+)::chr2:147283578-147283590(+) AGGAGGAAGTGC >mm10_chr2:147298339-147298350(-)::chr2:147298338-147298350(-) AACAGGAAGCAG >mm10_chr2:147704321-147704332(-)::chr2:147704320-147704332(-) agaaggaaggga >mm10_chr2:147842541-147842552(-)::chr2:147842540-147842552(-) aagaggaagaag >mm10_chr2:147847164-147847175(-)::chr2:147847163-147847175(-) AGGAGGAAGGTT >mm10_chr2:147847198-147847209(-)::chr2:147847197-147847209(-) ACCAGGAAATGG >mm10_chr2:147851277-147851288(+)::chr2:147851276-147851288(+) AGGAGGAAATAA >mm10_chr2:147960361-147960372(-)::chr2:147960360-147960372(-) ATAGGGAAGATG >mm10_chr2:148264828-148264839(+)::chr2:148264827-148264839(+) aaaaggaagagt >mm10_chr2:148338301-148338312(+)::chr2:148338300-148338312(+) AGAAGGAATTGA >mm10_chr2:148338344-148338355(+)::chr2:148338343-148338355(+) TCAAGGAAGCGC >mm10_chr2:148380212-148380223(+)::chr2:148380211-148380223(+) AAGAGGAAGATC >mm10_chr2:148393532-148393543(+)::chr2:148393531-148393543(+) GGCAGGAAGTAC >mm10_chr2:148393542-148393553(+)::chr2:148393541-148393553(+) ACCAGGAAGGAA >mm10_chr2:148393546-148393557(+)::chr2:148393545-148393557(+) GGAAGGAACTTT >mm10_chr2:148393567-148393578(+)::chr2:148393566-148393578(+) AGTAGGAAGATT >mm10_chr2:148408179-148408190(+)::chr2:148408178-148408190(+) AAAAGGAAGGAA >mm10_chr2:148408183-148408194(+)::chr2:148408182-148408194(+) GGAAGGAAGTGC >mm10_chr2:148416596-148416607(-)::chr2:148416595-148416607(-) ACCAGGAAGGCA >mm10_chr2:148451640-148451651(+)::chr2:148451639-148451651(+) aCAAGGAAGTCA >mm10_chr2:148488125-148488136(-)::chr2:148488124-148488136(-) AGCAGGAAGAAG >mm10_chr2:148488144-148488155(-)::chr2:148488143-148488155(-) AGGAGGAAGGGA >mm10_chr2:148489041-148489052(+)::chr2:148489040-148489052(+) gcaaggaagtca >mm10_chr2:148489095-148489106(+)::chr2:148489094-148489106(+) atcaggaagtgg >mm10_chr2:148492386-148492397(+)::chr2:148492385-148492397(+) TTAAGGAAGTGA >mm10_chr2:148493089-148493100(-)::chr2:148493088-148493100(-) AGAAGGAAGGCT >mm10_chr2:148493116-148493127(-)::chr2:148493115-148493127(-) AGCAGGAAGTAC >mm10_chr2:148498003-148498014(+)::chr2:148498002-148498014(+) GAAAGGAAGAGG >mm10_chr2:148498017-148498028(+)::chr2:148498016-148498028(+) AAGAGGAAGAGC >mm10_chr2:148500383-148500394(+)::chr2:148500382-148500394(+) ACGAGGAACTGG >mm10_chr2:148500407-148500418(+)::chr2:148500406-148500418(+) GGAAGGAAGTGC >mm10_chr2:148504262-148504273(-)::chr2:148504261-148504273(-) AACAGGAAATAG >mm10_chr2:148536788-148536799(-)::chr2:148536787-148536799(-) AAGAGGAAGGGA >mm10_chr2:148631280-148631291(+)::chr2:148631279-148631291(+) TAGAGGAAGTCC >mm10_chr2:148631314-148631325(-)::chr2:148631313-148631325(-) TGAAGGAAGAAA >mm10_chr2:148631866-148631877(+)::chr2:148631865-148631877(+) GTGAGGAAGGGG >mm10_chr2:148684503-148684514(+)::chr2:148684502-148684514(+) agaaggaagagg >mm10_chr2:148684509-148684520(+)::chr2:148684508-148684520(+) aagaggaagaag >mm10_chr2:148684516-148684527(+)::chr2:148684515-148684527(+) agaaggaaagga >mm10_chr2:148688447-148688458(+)::chr2:148688446-148688458(+) ATCAGGAAGTAG >mm10_chr2:148706645-148706656(+)::chr2:148706644-148706656(+) AGAAGGAAAATG >mm10_chr2:148752105-148752116(-)::chr2:148752104-148752116(-) TTAAGGAAACCG >mm10_chr2:148755150-148755161(-)::chr2:148755149-148755161(-) GGAAGGAACTGT >mm10_chr2:148779815-148779826(-)::chr2:148779814-148779826(-) ATGAGGAAGTTA >mm10_chr2:148779838-148779849(-)::chr2:148779837-148779849(-) TGCAGGAAGTAA >mm10_chr2:148801759-148801770(+)::chr2:148801758-148801770(+) AACAGGAAGTCT >mm10_chr2:148875698-148875709(-)::chr2:148875697-148875709(-) AGAAGGAAGGGA >mm10_chr2:148877568-148877579(-)::chr2:148877567-148877579(-) TACAGGAAGTCC >mm10_chr2:148878852-148878863(+)::chr2:148878851-148878863(+) AGCAGGAAGCCA >mm10_chr2:148888916-148888927(+)::chr2:148888915-148888927(+) ATGAGGAAATGA >mm10_chr2:149313190-149313201(-)::chr2:149313189-149313201(-) TCCAGGAAGAAC >mm10_chr2:149442495-149442506(-)::chr2:149442494-149442506(-) AGCAGGAAGTGG >mm10_chr2:149442545-149442556(-)::chr2:149442544-149442556(-) AAGAGGAAGGAA >mm10_chr2:149461009-149461020(-)::chr2:149461008-149461020(-) ACAAGGAAGGCC >mm10_chr2:149502704-149502715(+)::chr2:149502703-149502715(+) ataaggaagact >mm10_chr2:149502724-149502735(-)::chr2:149502723-149502735(-) agcaggaagaac >mm10_chr2:149577162-149577173(-)::chr2:149577161-149577173(-) gaaaggaagagt >mm10_chr2:149614784-149614795(+)::chr2:149614783-149614795(+) aaaaggaggtaa >mm10_chr2:149777660-149777671(-)::chr2:149777659-149777671(-) ATGAGGAAGGAG >mm10_chr2:149896068-149896079(+)::chr2:149896067-149896079(+) AAAAGGAAGATC >mm10_chr2:149896111-149896122(-)::chr2:149896110-149896122(-) GGTAGGAAGACG >mm10_chr2:149900693-149900704(+)::chr2:149900692-149900704(+) TCCAGGAAGAAA >mm10_chr2:149999089-149999100(+)::chr2:149999088-149999100(+) TGAAGGAAGAAT >mm10_chr2:149999099-149999110(+)::chr2:149999098-149999110(+) ATCAGGAAGAGG >mm10_chr2:149999125-149999136(+)::chr2:149999124-149999136(+) TGAAGGAAGATG >mm10_chr2:150311071-150311082(+)::chr2:150311070-150311082(+) GGGAGGAAGAGG >mm10_chr2:150311077-150311088(+)::chr2:150311076-150311088(+) AAGAGGAAGTAA >mm10_chr2:150362721-150362732(+)::chr2:150362720-150362732(+) TAAAGGAACTAG >mm10_chr2:150386659-150386670(-)::chr2:150386658-150386670(-) gataggaagagg >mm10_chr2:150390406-150390417(-)::chr2:150390405-150390417(-) AAGAGGAAGTAG >mm10_chr2:150390412-150390423(-)::chr2:150390411-150390423(-) AGCAGGAAGAGG >mm10_chr2:150567198-150567209(+)::chr2:150567197-150567209(+) AGAAGGAAGTCC >mm10_chr2:150651321-150651332(+)::chr2:150651320-150651332(+) AGCAGGAAGAAA >mm10_chr2:150651347-150651358(+)::chr2:150651346-150651358(+) ACGAGGAAGCTC >mm10_chr2:150661995-150662006(+)::chr2:150661994-150662006(+) agaaggaaaagg >mm10_chr2:150662002-150662013(+)::chr2:150662001-150662013(+) aaagggaagtga >mm10_chr2:150662032-150662043(+)::chr2:150662031-150662043(+) aaaaggaagaca >mm10_chr2:150662056-150662067(+)::chr2:150662055-150662067(+) gagaggaaggaa >mm10_chr2:150662060-150662071(+)::chr2:150662059-150662071(+) ggaaggaagaca >mm10_chr2:150668310-150668321(-)::chr2:150668309-150668321(-) TCAAGGAAGCTG >mm10_chr2:150669596-150669607(-)::chr2:150669595-150669607(-) AGGAGGAAGTGT >mm10_chr2:150669622-150669633(-)::chr2:150669621-150669633(-) AGCAGGAAATGC >mm10_chr2:150750766-150750777(-)::chr2:150750765-150750777(-) AACAGGAAGCAG >mm10_chr2:150750783-150750794(-)::chr2:150750782-150750794(-) AGCAGGAAGTGG >mm10_chr2:150766260-150766271(+)::chr2:150766259-150766271(+) ATGAGGAAATAA >mm10_chr2:150766697-150766708(-)::chr2:150766696-150766708(-) ACCAGGAAGTAG >mm10_chr2:150767019-150767030(+)::chr2:150767018-150767030(+) GGACGGAAGAGG >mm10_chr2:150802862-150802873(-)::chr2:150802861-150802873(-) aagaggaagagg >mm10_chr2:150802868-150802879(-)::chr2:150802867-150802879(-) gggaggaagagg >mm10_chr2:150802884-150802895(-)::chr2:150802883-150802895(-) aggaggaagaag >mm10_chr2:150802896-150802907(-)::chr2:150802895-150802907(-) aggaggaagagg >mm10_chr2:150802929-150802940(-)::chr2:150802928-150802940(-) aggaggaagaga >mm10_chr2:150812915-150812926(+)::chr2:150812914-150812926(+) GCAAGGAAGGAC >mm10_chr2:150824041-150824052(-)::chr2:150824040-150824052(-) ACAAGGAAGTTA >mm10_chr2:150840265-150840276(+)::chr2:150840264-150840276(+) AGGAGGAAGTCA >mm10_chr2:150851523-150851534(-)::chr2:150851522-150851534(-) CACAGGAAGTAG >mm10_chr2:150858896-150858907(+)::chr2:150858895-150858907(+) AGCAGGAAGTGC >mm10_chr2:150891762-150891773(+)::chr2:150891761-150891773(+) AGAAGGCAGTCA >mm10_chr2:150937580-150937591(-)::chr2:150937579-150937591(-) TCAAGGAAGAGG >mm10_chr2:150975951-150975962(+)::chr2:150975950-150975962(+) CACAGGAAGTAG >mm10_chr2:150979448-150979459(-)::chr2:150979447-150979459(-) ATGAGGAAGGTA >mm10_chr2:151512082-151512093(+)::chr2:151512081-151512093(+) GGAAGGAAGTTG >mm10_chr2:151533795-151533806(-)::chr2:151533794-151533806(-) atgaggaagatg >mm10_chr2:151542295-151542306(-)::chr2:151542294-151542306(-) GTAAGGACGATA >mm10_chr2:151563708-151563719(+)::chr2:151563707-151563719(+) AACAGGAAGTGG >mm10_chr2:151568274-151568285(-)::chr2:151568273-151568285(-) AGAAGGAAGTTA >mm10_chr2:151568333-151568344(+)::chr2:151568332-151568344(+) TCAAGGAAGAGA >mm10_chr2:151575655-151575666(+)::chr2:151575654-151575666(+) AGTAGGAAGTGA >mm10_chr2:151575682-151575693(-)::chr2:151575681-151575693(-) CTAGGGAAGTGG >mm10_chr2:151605773-151605784(-)::chr2:151605772-151605784(-) GACAGGAAGTGA >mm10_chr2:151613929-151613940(-)::chr2:151613928-151613940(-) Ttaaggaaattg >mm10_chr2:151616716-151616727(-)::chr2:151616715-151616727(-) ATGAGGAAATAA >mm10_chr2:151654588-151654599(+)::chr2:151654587-151654599(+) ACCAGGAAGTCT >mm10_chr2:151657062-151657073(+)::chr2:151657061-151657073(+) gagaggaagagg >mm10_chr2:151657068-151657079(+)::chr2:151657067-151657079(+) aagaggaagagg >mm10_chr2:151657074-151657085(+)::chr2:151657073-151657085(+) aagaggaagggg >mm10_chr2:151657087-151657098(+)::chr2:151657086-151657098(+) agaaggaaggag >mm10_chr2:151961858-151961869(-)::chr2:151961857-151961869(-) CATAGGAAGTAT >mm10_chr2:151969581-151969592(+)::chr2:151969580-151969592(+) GTAAGGAAGAGG >mm10_chr2:151969587-151969598(+)::chr2:151969586-151969598(+) AAGAGGAAGTCA >mm10_chr2:151969620-151969631(+)::chr2:151969619-151969631(+) AGAAGGAAATAG >mm10_chr2:151969869-151969880(+)::chr2:151969868-151969880(+) TGCAGGAAGGCA >mm10_chr2:151973006-151973017(+)::chr2:151973005-151973017(+) AAGAGGAAGGAG >mm10_chr2:151980167-151980178(+)::chr2:151980166-151980178(+) AAAGGGAAGTGA >mm10_chr2:151980213-151980224(-)::chr2:151980212-151980224(-) ATCAGGAAGTCC >mm10_chr2:151980379-151980390(-)::chr2:151980378-151980390(-) CCGAGGAAGTCC >mm10_chr2:151996486-151996497(-)::chr2:151996485-151996497(-) AGTAGGAAGTCC >mm10_chr2:151996538-151996549(-)::chr2:151996537-151996549(-) AGAAGGAAGGTT >mm10_chr2:152009565-152009576(-)::chr2:152009564-152009576(-) AACAGGAAGCAA >mm10_chr2:152009591-152009602(+)::chr2:152009590-152009602(+) AACAGGAAATAC >mm10_chr2:152009605-152009616(-)::chr2:152009604-152009616(-) AGAAGGAAGTCT >mm10_chr2:152177365-152177376(-)::chr2:152177364-152177376(-) TGGAGGAAATTA >mm10_chr2:152246703-152246714(+)::chr2:152246702-152246714(+) GAGAGGAAATAT >mm10_chr2:152246715-152246726(+)::chr2:152246714-152246726(+) ATGAGGAAGCCA >mm10_chr2:152246986-152246997(-)::chr2:152246985-152246997(-) gccaggaagagg >mm10_chr2:152271589-152271600(+)::chr2:152271588-152271600(+) ttaaggaagagt >mm10_chr2:152271601-152271612(+)::chr2:152271600-152271612(+) tggaggaaggat >mm10_chr2:152294120-152294131(+)::chr2:152294119-152294131(+) ATGAGGAAGAGC >mm10_chr2:152295388-152295399(-)::chr2:152295387-152295399(-) ggcaggaagatc >mm10_chr2:152295431-152295442(-)::chr2:152295430-152295442(-) ATAAGGAAATAA >mm10_chr2:152313082-152313093(-)::chr2:152313081-152313093(-) GTCAGGAAGTGG >mm10_chr2:152313095-152313106(-)::chr2:152313094-152313106(-) ATAAGGAAAGCG >mm10_chr2:152344625-152344636(+)::chr2:152344624-152344636(+) CAAAGGAAGCAG >mm10_chr2:152349967-152349978(-)::chr2:152349966-152349978(-) GACAGGAAGAAA >mm10_chr2:152368866-152368877(-)::chr2:152368865-152368877(-) GGGAGGAAGAGG >mm10_chr2:152411322-152411333(+)::chr2:152411321-152411333(+) AGAGGGAAGAAT >mm10_chr2:152411340-152411351(+)::chr2:152411339-152411351(+) AAGAGGAAGAAG >mm10_chr2:152601380-152601391(+)::chr2:152601379-152601391(+) GCCAGGAAGGAA >mm10_chr2:152601384-152601395(+)::chr2:152601383-152601395(+) GGAAGGAAGAAG >mm10_chr2:152601391-152601402(+)::chr2:152601390-152601402(+) AGAAGGAAAGAA >mm10_chr2:152653287-152653298(-)::chr2:152653286-152653298(-) GCAAGGAACTTG >mm10_chr2:152653295-152653306(-)::chr2:152653294-152653306(-) AAGAGGAAGCAA >mm10_chr2:152669471-152669482(-)::chr2:152669470-152669482(-) AACAGGAAGTGA >mm10_chr2:152671807-152671818(+)::chr2:152671806-152671818(+) AGCAGGAAGGAA >mm10_chr2:152671811-152671822(+)::chr2:152671810-152671822(+) GGAAGGAAGGAG >mm10_chr2:152687004-152687015(+)::chr2:152687003-152687015(+) AACCGGAAGTCC >mm10_chr2:152687141-152687152(-)::chr2:152687140-152687152(-) AACCGGAAGACG >mm10_chr2:152722984-152722995(+)::chr2:152722983-152722995(+) ACAAGGAATTCA >mm10_chr2:152726740-152726751(-)::chr2:152726739-152726751(-) GAAAGGAAGTTA >mm10_chr2:152741619-152741630(+)::chr2:152741618-152741630(+) AAAAGGAAGGGA >mm10_chr2:152749847-152749858(+)::chr2:152749846-152749858(+) ATAAGGAAGTCT >mm10_chr2:152779617-152779628(-)::chr2:152779616-152779628(-) ATGAGGAAGAGT >mm10_chr2:152781179-152781190(-)::chr2:152781178-152781190(-) GGCAGGAAGGGC >mm10_chr2:152788950-152788961(-)::chr2:152788949-152788961(-) GGAAGGAAGCAC >mm10_chr2:152788954-152788965(-)::chr2:152788953-152788965(-) AGGAGGAAGGAA >mm10_chr2:152789000-152789011(-)::chr2:152788999-152789011(-) GGAAGGAAGCAG >mm10_chr2:152789004-152789015(-)::chr2:152789003-152789015(-) ATCAGGAAGGAA >mm10_chr2:152789689-152789700(+)::chr2:152789688-152789700(+) AACAGGAAGCGG >mm10_chr2:152790914-152790925(-)::chr2:152790913-152790925(-) TCCAGGAAGGAC >mm10_chr2:152837516-152837527(-)::chr2:152837515-152837527(-) ACAAGGAAGGTG >mm10_chr2:152881064-152881075(-)::chr2:152881063-152881075(-) GTGAGGAAGTGA >mm10_chr2:152881105-152881116(+)::chr2:152881104-152881116(+) ACCAGGAAGAGT >mm10_chr2:152881117-152881128(+)::chr2:152881116-152881128(+) ATAAGGAAGTGA >mm10_chr2:152913285-152913296(-)::chr2:152913284-152913296(-) AACAGGAAGCTA >mm10_chr2:152913341-152913352(-)::chr2:152913340-152913352(-) ACAAGGAAGAAC >mm10_chr2:152913714-152913725(+)::chr2:152913713-152913725(+) GGGAGGAAGACT >mm10_chr2:152913777-152913788(+)::chr2:152913776-152913788(+) GGAGGGAAGTGA >mm10_chr2:152928730-152928741(-)::chr2:152928729-152928741(-) AGTAGGAAGGGC >mm10_chr2:152928737-152928748(-)::chr2:152928736-152928748(-) TCCGGGAAGTAG >mm10_chr2:152930499-152930510(-)::chr2:152930498-152930510(-) TGGAGGAAGCAT >mm10_chr2:153084401-153084412(-)::chr2:153084400-153084412(-) AGGAGGAAGTGT >mm10_chr2:153084421-153084432(-)::chr2:153084420-153084432(-) GGCAGGATGTAG >mm10_chr2:153084437-153084448(-)::chr2:153084436-153084448(-) GATAGGAAGTGA >mm10_chr2:153090101-153090112(-)::chr2:153090100-153090112(-) AAGAGGAAGGAA >mm10_chr2:153090692-153090703(+)::chr2:153090691-153090703(+) AAGAGGAAGTCC >mm10_chr2:153090708-153090719(-)::chr2:153090707-153090719(-) AAGAGGAAGCAC >mm10_chr2:153140271-153140282(+)::chr2:153140270-153140282(+) gcaacgaagTGG >mm10_chr2:153168353-153168364(-)::chr2:153168352-153168364(-) TTAAGGAACTAA >mm10_chr2:153174477-153174488(-)::chr2:153174476-153174488(-) agaaggaacttc >mm10_chr2:153208354-153208365(+)::chr2:153208353-153208365(+) tgaaggaagtca >mm10_chr2:153208391-153208402(+)::chr2:153208390-153208402(+) accaggaagtag >mm10_chr2:153209445-153209456(+)::chr2:153209444-153209456(+) CCAAGGAAGAAG >mm10_chr2:153225058-153225069(-)::chr2:153225057-153225069(-) CTGAGGAAGTGA >mm10_chr2:153236318-153236329(-)::chr2:153236317-153236329(-) gtaaggaagcag >mm10_chr2:153240849-153240860(+)::chr2:153240848-153240860(+) AAAAGGAAGGTT >mm10_chr2:153291377-153291388(+)::chr2:153291376-153291388(+) CTAAGGAAGTGG >mm10_chr2:153291406-153291417(+)::chr2:153291405-153291417(+) CTAAGGAAGTGA >mm10_chr2:153304333-153304344(+)::chr2:153304332-153304344(+) acAATGAAGTTC >mm10_chr2:153345598-153345609(-)::chr2:153345597-153345609(-) GGCGGGAAGTCG >mm10_chr2:153345641-153345652(+)::chr2:153345640-153345652(+) GTACGGAAGAAA >mm10_chr2:153345645-153345656(+)::chr2:153345644-153345656(+) GGAAGAAAGTGG >mm10_chr2:153350750-153350761(-)::chr2:153350749-153350761(-) AGAAGGAAATAC >mm10_chr2:153350770-153350781(-)::chr2:153350769-153350781(-) TAAAGGAAGTCA >mm10_chr2:153350799-153350810(-)::chr2:153350798-153350810(-) CAAAGGAAGGCT >mm10_chr2:153350995-153351006(+)::chr2:153350994-153351006(+) CTGAGGAAGTAC >mm10_chr2:153365996-153366007(-)::chr2:153365995-153366007(-) AGAAGGAAGGAC >mm10_chr2:153399967-153399978(+)::chr2:153399966-153399978(+) AGGAGGAAGTGA >mm10_chr2:153402379-153402390(+)::chr2:153402378-153402390(+) AGCAGGAAGGTT >mm10_chr2:153410370-153410381(+)::chr2:153410369-153410381(+) AAGAGGAAGTGC >mm10_chr2:153410388-153410399(+)::chr2:153410387-153410399(+) GGGAGGAAGGAA >mm10_chr2:153424347-153424358(-)::chr2:153424346-153424358(-) AGAAGGAAGGGG >mm10_chr2:153424354-153424365(-)::chr2:153424353-153424365(-) GTGAGGAAGAAG >mm10_chr2:153428806-153428817(-)::chr2:153428805-153428817(-) ACCAGGAAGTAG >mm10_chr2:153436848-153436859(-)::chr2:153436847-153436859(-) AGGAGGAAGACA >mm10_chr2:153450400-153450411(-)::chr2:153450399-153450411(-) tgcaggaagtca >mm10_chr2:153465873-153465884(-)::chr2:153465872-153465884(-) AACAGGAAGGGG >mm10_chr2:153598157-153598168(+)::chr2:153598156-153598168(+) GGAAGGAAGACT >mm10_chr2:153600167-153600178(-)::chr2:153600166-153600178(-) GGAAGGAAGGAT >mm10_chr2:153608447-153608458(+)::chr2:153608446-153608458(+) AAGAGGAAGTAT >mm10_chr2:153632711-153632722(-)::chr2:153632710-153632722(-) TGGAGGAAGCGA >mm10_chr2:153642515-153642526(+)::chr2:153642514-153642526(+) TGGAGGAAGTAG >mm10_chr2:153651887-153651898(+)::chr2:153651886-153651898(+) AGGAGGAAGGGA >mm10_chr2:153711155-153711166(-)::chr2:153711154-153711166(-) ACAAGGAAGTGC >mm10_chr2:153712232-153712243(-)::chr2:153712231-153712243(-) aggaggaagGGC >mm10_chr2:153712250-153712261(-)::chr2:153712249-153712261(-) aagaggaagagg >mm10_chr2:153768740-153768751(-)::chr2:153768739-153768751(-) tagaggaagggG >mm10_chr2:153768768-153768779(-)::chr2:153768767-153768779(-) ggaaggaaggga >mm10_chr2:153768772-153768783(-)::chr2:153768771-153768783(-) gggaggaaggaa >mm10_chr2:153768791-153768802(-)::chr2:153768790-153768802(-) gggaggaagagg >mm10_chr2:153802536-153802547(+)::chr2:153802535-153802547(+) TGAAGGAAGGAC >mm10_chr2:153802552-153802563(+)::chr2:153802551-153802563(+) TGAAGGAAGCTA >mm10_chr2:153829906-153829917(-)::chr2:153829905-153829917(-) gggaggaagagg >mm10_chr2:153829921-153829932(-)::chr2:153829920-153829932(-) aagaggaagagg >mm10_chr2:153829927-153829938(-)::chr2:153829926-153829938(-) agcaggaagagg >mm10_chr2:153829939-153829950(-)::chr2:153829938-153829950(-) aggaggaagaag >mm10_chr2:153829946-153829957(-)::chr2:153829945-153829957(-) aagaggaaggag >mm10_chr2:153872836-153872847(+)::chr2:153872835-153872847(+) AACAGGAAGTAT >mm10_chr2:153914388-153914399(+)::chr2:153914387-153914399(+) AGGAGGAAGGCC >mm10_chr2:153921793-153921804(+)::chr2:153921792-153921804(+) accaggaaggag >mm10_chr2:153921804-153921815(-)::chr2:153921803-153921815(-) tccaggaagtcc >mm10_chr2:154173540-154173551(+)::chr2:154173539-154173551(+) TGAAGGAAATTT >mm10_chr2:154220906-154220917(+)::chr2:154220905-154220917(+) TACAGGAAGACA >mm10_chr2:154223366-154223377(-)::chr2:154223365-154223377(-) AGGAGGAAGTGG >mm10_chr2:154287128-154287139(-)::chr2:154287127-154287139(-) GCAAGGAAGACT >mm10_chr2:154390559-154390570(+)::chr2:154390558-154390570(+) CGCAGGAAGCTG >mm10_chr2:154390578-154390589(+)::chr2:154390577-154390589(+) TGAAGGAAGCCA >mm10_chr2:154412650-154412661(+)::chr2:154412649-154412661(+) accaggaaatcc >mm10_chr2:154421240-154421251(-)::chr2:154421239-154421251(-) CCCAGGAAGTGT >mm10_chr2:154421252-154421263(-)::chr2:154421251-154421263(-) TGGAGGAAGCGT >mm10_chr2:154436384-154436395(-)::chr2:154436383-154436395(-) ACGCGGAAGACG >mm10_chr2:154601468-154601479(+)::chr2:154601467-154601479(+) AACAGGAAGACA >mm10_chr2:154602526-154602537(+)::chr2:154602525-154602537(+) AACAGGAAGCAG >mm10_chr2:154615357-154615368(+)::chr2:154615356-154615368(+) gtcaggaaggaa >mm10_chr2:154615361-154615372(+)::chr2:154615360-154615372(+) ggaaggaagcag >mm10_chr2:154615416-154615427(+)::chr2:154615415-154615427(+) GGAAGGAAGGAA >mm10_chr2:154615420-154615431(+)::chr2:154615419-154615431(+) GGAAGGAAGGAA >mm10_chr2:154615424-154615435(+)::chr2:154615423-154615435(+) GGAAGGAAGGGC >mm10_chr2:154658053-154658064(-)::chr2:154658052-154658064(-) ACGGGGAAGTGG >mm10_chr2:154668654-154668665(-)::chr2:154668653-154668665(-) TGAAGGAAGCAG >mm10_chr2:154678014-154678025(+)::chr2:154678013-154678025(+) GCGAGGAAGAAA >mm10_chr2:154693950-154693961(+)::chr2:154693949-154693961(+) AGAAGGAAGAGG >mm10_chr2:154693956-154693967(+)::chr2:154693955-154693967(+) AAGAGGAAGATG >mm10_chr2:154693974-154693985(+)::chr2:154693973-154693985(+) TGAAGGAATTGG >mm10_chr2:154749226-154749237(+)::chr2:154749225-154749237(+) TGGAGGAAGGAA >mm10_chr2:154749230-154749241(+)::chr2:154749229-154749241(+) GGAAGGAATTGT >mm10_chr2:154760748-154760759(-)::chr2:154760747-154760759(-) TCCAGGAAATAA >mm10_chr2:154760767-154760778(-)::chr2:154760766-154760778(-) ACAAGGAAGAAG >mm10_chr2:154760825-154760836(-)::chr2:154760824-154760836(-) AGGAGGAAGACT >mm10_chr2:154789845-154789856(+)::chr2:154789844-154789856(+) AGAGGGAAGTGA >mm10_chr2:154790723-154790734(-)::chr2:154790722-154790734(-) AGGAGGAAGATC >mm10_chr2:154810825-154810836(+)::chr2:154810824-154810836(+) AGGAGGAAGGAA >mm10_chr2:154821317-154821328(-)::chr2:154821316-154821328(-) ACAAGGAAAGAA >mm10_chr2:154847743-154847754(+)::chr2:154847742-154847754(+) agcaggaagtga >mm10_chr2:154893540-154893551(-)::chr2:154893539-154893551(-) ATAAGGAAGCTT >mm10_chr2:154931267-154931278(+)::chr2:154931266-154931278(+) ACAAGGAAGTAG >mm10_chr2:155044769-155044780(+)::chr2:155044768-155044780(+) AGGAGGAAGCTG >mm10_chr2:155051859-155051870(+)::chr2:155051858-155051870(+) GTAAGGAAGGAC >mm10_chr2:155051905-155051916(+)::chr2:155051904-155051916(+) TAGAGGAAGGGC >mm10_chr2:155090717-155090728(+)::chr2:155090716-155090728(+) GAAAGGATGTAG >mm10_chr2:155099584-155099595(+)::chr2:155099583-155099595(+) GGGAGGAAGCTA >mm10_chr2:155100517-155100528(+)::chr2:155100516-155100528(+) ataaggaagaca >mm10_chr2:155115835-155115846(-)::chr2:155115834-155115846(-) AGGAGGAAGAAG >mm10_chr2:155115847-155115858(-)::chr2:155115846-155115858(-) AAAAGGAAGAGG >mm10_chr2:155129325-155129336(+)::chr2:155129324-155129336(+) ATGAGGAAATGG >mm10_chr2:155129382-155129393(+)::chr2:155129381-155129393(+) ACAAGCAAGTAC >mm10_chr2:155236432-155236443(-)::chr2:155236431-155236443(-) AGAAGGAAGCTG >mm10_chr2:155249778-155249789(+)::chr2:155249777-155249789(+) GTAAGGAACTTG >mm10_chr2:155249791-155249802(-)::chr2:155249790-155249802(-) GGCAGGAAGTAA >mm10_chr2:155295702-155295713(-)::chr2:155295701-155295713(-) AGCAGGAAGTGA >mm10_chr2:155295731-155295742(+)::chr2:155295730-155295742(+) AACAGGAACTAA >mm10_chr2:155329087-155329098(-)::chr2:155329086-155329098(-) ataaggaagaat >mm10_chr2:155343645-155343656(+)::chr2:155343644-155343656(+) ACAAGGAAGAAG >mm10_chr2:155363615-155363626(-)::chr2:155363614-155363626(-) agaaggaagaag >mm10_chr2:155363632-155363643(-)::chr2:155363631-155363643(-) aagaggaagaag >mm10_chr2:155363638-155363649(-)::chr2:155363637-155363649(-) TGgaggaagagg >mm10_chr2:155363650-155363661(-)::chr2:155363649-155363661(-) aggaggaAGAAC >mm10_chr2:155453398-155453409(-)::chr2:155453397-155453409(-) acaaggaaacaa >mm10_chr2:155453421-155453432(-)::chr2:155453420-155453432(-) acaaggaagcca >mm10_chr2:155453964-155453975(+)::chr2:155453963-155453975(+) ACCAGGAAGAAG >mm10_chr2:155516978-155516989(+)::chr2:155516977-155516989(+) TGTAGGAAGGAA >mm10_chr2:155516982-155516993(+)::chr2:155516981-155516993(+) GGAAGGAAGTGG >mm10_chr2:155517007-155517018(-)::chr2:155517006-155517018(-) AAAAGGAAGCCG >mm10_chr2:155517043-155517054(+)::chr2:155517042-155517054(+) AGAGGGAAGTAA >mm10_chr2:155571569-155571580(-)::chr2:155571568-155571580(-) ACCAGGAAGTTG >mm10_chr2:155573138-155573149(-)::chr2:155573137-155573149(-) AGAAGGAAAGGA >mm10_chr2:155573218-155573229(-)::chr2:155573217-155573229(-) AGCAGGAAGGGC >mm10_chr2:155592686-155592697(-)::chr2:155592685-155592697(-) GCGAGTAAGTCG >mm10_chr2:155597655-155597666(-)::chr2:155597654-155597666(-) aggaggaagaag >mm10_chr2:155643244-155643255(+)::chr2:155643243-155643255(+) AGAAGGAAGGGT >mm10_chr2:155692323-155692334(+)::chr2:155692322-155692334(+) AACAGGAAGCAA >mm10_chr2:155702819-155702830(-)::chr2:155702818-155702830(-) AGCAGGAAGAGG >mm10_chr2:155710723-155710734(-)::chr2:155710722-155710734(-) GGAAGGAAGAAC >mm10_chr2:155710727-155710738(-)::chr2:155710726-155710738(-) GTGAGGAAGGAA >mm10_chr2:155720719-155720730(+)::chr2:155720718-155720730(+) ACCAGGAAGTGC >mm10_chr2:155720774-155720785(-)::chr2:155720773-155720785(-) GGCAGGAAGAAG >mm10_chr2:155729463-155729474(-)::chr2:155729462-155729474(-) GTCAGGAAGTGA >mm10_chr2:155737842-155737853(+)::chr2:155737841-155737853(+) ACAAGGAAGCTG >mm10_chr2:155743523-155743534(+)::chr2:155743522-155743534(+) CAGAGGAAGTGA >mm10_chr2:155760612-155760623(+)::chr2:155760611-155760623(+) taaaggaagggg >mm10_chr2:155760627-155760638(+)::chr2:155760626-155760638(+) aggaggaagaag >mm10_chr2:155760643-155760654(+)::chr2:155760642-155760654(+) gggaggaaggga >mm10_chr2:155760670-155760681(+)::chr2:155760669-155760681(+) aggaggaagaag >mm10_chr2:155760690-155760701(+)::chr2:155760689-155760701(+) aagaggaaggag >mm10_chr2:155764048-155764059(+)::chr2:155764047-155764059(+) aggaggaagtag >mm10_chr2:155764070-155764081(+)::chr2:155764069-155764081(+) gcaaggaaggca >mm10_chr2:155764089-155764100(+)::chr2:155764088-155764100(+) aagaggaagaAA >mm10_chr2:155819193-155819204(+)::chr2:155819192-155819204(+) GCACGGAAGCCG >mm10_chr2:155819246-155819257(+)::chr2:155819245-155819257(+) AGAAGGAAGGCC >mm10_chr2:155880420-155880431(-)::chr2:155880419-155880431(-) TCAAGCAAGTCG >mm10_chr2:155880432-155880443(-)::chr2:155880431-155880443(-) ATGAGGAAGCAT >mm10_chr2:155902640-155902651(+)::chr2:155902639-155902651(+) AGCAGGAAGGAT >mm10_chr2:155930265-155930276(-)::chr2:155930264-155930276(-) TAGAGGAAGAAC >mm10_chr2:155930311-155930322(-)::chr2:155930310-155930322(-) TAACGGAAGGAT >mm10_chr2:155940273-155940284(+)::chr2:155940272-155940284(+) AAAAGGAAGTGA >mm10_chr2:155940309-155940320(+)::chr2:155940308-155940320(+) AGCAGGAAGCAC >mm10_chr2:155940322-155940333(+)::chr2:155940321-155940333(+) GCGAGGATGTGC >mm10_chr2:155945400-155945411(+)::chr2:155945399-155945411(+) AGAAGGAAGAAT >mm10_chr2:155979485-155979496(-)::chr2:155979484-155979496(-) CTCGGGAAGTAT >mm10_chr2:156144171-156144182(+)::chr2:156144170-156144182(+) TCCCGGAAGTAA >mm10_chr2:156179518-156179529(-)::chr2:156179517-156179529(-) GTGAGGAAGCGC >mm10_chr2:156184861-156184872(-)::chr2:156184860-156184872(-) ataaggaaagaa >mm10_chr2:156203251-156203262(-)::chr2:156203250-156203262(-) TGAAGGAAATCA >mm10_chr2:156246486-156246497(-)::chr2:156246485-156246497(-) ACAAGGAAATTC >mm10_chr2:156247161-156247172(+)::chr2:156247160-156247172(+) ACAAGGAAATGT >mm10_chr2:156354362-156354373(+)::chr2:156354361-156354373(+) acaaagaagtag >mm10_chr2:156354438-156354449(-)::chr2:156354437-156354449(-) ggaaggaagctt >mm10_chr2:156354442-156354453(-)::chr2:156354441-156354453(-) tgaaggaaggaa >mm10_chr2:156356180-156356191(-)::chr2:156356179-156356191(-) ATCAGGAAGGCC >mm10_chr2:156422680-156422691(+)::chr2:156422679-156422691(+) AGGAGGACGTGC >mm10_chr2:156422698-156422709(-)::chr2:156422697-156422709(-) AGAAGGAAGGCT >mm10_chr2:156433195-156433206(+)::chr2:156433194-156433206(+) TGCCGGAAGTCA >mm10_chr2:156478651-156478662(-)::chr2:156478650-156478662(-) gggaggaagaaa >mm10_chr2:156478690-156478701(+)::chr2:156478689-156478701(+) TGAAGGAAGCGA >mm10_chr2:156482174-156482185(-)::chr2:156482173-156482185(-) AGCAGGAAGAGG >mm10_chr2:156482198-156482209(-)::chr2:156482197-156482209(-) GACAGGAAGAGG >mm10_chr2:156547580-156547591(-)::chr2:156547579-156547591(-) GGACGGAAGTTC >mm10_chr2:156729697-156729708(+)::chr2:156729696-156729708(+) GAGAGGAAGAAA >mm10_chr2:156730287-156730298(-)::chr2:156730286-156730298(-) GCACGGAAGGAA >mm10_chr2:156742491-156742502(-)::chr2:156742490-156742502(-) AACAGGAAGAGG >mm10_chr2:156766012-156766023(-)::chr2:156766011-156766023(-) TCCAGGAAGGAC >mm10_chr2:156796449-156796460(+)::chr2:156796448-156796460(+) aggaggaaatca >mm10_chr2:156811486-156811497(+)::chr2:156811485-156811497(+) AAGAGGAAGCAC >mm10_chr2:156814591-156814602(-)::chr2:156814590-156814602(-) AGACGGAAGCAT >mm10_chr2:156845322-156845333(-)::chr2:156845321-156845333(-) CCGCGGAAGTCG >mm10_chr2:156845545-156845556(-)::chr2:156845544-156845556(-) atgaggaaatga >mm10_chr2:156850654-156850665(+)::chr2:156850653-156850665(+) AACAGGAAGCAG >mm10_chr2:156850697-156850708(-)::chr2:156850696-156850708(-) AACAGGAAGGAA >mm10_chr2:156862995-156863006(-)::chr2:156862994-156863006(-) GGGCGGAAGTGA >mm10_chr2:156864004-156864015(-)::chr2:156864003-156864015(-) TTCAGGAAGTAC >mm10_chr2:156870098-156870109(+)::chr2:156870097-156870109(+) GAAAGGAAGGAA >mm10_chr2:156870102-156870113(+)::chr2:156870101-156870113(+) GGAAGGAAGTGC >mm10_chr2:156870123-156870134(-)::chr2:156870122-156870134(-) ACAAGGAAAAGG >mm10_chr2:156929882-156929893(+)::chr2:156929881-156929893(+) AGCAGGAAGAGC >mm10_chr2:156967182-156967193(-)::chr2:156967181-156967193(-) AGAAGGACGTTT >mm10_chr2:156970151-156970162(+)::chr2:156970150-156970162(+) AGAAGGAAGAGG >mm10_chr2:157004097-157004108(+)::chr2:157004096-157004108(+) AGTAGGAAGTTG >mm10_chr2:157004155-157004166(+)::chr2:157004154-157004166(+) GCAAGGAAGTCT >mm10_chr2:157005393-157005404(-)::chr2:157005392-157005404(-) ACCAGGAAATGA >mm10_chr2:157070391-157070402(+)::chr2:157070390-157070402(+) TCAAGGAAGCAC >mm10_chr2:157070429-157070440(+)::chr2:157070428-157070440(+) GGAAGGAAGGCA >mm10_chr2:157075836-157075847(-)::chr2:157075835-157075847(-) AGCAGGAAGGCG >mm10_chr2:157077660-157077671(+)::chr2:157077659-157077671(+) TTGAGGAAGTGG >mm10_chr2:157077728-157077739(+)::chr2:157077727-157077739(+) GGGCGGAAGTGG >mm10_chr2:157201117-157201128(+)::chr2:157201116-157201128(+) aaagggaagtac >mm10_chr2:157201155-157201166(+)::chr2:157201154-157201166(+) ggcaggaagagt >mm10_chr2:157283594-157283605(-)::chr2:157283593-157283605(-) AACAGGAAGACA >mm10_chr2:157287810-157287821(-)::chr2:157287809-157287821(-) AGAAGGAAACGC >mm10_chr2:157318629-157318640(+)::chr2:157318628-157318640(+) TAAAGGAAGGAA >mm10_chr2:157318633-157318644(+)::chr2:157318632-157318644(+) GGAAGGAAATTC >mm10_chr2:157320299-157320310(-)::chr2:157320298-157320310(-) AGGAGGAAGAGG >mm10_chr2:157321011-157321022(-)::chr2:157321010-157321022(-) acgaggaagtgc >mm10_chr2:157335611-157335622(-)::chr2:157335610-157335622(-) TGAAGGAAATTC >mm10_chr2:157335661-157335672(-)::chr2:157335660-157335672(-) GTGAGGAAGTAA >mm10_chr2:157335677-157335688(-)::chr2:157335676-157335688(-) TGGAGGAAGTCT >mm10_chr2:157343262-157343273(+)::chr2:157343261-157343273(+) ACCAGGAAGAAT >mm10_chr2:157367525-157367536(+)::chr2:157367524-157367536(+) GCGAGGAAGCGG >mm10_chr2:157367586-157367597(-)::chr2:157367585-157367597(-) ACCCGGAAGCGA >mm10_chr2:157373221-157373232(-)::chr2:157373220-157373232(-) GGCAGGAAGTGT >mm10_chr2:157399509-157399520(-)::chr2:157399508-157399520(-) ggaaggaaggga >mm10_chr2:157399533-157399544(-)::chr2:157399532-157399544(-) gggaggaaggag >mm10_chr2:157422800-157422811(-)::chr2:157422799-157422811(-) cagaggaagtac >mm10_chr2:157426950-157426961(+)::chr2:157426949-157426961(+) agaaggaagaag >mm10_chr2:157426962-157426973(+)::chr2:157426961-157426973(+) aagaggaagaAG >mm10_chr2:157427027-157427038(+)::chr2:157427026-157427038(+) ATCAGGAAGTGC >mm10_chr2:157472743-157472754(-)::chr2:157472742-157472754(-) AACAGGAAGGGC >mm10_chr2:157566772-157566783(-)::chr2:157566771-157566783(-) AGGAGGAAGCAC >mm10_chr2:157593243-157593254(-)::chr2:157593242-157593254(-) ACAAGGAAGTTC >mm10_chr2:157597316-157597327(+)::chr2:157597315-157597327(+) aaaaggaagtct >mm10_chr2:157707613-157707624(+)::chr2:157707612-157707624(+) TAAAGGAAGGTA >mm10_chr2:157724789-157724800(-)::chr2:157724788-157724800(-) AGCAGGAAGAGC >mm10_chr2:157724796-157724807(-)::chr2:157724795-157724807(-) AGCAGGAAGCAG >mm10_chr2:157737357-157737368(-)::chr2:157737356-157737368(-) AAAAGGACGCGC >mm10_chr2:157744323-157744334(-)::chr2:157744322-157744334(-) GAGAGGAAATAA >mm10_chr2:157744374-157744385(-)::chr2:157744373-157744385(-) ATGAGGAAGTCA >mm10_chr2:157831700-157831711(-)::chr2:157831699-157831711(-) GACAGGAAGGTG >mm10_chr2:158026270-158026281(-)::chr2:158026269-158026281(-) taaaggaagaga >mm10_chr2:158070883-158070894(-)::chr2:158070882-158070894(-) AAAAGGAAGTAA >mm10_chr2:158070902-158070913(-)::chr2:158070901-158070913(-) ACCAGGAAGTGG >mm10_chr2:158080789-158080800(-)::chr2:158080788-158080800(-) accaggaagcac >mm10_chr2:158091782-158091793(+)::chr2:158091781-158091793(+) ACGAGGAAGAAA >mm10_chr2:158109760-158109771(-)::chr2:158109759-158109771(-) TCTAGGAAGTGA >mm10_chr2:158109787-158109798(-)::chr2:158109786-158109798(-) GGAAGGAGGTGG >mm10_chr2:158109791-158109802(-)::chr2:158109790-158109802(-) GGCAGGAAGGAG >mm10_chr2:158109829-158109840(-)::chr2:158109828-158109840(-) TGGAGGAAGGTA >mm10_chr2:158113528-158113539(-)::chr2:158113527-158113539(-) ACAAGGAAAGGG >mm10_chr2:158120442-158120453(-)::chr2:158120441-158120453(-) AGAAGGAATCGA >mm10_chr2:158139581-158139592(-)::chr2:158139580-158139592(-) ACCAGGAAGCAG >mm10_chr2:158166746-158166757(+)::chr2:158166745-158166757(+) ATCAGGAAGTGG >mm10_chr2:158166767-158166778(-)::chr2:158166766-158166778(-) TACAGGAAGATG >mm10_chr2:158174684-158174695(+)::chr2:158174683-158174695(+) AGGAGGAAGTTC >mm10_chr2:158178312-158178323(-)::chr2:158178311-158178323(-) ataaggaagctt >mm10_chr2:158180586-158180597(+)::chr2:158180585-158180597(+) TCCAGGAAGGGA >mm10_chr2:158180632-158180643(+)::chr2:158180631-158180643(+) AGGAGGAAGCAG >mm10_chr2:158299310-158299321(+)::chr2:158299309-158299321(+) ATCAGGAAGAGG >mm10_chr2:158306239-158306250(-)::chr2:158306238-158306250(-) AGCAGGAAGGAA >mm10_chr2:158307325-158307336(-)::chr2:158307324-158307336(-) ggagggaagTTG >mm10_chr2:158314503-158314514(-)::chr2:158314502-158314514(-) AGGAGGACGTAT >mm10_chr2:158334396-158334407(+)::chr2:158334395-158334407(+) cccaggaagtat >mm10_chr2:158353371-158353382(+)::chr2:158353370-158353382(+) ggtaggaagtag >mm10_chr2:158355821-158355832(+)::chr2:158355820-158355832(+) AATAGGAAGTTG >mm10_chr2:158502609-158502620(-)::chr2:158502608-158502620(-) ACAAGGAAGTCA >mm10_chr2:158510987-158510998(-)::chr2:158510986-158510998(-) GGCAGGAAGCGC >mm10_chr2:158606584-158606595(+)::chr2:158606583-158606595(+) ATGAGGAAATTG >mm10_chr2:158607261-158607272(-)::chr2:158607260-158607272(-) ACACGGAAGAGG >mm10_chr2:158629632-158629643(-)::chr2:158629631-158629643(-) aacaggaactac >mm10_chr2:158666590-158666601(-)::chr2:158666589-158666601(-) GGAAGGAAGTGG >mm10_chr2:158666594-158666605(-)::chr2:158666593-158666605(-) AGGAGGAAGGAA >mm10_chr2:158728235-158728246(+)::chr2:158728234-158728246(+) GAGAGGAAGTGC >mm10_chr2:158760893-158760904(+)::chr2:158760892-158760904(+) aacaggaactag >mm10_chr2:158760944-158760955(-)::chr2:158760943-158760955(-) tcaaggaaggct >mm10_chr2:158769818-158769829(-)::chr2:158769817-158769829(-) ATAGGGAAGTCT >mm10_chr2:159196665-159196676(+)::chr2:159196664-159196676(+) GTGAGGAAGATA >mm10_chr2:159356566-159356577(-)::chr2:159356565-159356577(-) GACAGGAAGGAG >mm10_chr2:159435930-159435941(-)::chr2:159435929-159435941(-) GGAAGGAAGCCA >mm10_chr2:159435934-159435945(-)::chr2:159435933-159435945(-) GCCAGGAAGGAA >mm10_chr2:159481219-159481230(-)::chr2:159481218-159481230(-) TACAGGAAGAGG >mm10_chr2:159523922-159523933(+)::chr2:159523921-159523933(+) GGCAGGAAGAAT >mm10_chr2:159756010-159756021(-)::chr2:159756009-159756021(-) AGAAGTAAGTCC >mm10_chr2:159832929-159832940(+)::chr2:159832928-159832940(+) GGCAGGAAGTGG >mm10_chr2:160006803-160006814(+)::chr2:160006802-160006814(+) agaaggaagtaa >mm10_chr2:160006807-160006818(+)::chr2:160006806-160006818(+) ggaagtaagtca >mm10_chr2:160006859-160006870(-)::chr2:160006858-160006870(-) gacaggaaatgg >mm10_chr2:160026156-160026167(-)::chr2:160026155-160026167(-) TCAGGGAAGTGT >mm10_chr2:160047428-160047439(+)::chr2:160047427-160047439(+) AGGAGGAAGCTG >mm10_chr2:160047445-160047456(+)::chr2:160047444-160047456(+) GTCAGGAAGAGA >mm10_chr2:160062959-160062970(+)::chr2:160062958-160062970(+) AGAAGGAAGTAC >mm10_chr2:160068918-160068929(-)::chr2:160068917-160068929(-) AGGAGGAAGAAA >mm10_chr2:160068939-160068950(-)::chr2:160068938-160068950(-) AACAGGAAGCCC >mm10_chr2:160119427-160119438(+)::chr2:160119426-160119438(+) ACTAGGAAGGGC >mm10_chr2:160119471-160119482(+)::chr2:160119470-160119482(+) ATAAGGAAGGTA >mm10_chr2:160125482-160125493(-)::chr2:160125481-160125493(-) GTCAGGAAGAAG >mm10_chr2:160131941-160131952(+)::chr2:160131940-160131952(+) TCAAGGAAGGGA >mm10_chr2:160152235-160152246(+)::chr2:160152234-160152246(+) acaaggaagaac >mm10_chr2:160220713-160220724(+)::chr2:160220712-160220724(+) ACCAGGAAGTTC >mm10_chr2:160237999-160238010(-)::chr2:160237998-160238010(-) ACCAGGAAGTAC >mm10_chr2:160268251-160268262(-)::chr2:160268250-160268262(-) TTAAGGAAGTCT >mm10_chr2:160287776-160287787(+)::chr2:160287775-160287787(+) ATCAGGAAGTTA >mm10_chr2:160298813-160298824(-)::chr2:160298812-160298824(-) CCCAGGAAGTAT >mm10_chr2:160314182-160314193(-)::chr2:160314181-160314193(-) CAGAGGAAGTCC >mm10_chr2:160318411-160318422(+)::chr2:160318410-160318422(+) ACCAGGAAGTGA >mm10_chr2:160318454-160318465(+)::chr2:160318453-160318465(+) AGAAGGAAGCAC >mm10_chr2:160336424-160336435(-)::chr2:160336423-160336435(-) GGAAGGAAGAGA >mm10_chr2:160336428-160336439(-)::chr2:160336427-160336439(-) GGCAGGAAGGAA >mm10_chr2:160351457-160351468(+)::chr2:160351456-160351468(+) AGGAGGAAGAGC >mm10_chr2:160351477-160351488(+)::chr2:160351476-160351488(+) GGCAGGAAGAGG >mm10_chr2:160353730-160353741(-)::chr2:160353729-160353741(-) TACAGGAAATGC >mm10_chr2:160354124-160354135(+)::chr2:160354123-160354135(+) AAAGGGAAGTCC >mm10_chr2:160367095-160367106(-)::chr2:160367094-160367106(-) ATCAGGAAGCGA >mm10_chr2:160421244-160421255(+)::chr2:160421243-160421255(+) AGGAGGAAATGA >mm10_chr2:160551989-160552000(-)::chr2:160551988-160552000(-) AAAAGGAGGTAG >mm10_chr2:160552035-160552046(-)::chr2:160552034-160552046(-) AACAGGAAATGA >mm10_chr2:160607676-160607687(+)::chr2:160607675-160607687(+) ACTAGGAAGACA >mm10_chr2:160622112-160622123(+)::chr2:160622111-160622123(+) TCAAGGAAGTGA >mm10_chr2:160638929-160638940(+)::chr2:160638928-160638940(+) ATAAGGAAGCTC >mm10_chr2:160667442-160667453(+)::chr2:160667441-160667453(+) agaaggaagtat >mm10_chr2:160688086-160688097(+)::chr2:160688085-160688097(+) ATGAGGAAGTAC >mm10_chr2:160729323-160729334(+)::chr2:160729322-160729334(+) AAGAGGAAATGA >mm10_chr2:160874621-160874632(-)::chr2:160874620-160874632(-) agaaggaaatgc >mm10_chr2:160874628-160874639(-)::chr2:160874627-160874639(-) aagaggaagaag >mm10_chr2:160874661-160874672(-)::chr2:160874660-160874672(-) ggcaggaaggag >mm10_chr2:160884198-160884209(+)::chr2:160884197-160884209(+) GCAAGGAAGTAG >mm10_chr2:160957155-160957166(+)::chr2:160957154-160957166(+) CTGAGGAAGTAG >mm10_chr2:161033415-161033426(-)::chr2:161033414-161033426(-) agcaggaagact >mm10_chr2:161103589-161103600(+)::chr2:161103588-161103600(+) AAAAGGAAATCC >mm10_chr2:161103632-161103643(+)::chr2:161103631-161103643(+) ATAAGGAAGAAA >mm10_chr2:161154539-161154550(+)::chr2:161154538-161154550(+) GGCAGGAAGATG >mm10_chr2:161280666-161280677(-)::chr2:161280665-161280677(-) aataggaagtgg >mm10_chr2:161341820-161341831(+)::chr2:161341819-161341831(+) ACAAGGAACTCA >mm10_chr2:161351027-161351038(-)::chr2:161351026-161351038(-) agaaggaaaagg >mm10_chr2:161351039-161351050(-)::chr2:161351038-161351050(-) agaaggaagagg >mm10_chr2:161515190-161515201(+)::chr2:161515189-161515201(+) GGCAGGAAGCAC >mm10_chr2:161527309-161527320(-)::chr2:161527308-161527320(-) AACAGGAAGTTG >mm10_chr2:161527348-161527359(-)::chr2:161527347-161527359(-) GGGAGGAAATGT >mm10_chr2:161584126-161584137(-)::chr2:161584125-161584137(-) AAAAGGAAGGTG >mm10_chr2:161584144-161584155(-)::chr2:161584143-161584155(-) GGAAGGAAATGA >mm10_chr2:161584148-161584159(-)::chr2:161584147-161584159(-) GGCAGGAAGGAA >mm10_chr2:161584291-161584302(-)::chr2:161584290-161584302(-) GAAAGGAAGTAA >mm10_chr2:161881615-161881626(+)::chr2:161881614-161881626(+) AGCAGGAACTAT >mm10_chr2:162457300-162457311(-)::chr2:162457299-162457311(-) aaatggaagtgc >mm10_chr2:162570644-162570655(+)::chr2:162570643-162570655(+) AGAAGGCAGTGT >mm10_chr2:162579915-162579926(+)::chr2:162579914-162579926(+) GGCAGGAAGAGT >mm10_chr2:162672599-162672610(+)::chr2:162672598-162672610(+) GAAAGTAAGTAC >mm10_chr2:162685061-162685072(-)::chr2:162685060-162685072(-) ATAAGGAAATGA >mm10_chr2:162714758-162714769(-)::chr2:162714757-162714769(-) accaggaagaga >mm10_chr2:162864805-162864816(-)::chr2:162864804-162864816(-) GCCAGGAAGGAG >mm10_chr2:162909852-162909863(-)::chr2:162909851-162909863(-) AGCAGGAAGAGG >mm10_chr2:162958412-162958423(+)::chr2:162958411-162958423(+) CCAAGGAAGCCA >mm10_chr2:162966921-162966932(-)::chr2:162966920-162966932(-) ACAGGGAAGTGC >mm10_chr2:162970599-162970610(-)::chr2:162970598-162970610(-) agaaggaagaag >mm10_chr2:162970612-162970623(-)::chr2:162970611-162970623(-) aggaggaagaag >mm10_chr2:162970656-162970667(-)::chr2:162970655-162970667(-) aagaggaagaag >mm10_chr2:162976059-162976070(-)::chr2:162976058-162976070(-) AACAGGAAATCA >mm10_chr2:162986985-162986996(+)::chr2:162986984-162986996(+) AGGAGGAAGGCT >mm10_chr2:163007399-163007410(-)::chr2:163007398-163007410(-) GGAAGGATGTTG >mm10_chr2:163017457-163017468(+)::chr2:163017456-163017468(+) CGACGGAAGTCA >mm10_chr2:163054561-163054572(-)::chr2:163054560-163054572(-) ATACGGAAGGGG >mm10_chr2:163070382-163070393(-)::chr2:163070381-163070393(-) agaaggaagctt >mm10_chr2:163070389-163070400(-)::chr2:163070388-163070400(-) acacggaagaag >mm10_chr2:163070434-163070445(+)::chr2:163070433-163070445(+) taaaggaaggtc >mm10_chr2:163176800-163176811(-)::chr2:163176799-163176811(-) agcaggaactga >mm10_chr2:163178528-163178539(-)::chr2:163178527-163178539(-) AGGAGGAAGTGT >mm10_chr2:163178578-163178589(+)::chr2:163178577-163178589(+) AGAAGGAAATAA >mm10_chr2:163192201-163192212(-)::chr2:163192200-163192212(-) acaaggaagcaa >mm10_chr2:163194653-163194664(+)::chr2:163194652-163194664(+) TAAAGGAAGCAT >mm10_chr2:163419775-163419786(-)::chr2:163419774-163419786(-) AAGAGGAAGTAG >mm10_chr2:163430704-163430715(-)::chr2:163430703-163430715(-) GGCAGGAAGGAC >mm10_chr2:163611407-163611418(+)::chr2:163611406-163611418(+) AGCAGGAAGTAg >mm10_chr2:163642744-163642755(+)::chr2:163642743-163642755(+) acaaggaagttc >mm10_chr2:163647994-163648005(-)::chr2:163647993-163648005(-) acaaggaagtag >mm10_chr2:163649278-163649289(+)::chr2:163649277-163649289(+) aggaggaaggaa >mm10_chr2:163649427-163649438(+)::chr2:163649426-163649438(+) ttgaggaagata >mm10_chr2:163727137-163727148(-)::chr2:163727136-163727148(-) GGAAGGAAGTTA >mm10_chr2:163727670-163727681(+)::chr2:163727669-163727681(+) ACAAGGAAGGAA >mm10_chr2:163727674-163727685(+)::chr2:163727673-163727685(+) GGAAGGAAGCAG >mm10_chr2:163736076-163736087(-)::chr2:163736075-163736087(-) ATGAGGAAGTCA >mm10_chr2:163787847-163787858(+)::chr2:163787846-163787858(+) GAAAGGAAGCTA >mm10_chr2:163808024-163808035(+)::chr2:163808023-163808035(+) acacggaagaag >mm10_chr2:163808031-163808042(+)::chr2:163808030-163808042(+) agaaggaagctc >mm10_chr2:163826930-163826941(+)::chr2:163826929-163826941(+) TGGAGGAAGGAA >mm10_chr2:163994923-163994934(-)::chr2:163994922-163994934(-) aggaggaagaag >mm10_chr2:163994952-163994963(-)::chr2:163994951-163994963(-) gaaaggaagtgg >mm10_chr2:164006512-164006523(-)::chr2:164006511-164006523(-) cacaggaagtag >mm10_chr2:164006547-164006558(-)::chr2:164006546-164006558(-) accaggaaggag >mm10_chr2:164007120-164007131(+)::chr2:164007119-164007131(+) aacaggaagtgg >mm10_chr2:164009373-164009384(-)::chr2:164009372-164009384(-) ACAAGGAAAAAT >mm10_chr2:164009388-164009399(-)::chr2:164009387-164009399(-) TGGAGGAAGGCA >mm10_chr2:164009421-164009432(-)::chr2:164009420-164009432(-) AACAGGAAATGG >mm10_chr2:164011148-164011159(-)::chr2:164011147-164011159(-) ggcaggaagtac >mm10_chr2:164047363-164047374(-)::chr2:164047362-164047374(-) GGAAGGAAGCAA >mm10_chr2:164054007-164054018(+)::chr2:164054006-164054018(+) CAGAGGAAGTCA >mm10_chr2:164071240-164071251(-)::chr2:164071239-164071251(-) ACAAGGAAGAGC >mm10_chr2:164074111-164074122(-)::chr2:164074110-164074122(-) TACCGGAAGCGA >mm10_chr2:164074131-164074142(+)::chr2:164074130-164074142(+) AAGCGGAAGTGT >mm10_chr2:164084137-164084148(+)::chr2:164084136-164084148(+) AGCAGGAAGTTG >mm10_chr2:164116777-164116788(-)::chr2:164116776-164116788(-) ACAAGGAAGACA >mm10_chr2:164116804-164116815(+)::chr2:164116803-164116815(+) AGAAGGAAGCTG >mm10_chr2:164126901-164126912(+)::chr2:164126900-164126912(+) taaaggaaatgt >mm10_chr2:164134719-164134730(-)::chr2:164134718-164134730(-) CACAGGAAGTGT >mm10_chr2:164149471-164149482(-)::chr2:164149470-164149482(-) ggaaggaaggga >mm10_chr2:164149475-164149486(-)::chr2:164149474-164149486(-) agaaggaaggaa >mm10_chr2:164149994-164150005(+)::chr2:164149993-164150005(+) GGGAGGAAGAAC >mm10_chr2:164150041-164150052(+)::chr2:164150040-164150052(+) agagggaagttg >mm10_chr2:164173788-164173799(-)::chr2:164173787-164173799(-) ataaggaagaga >mm10_chr2:164186854-164186865(-)::chr2:164186853-164186865(-) atgaggaagaag >mm10_chr2:164186884-164186895(-)::chr2:164186883-164186895(-) agaaggaagagg >mm10_chr2:164186899-164186910(-)::chr2:164186898-164186910(-) aagaggaagaag >mm10_chr2:164186935-164186946(-)::chr2:164186934-164186946(-) aggaggaagggg >mm10_chr2:164196661-164196672(-)::chr2:164196660-164196672(-) ACAAGGAAAAAG >mm10_chr2:164200219-164200230(+)::chr2:164200218-164200230(+) AAGAGGAAGGAA >mm10_chr2:164200223-164200234(+)::chr2:164200222-164200234(+) GGAAGGAAGACA >mm10_chr2:164308691-164308702(+)::chr2:164308690-164308702(+) AACAGGAAGTGA >mm10_chr2:164308961-164308972(-)::chr2:164308960-164308972(-) GGAAGGAAGGGG >mm10_chr2:164340584-164340595(-)::chr2:164340583-164340595(-) ATGAGGAAGTTT >mm10_chr2:164340619-164340630(-)::chr2:164340618-164340630(-) TGCAGGAAGATA >mm10_chr2:164361093-164361104(+)::chr2:164361092-164361104(+) TCAAGGATGTGA >mm10_chr2:164361131-164361142(-)::chr2:164361130-164361142(-) ACCAGGAAGTGC >mm10_chr2:164417759-164417770(+)::chr2:164417758-164417770(+) AAAAGGAAATAG >mm10_chr2:164432649-164432660(-)::chr2:164432648-164432660(-) aggaggaagagt >mm10_chr2:164451285-164451296(-)::chr2:164451284-164451296(-) aggaggaagagg >mm10_chr2:164451306-164451317(-)::chr2:164451305-164451317(-) aggaggaagaag >mm10_chr2:164451318-164451329(-)::chr2:164451317-164451329(-) aggaggaagaag >mm10_chr2:164451330-164451341(-)::chr2:164451329-164451341(-) agaaggaaaagg >mm10_chr2:164451342-164451353(-)::chr2:164451341-164451353(-) aggaggaagagg >mm10_chr2:164455116-164455127(+)::chr2:164455115-164455127(+) AAAAGGAAACGT >mm10_chr2:164457275-164457286(-)::chr2:164457274-164457286(-) AGAAGGAAGAAG >mm10_chr2:164460828-164460839(-)::chr2:164460827-164460839(-) AAACGGAAATGA >mm10_chr2:164460976-164460987(+)::chr2:164460975-164460987(+) CCCAGGAAGCCG >mm10_chr2:164486523-164486534(-)::chr2:164486522-164486534(-) AGGCGGAAGTGG >mm10_chr2:164486539-164486550(-)::chr2:164486538-164486550(-) ACGAGGAAGAGG >mm10_chr2:164492983-164492994(+)::chr2:164492982-164492994(+) ttgaggaagtga >mm10_chr2:164493020-164493031(+)::chr2:164493019-164493031(+) agtaggaagaaa >mm10_chr2:164493689-164493700(+)::chr2:164493688-164493700(+) ACCAGGAAGTCA >mm10_chr2:164493770-164493781(+)::chr2:164493769-164493781(+) CCAAGGAAGCGA >mm10_chr2:164497491-164497502(-)::chr2:164497490-164497502(-) AATAGGAAGCCG >mm10_chr2:164497539-164497550(+)::chr2:164497538-164497550(+) AGGCGGAAGCGG >mm10_chr2:164500766-164500777(+)::chr2:164500765-164500777(+) ttgaggaagtca >mm10_chr2:164500780-164500791(-)::chr2:164500779-164500791(-) tcaaggaaatga >mm10_chr2:164500790-164500801(-)::chr2:164500789-164500801(-) agaatgaagttc >mm10_chr2:164513718-164513729(+)::chr2:164513717-164513729(+) GGCAGGAAGAGC >mm10_chr2:164516184-164516195(-)::chr2:164516183-164516195(-) ATAAGGAAGAGG >mm10_chr2:164520867-164520878(-)::chr2:164520866-164520878(-) GTGAGGAAGGAG >mm10_chr2:164523205-164523216(-)::chr2:164523204-164523216(-) tggaggaagttt >mm10_chr2:164523237-164523248(+)::chr2:164523236-164523248(+) agcaggaagtag >mm10_chr2:164562474-164562485(+)::chr2:164562473-164562485(+) GGTAGGAAGAGA >mm10_chr2:164564413-164564424(+)::chr2:164564412-164564424(+) AACAGGAAGGTG >mm10_chr2:164700079-164700090(+)::chr2:164700078-164700090(+) AACAGGAAGAAA >mm10_chr2:164700088-164700099(-)::chr2:164700087-164700099(-) AACAGGAAGTTT >mm10_chr2:164700110-164700121(-)::chr2:164700109-164700121(-) TAGAGGAAGATG >mm10_chr2:164719998-164720009(+)::chr2:164719997-164720009(+) aggaggaagagg >mm10_chr2:164720025-164720036(+)::chr2:164720024-164720036(+) aggaggaagagg >mm10_chr2:164720043-164720054(+)::chr2:164720042-164720054(+) aggaggaagagg >mm10_chr2:164720055-164720066(+)::chr2:164720054-164720066(+) aggaggaagagg >mm10_chr2:164738138-164738149(+)::chr2:164738137-164738149(+) AAAAGGAAGTCC >mm10_chr2:164739982-164739993(-)::chr2:164739981-164739993(-) ATTAGGAAGTTG >mm10_chr2:164740004-164740015(+)::chr2:164740003-164740015(+) ATAAGGATGTGT >mm10_chr2:164743085-164743096(+)::chr2:164743084-164743096(+) ATGAGGAAGAGG >mm10_chr2:164743102-164743113(-)::chr2:164743101-164743113(-) AGCAGGACGTTA >mm10_chr2:164754263-164754274(+)::chr2:164754262-164754274(+) ATCAGGAAGCCA >mm10_chr2:164754312-164754323(+)::chr2:164754311-164754323(+) AGCAGGAAGAGA >mm10_chr2:164760570-164760581(+)::chr2:164760569-164760581(+) aaagggaagtcg >mm10_chr2:164760596-164760607(+)::chr2:164760595-164760607(+) ggcaggaagtgg >mm10_chr2:164769409-164769420(-)::chr2:164769408-164769420(-) AATAGGAAGCAT >mm10_chr2:164785762-164785773(+)::chr2:164785761-164785773(+) GGCAGGAAGCAG >mm10_chr2:164862742-164862753(-)::chr2:164862741-164862753(-) AAAAGGAACTGG >mm10_chr2:164867338-164867349(-)::chr2:164867337-164867349(-) AGCAGGAAGCAA >mm10_chr2:164878651-164878662(-)::chr2:164878650-164878662(-) CTAAGGAAGCTG >mm10_chr2:164885184-164885195(+)::chr2:164885183-164885195(+) GTCAGGAAGAAG >mm10_chr2:164885191-164885202(+)::chr2:164885190-164885202(+) AGAAGGAAGCTC >mm10_chr2:164911795-164911806(+)::chr2:164911794-164911806(+) CACGGGAAGTGG >mm10_chr2:164913653-164913664(-)::chr2:164913652-164913664(-) GGCAGGAAGCAG >mm10_chr2:164913722-164913733(-)::chr2:164913721-164913733(-) tgcaggaagagt >mm10_chr2:164917517-164917528(+)::chr2:164917516-164917528(+) aacaggaaggac >mm10_chr2:164938942-164938953(+)::chr2:164938941-164938953(+) GGGAGGAAGCGC >mm10_chr2:164940876-164940887(-)::chr2:164940875-164940887(-) GTCCGGAAGTGG >mm10_chr2:164997950-164997961(+)::chr2:164997949-164997961(+) CCCAGGAAGGCG >mm10_chr2:165026196-165026207(+)::chr2:165026195-165026207(+) TCAAGGAAATAG >mm10_chr2:165043289-165043300(+)::chr2:165043288-165043300(+) ACCAGGAAGCTG >mm10_chr2:165055121-165055132(-)::chr2:165055120-165055132(-) AGAAGGAAATTC >mm10_chr2:165055159-165055170(-)::chr2:165055158-165055170(-) TCAAGGAAATGA >mm10_chr2:165090889-165090900(-)::chr2:165090888-165090900(-) ATGAGGAAGTTG >mm10_chr2:165113494-165113505(-)::chr2:165113493-165113505(-) AGCAGGAAGGAG >mm10_chr2:165113524-165113535(-)::chr2:165113523-165113535(-) ATAAGGGAGTAG >mm10_chr2:165133655-165133666(-)::chr2:165133654-165133666(-) GAGAGGAAGTAG >mm10_chr2:165201808-165201819(+)::chr2:165201807-165201819(+) ACCAGGAAGGAC >mm10_chr2:165202062-165202073(+)::chr2:165202061-165202073(+) AGGAGGAAGCAG >mm10_chr2:165202115-165202126(-)::chr2:165202114-165202126(-) AAACGGAAGGGA >mm10_chr2:165285867-165285878(-)::chr2:165285866-165285878(-) AGGAGGAAGTGC >mm10_chr2:165286572-165286583(-)::chr2:165286571-165286583(-) AGGAGGAAGCTG >mm10_chr2:165286621-165286632(-)::chr2:165286620-165286632(-) AGAAGGAAGACT >mm10_chr2:165346368-165346379(+)::chr2:165346367-165346379(+) GAGAGGAAGTGC >mm10_chr2:165367175-165367186(-)::chr2:165367174-165367186(-) AGGAGGAAGTGC >mm10_chr2:165371250-165371261(+)::chr2:165371249-165371261(+) gggaggaaggaa >mm10_chr2:165371268-165371279(-)::chr2:165371267-165371279(-) accaggaagtcc >mm10_chr2:165391765-165391776(-)::chr2:165391764-165391776(-) CGAGGGAAGTTG >mm10_chr2:165402182-165402193(+)::chr2:165402181-165402193(+) AAGAGGAAGTGG >mm10_chr2:165405552-165405563(+)::chr2:165405551-165405563(+) TGGAGGAAGTGA >mm10_chr2:165417982-165417993(-)::chr2:165417981-165417993(-) ATAGGGAAGGGT >mm10_chr2:165446348-165446359(-)::chr2:165446347-165446359(-) ACCAGGAAGACT >mm10_chr2:165448615-165448626(+)::chr2:165448614-165448626(+) CTAAGGAAGAGG >mm10_chr2:165448621-165448632(+)::chr2:165448620-165448632(+) AAGAGGAAGTTG >mm10_chr2:165448636-165448647(+)::chr2:165448635-165448647(+) TCGAGGAAGTAC >mm10_chr2:165453477-165453488(+)::chr2:165453476-165453488(+) ACAAGGAAGCCA >mm10_chr2:165453486-165453497(-)::chr2:165453485-165453497(-) AACAGGAAGTGG >mm10_chr2:165453533-165453544(+)::chr2:165453532-165453544(+) ACAAGGAAGCCA >mm10_chr2:165453542-165453553(-)::chr2:165453541-165453553(-) AATAGGAAGTGG >mm10_chr2:165486958-165486969(+)::chr2:165486957-165486969(+) AGCAGGAAGCCC >mm10_chr2:165486971-165486982(+)::chr2:165486970-165486982(+) AGGAGGAAGTCC >mm10_chr2:165487260-165487271(+)::chr2:165487259-165487271(+) AGAAGGAAATga >mm10_chr2:165503427-165503438(-)::chr2:165503426-165503438(-) ATAAGGAAGGGC >mm10_chr2:165503487-165503498(+)::chr2:165503486-165503498(+) agaagtaagcgc >mm10_chr2:165584160-165584171(+)::chr2:165584159-165584171(+) aggaggaagaac >mm10_chr2:165632194-165632205(-)::chr2:165632193-165632205(-) AGGAGGAAGGGA >mm10_chr2:165632201-165632212(-)::chr2:165632200-165632212(-) GGAAGGAAGGAG >mm10_chr2:165632216-165632227(+)::chr2:165632215-165632227(+) AGCAGGAAGAAA >mm10_chr2:165634629-165634640(-)::chr2:165634628-165634640(-) AGGAGGAAGTTC >mm10_chr2:165645461-165645472(-)::chr2:165645460-165645472(-) TTCAGGAAGTTT >mm10_chr2:165645822-165645833(+)::chr2:165645821-165645833(+) tggaGGAAATGA >mm10_chr2:165645857-165645868(-)::chr2:165645856-165645868(-) GGAAGGAAATGG >mm10_chr2:165645861-165645872(-)::chr2:165645860-165645872(-) GGAAGGAAGGAA >mm10_chr2:165645865-165645876(-)::chr2:165645864-165645876(-) GACAGGAAGGAA >mm10_chr2:165653151-165653162(+)::chr2:165653150-165653162(+) GATAGGAAGGGG >mm10_chr2:165665269-165665280(+)::chr2:165665268-165665280(+) TCAAGGAAGCAG >mm10_chr2:165733775-165733786(+)::chr2:165733774-165733786(+) gggaggaagACA >mm10_chr2:165822003-165822014(-)::chr2:165822002-165822014(-) GTAAGGAAATGG >mm10_chr2:165832533-165832544(+)::chr2:165832532-165832544(+) TGCAGGAAGGAA >mm10_chr2:165843559-165843570(-)::chr2:165843558-165843570(-) acaAGGAAAGGA >mm10_chr2:165846953-165846964(-)::chr2:165846952-165846964(-) TACAGGAAGATG >mm10_chr2:165852296-165852307(-)::chr2:165852295-165852307(-) CGAAGGAAGAGA >mm10_chr2:165856033-165856044(-)::chr2:165856032-165856044(-) GGCAGGAAGTTG >mm10_chr2:165857680-165857691(-)::chr2:165857679-165857691(-) AGGAGGAAGTTC >mm10_chr2:165857899-165857910(+)::chr2:165857898-165857910(+) TGCAGGAAGCAG >mm10_chr2:165857933-165857944(+)::chr2:165857932-165857944(+) ACCAGGAAGTGC >mm10_chr2:165858180-165858191(+)::chr2:165858179-165858191(+) AGGAGGAAGCCG >mm10_chr2:165859113-165859124(+)::chr2:165859112-165859124(+) ACAAGGAAAATG >mm10_chr2:165859132-165859143(+)::chr2:165859131-165859143(+) ACAAGGAAGAAG >mm10_chr2:165864255-165864266(+)::chr2:165864254-165864266(+) ACAGGGAAGTGG >mm10_chr2:165874049-165874060(+)::chr2:165874048-165874060(+) ATGAGGAAGTCT >mm10_chr2:165874746-165874757(-)::chr2:165874745-165874757(-) aagaggaagagg >mm10_chr2:165874765-165874776(-)::chr2:165874764-165874776(-) aagaggaagagg >mm10_chr2:165874771-165874782(-)::chr2:165874770-165874782(-) aggaggaagagg >mm10_chr2:165883148-165883159(+)::chr2:165883147-165883159(+) AGCAGGAAGTGA >mm10_chr2:165885624-165885635(-)::chr2:165885623-165885635(-) AGACGGAAGTCA >mm10_chr2:165914313-165914324(-)::chr2:165914312-165914324(-) AGAAGGAAATAC >mm10_chr2:165922599-165922610(+)::chr2:165922598-165922610(+) AAGAGGAAGCAC >mm10_chr2:165923212-165923223(-)::chr2:165923211-165923223(-) aagaggaagtga >mm10_chr2:165957000-165957011(+)::chr2:165956999-165957011(+) aggaggaagagg >mm10_chr2:165957015-165957026(+)::chr2:165957014-165957026(+) agaaggaagagg >mm10_chr2:165957052-165957063(+)::chr2:165957051-165957063(+) aggaggaagagg >mm10_chr2:165957070-165957081(+)::chr2:165957069-165957081(+) aggaggaagaAG >mm10_chr2:165957435-165957446(-)::chr2:165957434-165957446(-) AGGAGGAAGTAG >mm10_chr2:165962519-165962530(+)::chr2:165962518-165962530(+) AGCAGGAAGTGT >mm10_chr2:165964530-165964541(-)::chr2:165964529-165964541(-) AAAAGGAAGGGG >mm10_chr2:165969011-165969022(-)::chr2:165969010-165969022(-) CTAAGGAAATGC >mm10_chr2:165988288-165988299(+)::chr2:165988287-165988299(+) gaaaGGAAGCCT >mm10_chr2:165990747-165990758(+)::chr2:165990746-165990758(+) GCCAGGAAGGAG >mm10_chr2:165990754-165990765(+)::chr2:165990753-165990765(+) AGGAGGAAGTAG >mm10_chr2:166015186-166015197(-)::chr2:166015185-166015197(-) TACAGGAAGACT >mm10_chr2:166015197-166015208(-)::chr2:166015196-166015208(-) ATAAGGAACAAT >mm10_chr2:166021331-166021342(-)::chr2:166021330-166021342(-) CAAAGGAAGCAG >mm10_chr2:166021342-166021353(-)::chr2:166021341-166021353(-) AGAAGGAAGCAC >mm10_chr2:166022486-166022497(-)::chr2:166022485-166022497(-) TACAGGAAGCAC >mm10_chr2:166024237-166024248(+)::chr2:166024236-166024248(+) ACCAGGAAGGAA >mm10_chr2:166024241-166024252(+)::chr2:166024240-166024252(+) GGAAGGAAGTGA >mm10_chr2:166026251-166026262(-)::chr2:166026250-166026262(-) agagggaaggga >mm10_chr2:166045761-166045772(+)::chr2:166045760-166045772(+) AAAAGGAAGCTG >mm10_chr2:166085214-166085225(-)::chr2:166085213-166085225(-) GAAAGGAAGGTG >mm10_chr2:166090618-166090629(+)::chr2:166090617-166090629(+) ATGAGGAAGTCA >mm10_chr2:166139828-166139839(+)::chr2:166139827-166139839(+) aaaaggaagcaa >mm10_chr2:166152975-166152986(-)::chr2:166152974-166152986(-) GGCAGGAAGTGT >mm10_chr2:166153033-166153044(+)::chr2:166153032-166153044(+) GGCAGGAAGGGC >mm10_chr2:166188136-166188147(+)::chr2:166188135-166188147(+) CAAAGGAAGGAA >mm10_chr2:166188140-166188151(+)::chr2:166188139-166188151(+) GGAAGGAAGAAA >mm10_chr2:166235306-166235317(-)::chr2:166235305-166235317(-) atgaggaagaac >mm10_chr2:166235318-166235329(-)::chr2:166235317-166235329(-) gggaggaaggag >mm10_chr2:166235331-166235342(-)::chr2:166235330-166235342(-) agaaggaagaag >mm10_chr2:166235360-166235371(-)::chr2:166235359-166235371(-) ggcaggaagagg >mm10_chr2:166235376-166235387(-)::chr2:166235375-166235387(-) ggaaggaagagg >mm10_chr2:166235380-166235391(-)::chr2:166235379-166235391(-) aggaggaaggaa >mm10_chr2:166265351-166265362(+)::chr2:166265350-166265362(+) AGCAGGAAGCAC >mm10_chr2:166291525-166291536(+)::chr2:166291524-166291536(+) GGGAGGAAGGGA >mm10_chr2:166293167-166293178(-)::chr2:166293166-166293178(-) ATGAGGAAGCAG >mm10_chr2:166304033-166304044(+)::chr2:166304032-166304044(+) TGGAGGAAGTCC >mm10_chr2:166304321-166304332(+)::chr2:166304320-166304332(+) TAAAGGAAGCAG >mm10_chr2:166304348-166304359(-)::chr2:166304347-166304359(-) AAAAGGAAGAAG >mm10_chr2:166328657-166328668(+)::chr2:166328656-166328668(+) ggcaggaaggac >mm10_chr2:166328672-166328683(-)::chr2:166328671-166328683(-) ttcaggaagtgg >mm10_chr2:166328928-166328939(+)::chr2:166328927-166328939(+) AACAGGAAGCCC >mm10_chr2:166328944-166328955(-)::chr2:166328943-166328955(-) GGAAGGAACATA >mm10_chr2:166328948-166328959(-)::chr2:166328947-166328959(-) GAGAGGAAGGAA >mm10_chr2:166369867-166369878(-)::chr2:166369866-166369878(-) ggaaggaagagt >mm10_chr2:166369871-166369882(-)::chr2:166369870-166369882(-) tcaaggaaggaa >mm10_chr2:166386138-166386149(-)::chr2:166386137-166386149(-) AGCAGGAAGAGC >mm10_chr2:166405595-166405606(-)::chr2:166405594-166405606(-) AGCAGGATGTAG >mm10_chr2:166569482-166569493(-)::chr2:166569481-166569493(-) AACAGGAAGCGA >mm10_chr2:166569503-166569514(-)::chr2:166569502-166569514(-) GGGAGGAAGGGA >mm10_chr2:166569540-166569551(+)::chr2:166569539-166569551(+) TCAAGGAAGTTC >mm10_chr2:166582335-166582346(+)::chr2:166582334-166582346(+) ACAAGGAAAAAT >mm10_chr2:166582365-166582376(+)::chr2:166582364-166582376(+) AAAAGGAAATCG >mm10_chr2:166639155-166639166(+)::chr2:166639154-166639166(+) AGGAGGAAGACG >mm10_chr2:166701985-166701996(+)::chr2:166701984-166701996(+) ACAAGGAAGCTG >mm10_chr2:166712988-166712999(-)::chr2:166712987-166712999(-) CCTAGGAAGTGA >mm10_chr2:166713003-166713014(+)::chr2:166713002-166713014(+) GTAGGGAAGTGG >mm10_chr2:166713015-166713026(-)::chr2:166713014-166713026(-) ATGAGGAAGGGG >mm10_chr2:166735121-166735132(-)::chr2:166735120-166735132(-) ggaaggaaCTCA >mm10_chr2:166735125-166735136(-)::chr2:166735124-166735136(-) ggaaggaaggaa >mm10_chr2:166735129-166735140(-)::chr2:166735128-166735140(-) ggaaggaaggaa >mm10_chr2:166735133-166735144(-)::chr2:166735132-166735144(-) ggaaggaaggaa >mm10_chr2:166735137-166735148(-)::chr2:166735136-166735148(-) ggaaggaaggaa >mm10_chr2:166735141-166735152(-)::chr2:166735140-166735152(-) ggaaggaaggaa >mm10_chr2:166735145-166735156(-)::chr2:166735144-166735156(-) ggaaggaaggaa >mm10_chr2:166735545-166735556(-)::chr2:166735544-166735556(-) ACCAGGAAGAAA >mm10_chr2:166740689-166740700(-)::chr2:166740688-166740700(-) AGGAGGAAGTGT >mm10_chr2:166744643-166744654(-)::chr2:166744642-166744654(-) CAGAGGAAGTAC >mm10_chr2:166744686-166744697(-)::chr2:166744685-166744697(-) AGCAGGAAGAGC >mm10_chr2:166836512-166836523(+)::chr2:166836511-166836523(+) TTAAGGAAGCCC >mm10_chr2:166873819-166873830(-)::chr2:166873818-166873830(-) GTGAGGAAGAAA >mm10_chr2:166905696-166905707(-)::chr2:166905695-166905707(-) gcaaggaagaag >mm10_chr2:166957022-166957033(+)::chr2:166957021-166957033(+) AGGAGGAAGGGC >mm10_chr2:166996499-166996510(-)::chr2:166996498-166996510(-) GAAAGGAAGCGA >mm10_chr2:166996528-166996539(-)::chr2:166996527-166996539(-) TGTAGGAAGGGA >mm10_chr2:167015224-167015235(+)::chr2:167015223-167015235(+) GAGCGGAAGTGA >mm10_chr2:167044211-167044222(-)::chr2:167044210-167044222(-) aggaggaagggg >mm10_chr2:167056762-167056773(-)::chr2:167056761-167056773(-) ACCAGGAAGGGC >mm10_chr2:167058372-167058383(+)::chr2:167058371-167058383(+) AACAGGAAATGC >mm10_chr2:167058397-167058408(+)::chr2:167058396-167058408(+) AGGAGGAAGGCA >mm10_chr2:167058401-167058412(+)::chr2:167058400-167058412(+) GGAAGGCAGTGC >mm10_chr2:167062728-167062739(+)::chr2:167062727-167062739(+) CGGAGGAAGAGG >mm10_chr2:167072160-167072171(+)::chr2:167072159-167072171(+) AGCAGGAAGGCC >mm10_chr2:167079171-167079182(+)::chr2:167079170-167079182(+) ATGAGGAAGGAG >mm10_chr2:167102907-167102918(+)::chr2:167102906-167102918(+) ACCAGGAAGTGC >mm10_chr2:167118062-167118073(-)::chr2:167118061-167118073(-) GGAAGGAAGGGA >mm10_chr2:167129432-167129443(-)::chr2:167129431-167129443(-) GACAGGAAGTAT >mm10_chr2:167134382-167134393(+)::chr2:167134381-167134393(+) AGCAGGAAGAGG >mm10_chr2:167186666-167186677(-)::chr2:167186665-167186677(-) GACAGGAAGTGG >mm10_chr2:167248057-167248068(-)::chr2:167248056-167248068(-) gagaggaagtct >mm10_chr2:167271316-167271327(+)::chr2:167271315-167271327(+) TCCAGGAAATAA >mm10_chr2:167271327-167271338(+)::chr2:167271326-167271338(+) AAGAGGAAGAAT >mm10_chr2:167319125-167319136(-)::chr2:167319124-167319136(-) AGCAGGAAGTAC >mm10_chr2:167319132-167319143(-)::chr2:167319131-167319143(-) GGCAGGAAGCAG >mm10_chr2:167345384-167345395(-)::chr2:167345383-167345395(-) GAAAGGAAGTGG >mm10_chr2:167421956-167421967(-)::chr2:167421955-167421967(-) GGAAGGACGTTC >mm10_chr2:167421960-167421971(-)::chr2:167421959-167421971(-) AGCAGGAAGGAC >mm10_chr2:167433739-167433750(+)::chr2:167433738-167433750(+) Agaaggaaggga >mm10_chr2:167433747-167433758(+)::chr2:167433746-167433758(+) gggaggaaggaa >mm10_chr2:167433751-167433762(+)::chr2:167433750-167433762(+) ggaaggaaggga >mm10_chr2:167434720-167434731(-)::chr2:167434719-167434731(-) TCAAGGAAGTCG >mm10_chr2:167435103-167435114(-)::chr2:167435102-167435114(-) AGCAGGAAGGAA >mm10_chr2:167435110-167435121(-)::chr2:167435109-167435121(-) ACCAGGAAGCAG >mm10_chr2:167457399-167457410(-)::chr2:167457398-167457410(-) TTGAGGAAGTAG >mm10_chr2:167469941-167469952(-)::chr2:167469940-167469952(-) tcaaggaagatg >mm10_chr2:167486147-167486158(+)::chr2:167486146-167486158(+) AGAAGGAAGGAG >mm10_chr2:167570615-167570626(-)::chr2:167570614-167570626(-) GGAAGGAAGAAA >mm10_chr2:167570619-167570630(-)::chr2:167570618-167570630(-) GGAAGGAAGGAA >mm10_chr2:167570623-167570634(-)::chr2:167570622-167570634(-) TGAAGGAAGGAA >mm10_chr2:167573428-167573439(+)::chr2:167573427-167573439(+) gagaggaaggag >mm10_chr2:167588842-167588853(-)::chr2:167588841-167588853(-) GTGAGGAAGTAA >mm10_chr2:167589492-167589503(-)::chr2:167589491-167589503(-) ctgaggaagtga >mm10_chr2:167594235-167594246(+)::chr2:167594234-167594246(+) AGGGGGAAgtgt >mm10_chr2:167594518-167594529(+)::chr2:167594517-167594529(+) CAAAGGAAGCCG >mm10_chr2:167594560-167594571(+)::chr2:167594559-167594571(+) GGACGGAAGGAG >mm10_chr2:167622483-167622494(-)::chr2:167622482-167622494(-) TGAAGGACGTCT >mm10_chr2:167622512-167622523(-)::chr2:167622511-167622523(-) TGAAGGAAGCCA >mm10_chr2:167633076-167633087(+)::chr2:167633075-167633087(+) GCAAGGAAGCTG >mm10_chr2:167633147-167633158(-)::chr2:167633146-167633158(-) AAGAGGAAATGC >mm10_chr2:167634491-167634502(+)::chr2:167634490-167634502(+) AAGAGGAAGGGG >mm10_chr2:167657093-167657104(+)::chr2:167657092-167657104(+) AGCAGGAAGCCC >mm10_chr2:167671341-167671352(-)::chr2:167671340-167671352(-) AGGAGGAAATGA >mm10_chr2:167676593-167676604(-)::chr2:167676592-167676604(-) TCAGGGAAGTGT >mm10_chr2:167694536-167694547(+)::chr2:167694535-167694547(+) GGCAGGAAGAGG >mm10_chr2:167696680-167696691(-)::chr2:167696679-167696691(-) TAAAGGAAGTCA >mm10_chr2:167701645-167701656(+)::chr2:167701644-167701656(+) AAAAGGAAATCC >mm10_chr2:167701689-167701700(-)::chr2:167701688-167701700(-) ATAAGGAAGTGG >mm10_chr2:167702197-167702208(-)::chr2:167702196-167702208(-) agcaggaaggga >mm10_chr2:167702228-167702239(+)::chr2:167702227-167702239(+) AGCAGGAAGAGC >mm10_chr2:167705751-167705762(+)::chr2:167705750-167705762(+) GGAAGGAAGCTG >mm10_chr2:167726029-167726040(+)::chr2:167726028-167726040(+) Cggaggaagaac >mm10_chr2:167727354-167727365(+)::chr2:167727353-167727365(+) GCGGGGAAGCGG >mm10_chr2:167735074-167735085(-)::chr2:167735073-167735085(-) AAGGGGAAGTAC >mm10_chr2:167735088-167735099(-)::chr2:167735087-167735099(-) GGGAGGAAGGAG >mm10_chr2:167736616-167736627(-)::chr2:167736615-167736627(-) ggaaggaaggag >mm10_chr2:167736620-167736631(-)::chr2:167736619-167736631(-) gaaaggaaggaa >mm10_chr2:167745587-167745598(+)::chr2:167745586-167745598(+) TGGAGGAAGGAT >mm10_chr2:167752468-167752479(-)::chr2:167752467-167752479(-) GGAAGGAAGAAT >mm10_chr2:167752472-167752483(-)::chr2:167752471-167752483(-) GGGAGGAAGGAA >mm10_chr2:167754084-167754095(-)::chr2:167754083-167754095(-) CACAGGAAGCGG >mm10_chr2:167760250-167760261(-)::chr2:167760249-167760261(-) gccaggaaatgt >mm10_chr2:167768176-167768187(-)::chr2:167768175-167768187(-) ATGAGGAAGGGC >mm10_chr2:167777435-167777446(+)::chr2:167777434-167777446(+) AGGAGGAAGTGG >mm10_chr2:167781806-167781817(-)::chr2:167781805-167781817(-) GGCAGGAAGGAG >mm10_chr2:167781846-167781857(+)::chr2:167781845-167781857(+) ACAGGGAAGTGA >mm10_chr2:167789489-167789500(-)::chr2:167789488-167789500(-) CTAAGGAAGAAG >mm10_chr2:167823298-167823309(-)::chr2:167823297-167823309(-) ccaaggaaatgc >mm10_chr2:167823483-167823494(-)::chr2:167823482-167823494(-) AGAAGGAAGAGG >mm10_chr2:167829006-167829017(+)::chr2:167829005-167829017(+) AGAAGGACGgcg >mm10_chr2:167831259-167831270(+)::chr2:167831258-167831270(+) GGGAGGAAGTGG >mm10_chr2:167834805-167834816(+)::chr2:167834804-167834816(+) AGGCGGAAGTTT >mm10_chr2:167839392-167839403(+)::chr2:167839391-167839403(+) agcaggaagTAG >mm10_chr2:167853444-167853455(-)::chr2:167853443-167853455(-) TGGAGGAAGTCT >mm10_chr2:167857054-167857065(+)::chr2:167857053-167857065(+) GCTAGGAAGTGG >mm10_chr2:167857115-167857126(+)::chr2:167857114-167857126(+) TGCAGGAAGGAT >mm10_chr2:167886706-167886717(+)::chr2:167886705-167886717(+) aacaggaagcag >mm10_chr2:167887725-167887736(-)::chr2:167887724-167887736(-) TATAGGAAGAGG >mm10_chr2:167909339-167909350(+)::chr2:167909338-167909350(+) TACAGGAAGGCA >mm10_chr2:167920140-167920151(-)::chr2:167920139-167920151(-) TGCAGGAAGGAG >mm10_chr2:167925539-167925550(-)::chr2:167925538-167925550(-) GGAAGGAAGGGA >mm10_chr2:167941930-167941941(+)::chr2:167941929-167941941(+) AGGAGGAAGCTA >mm10_chr2:167950924-167950935(+)::chr2:167950923-167950935(+) TGCCGGAAGTTA >mm10_chr2:167958217-167958228(-)::chr2:167958216-167958228(-) ACCAGGAAGAGA >mm10_chr2:167962514-167962525(-)::chr2:167962513-167962525(-) AGCAGGAAGCAC >mm10_chr2:167962545-167962556(-)::chr2:167962544-167962556(-) AGGCGGAAGTCT >mm10_chr2:168005888-168005899(+)::chr2:168005887-168005899(+) AACAGGAAGTGC >mm10_chr2:168011246-168011257(-)::chr2:168011245-168011257(-) TAGAGGAAGCAA >mm10_chr2:168013321-168013332(+)::chr2:168013320-168013332(+) AGGAGGAAATCC >mm10_chr2:168026731-168026742(-)::chr2:168026730-168026742(-) ACCAGGAAGAAG >mm10_chr2:168040535-168040546(+)::chr2:168040534-168040546(+) AAGAGGAAGCAG >mm10_chr2:168065792-168065803(+)::chr2:168065791-168065803(+) GTCAGGAAGTTA >mm10_chr2:168065832-168065843(+)::chr2:168065831-168065843(+) GGAAGGAAGGGG >mm10_chr2:168159419-168159430(-)::chr2:168159418-168159430(-) ACGCGGAAGGGG >mm10_chr2:168191292-168191303(+)::chr2:168191291-168191303(+) TAAAGGAAGCCC >mm10_chr2:168230594-168230605(+)::chr2:168230593-168230605(+) AAACGGAAGTCC >mm10_chr2:168230645-168230656(+)::chr2:168230644-168230656(+) CGGAGGACGTAG >mm10_chr2:168473114-168473125(-)::chr2:168473113-168473125(-) TAAAGGAAGGGC >mm10_chr2:168529768-168529779(+)::chr2:168529767-168529779(+) aaaaggaaggcc >mm10_chr2:168530624-168530635(-)::chr2:168530623-168530635(-) TTGAGGAAGTGA >mm10_chr2:168566243-168566254(-)::chr2:168566242-168566254(-) AGGAGGAAGATG >mm10_chr2:168579265-168579276(+)::chr2:168579264-168579276(+) TTAAGGAAGTGG >mm10_chr2:168589651-168589662(-)::chr2:168589650-168589662(-) CTAAGGAAGAGG >mm10_chr2:168615404-168615415(+)::chr2:168615403-168615415(+) AGGAGGAAGAGA >mm10_chr2:168693457-168693468(+)::chr2:168693456-168693468(+) aggaggaagaga >mm10_chr2:168706262-168706273(+)::chr2:168706261-168706273(+) AGCAGGAAGTAG >mm10_chr2:168721488-168721499(-)::chr2:168721487-168721499(-) GGAAGGAAGAGT >mm10_chr2:168721492-168721503(-)::chr2:168721491-168721503(-) GAAAGGAAGGAA >mm10_chr2:168721513-168721524(-)::chr2:168721512-168721524(-) AGGAGGAAGTGC >mm10_chr2:168734150-168734161(-)::chr2:168734149-168734161(-) ATCAGGAAGTGA >mm10_chr2:168735765-168735776(+)::chr2:168735764-168735776(+) tggaggaagtcg >mm10_chr2:168779230-168779241(-)::chr2:168779229-168779241(-) AGAAGGAAAAAA >mm10_chr2:168779237-168779248(-)::chr2:168779236-168779248(-) ATAAGGAAGAAG >mm10_chr2:168779263-168779274(+)::chr2:168779262-168779274(+) ttaaggaagcag >mm10_chr2:168819139-168819150(+)::chr2:168819138-168819150(+) ACAGGGAAGTAG >mm10_chr2:168821025-168821036(+)::chr2:168821024-168821036(+) AGGCGGAAGTAT >mm10_chr2:168828718-168828729(-)::chr2:168828717-168828729(-) ACAAGGAAGCAA >mm10_chr2:168846569-168846580(-)::chr2:168846568-168846580(-) AGAAGGAAGTAG >mm10_chr2:169032341-169032352(-)::chr2:169032340-169032352(-) AGCAGGAAGTCC >mm10_chr2:169073205-169073216(-)::chr2:169073204-169073216(-) aggaggaagaaa >mm10_chr2:169396673-169396684(+)::chr2:169396672-169396684(+) aggaggaagatg >mm10_chr2:169396691-169396702(+)::chr2:169396690-169396702(+) aggaggaaggga >mm10_chr2:169396703-169396714(+)::chr2:169396702-169396714(+) aggaggaagagg >mm10_chr2:169396709-169396720(+)::chr2:169396708-169396720(+) aagaggaagggg >mm10_chr2:169523264-169523275(-)::chr2:169523263-169523275(-) aagaggaagtga >mm10_chr2:169525301-169525312(+)::chr2:169525300-169525312(+) AGAAGGAAGAGA >mm10_chr2:169565863-169565874(+)::chr2:169565862-169565874(+) agaaggaaaaaa >mm10_chr2:169584865-169584876(+)::chr2:169584864-169584876(+) ACCAGGAAGTAC >mm10_chr2:169584885-169584896(+)::chr2:169584884-169584896(+) ACCAGGAAGGCG >mm10_chr2:169591383-169591394(-)::chr2:169591382-169591394(-) CTGAGGAAGTGA >mm10_chr2:169591395-169591406(+)::chr2:169591394-169591406(+) AGAAGGAAGAAG >mm10_chr2:169591402-169591413(+)::chr2:169591401-169591413(+) AGAAGGAAAGGT >mm10_chr2:169614905-169614916(-)::chr2:169614904-169614916(-) CAAAGGAAGTTA >mm10_chr2:169614934-169614945(+)::chr2:169614933-169614945(+) AGAAGGAAGGAA >mm10_chr2:169614938-169614949(+)::chr2:169614937-169614949(+) GGAAGGAAGGCA >mm10_chr2:169752872-169752883(-)::chr2:169752871-169752883(-) ACGAGGAAGTTT >mm10_chr2:169752906-169752917(-)::chr2:169752905-169752917(-) TGCAGGAAGTCA >mm10_chr2:169883535-169883546(+)::chr2:169883534-169883546(+) aggaggaagtcc >mm10_chr2:169883547-169883558(+)::chr2:169883546-169883558(+) tgaaggaagagg >mm10_chr2:169883556-169883567(+)::chr2:169883555-169883567(+) aggaggaaataa >mm10_chr2:169883565-169883576(+)::chr2:169883564-169883576(+) taaaggaagagg >mm10_chr2:169883577-169883588(+)::chr2:169883576-169883588(+) aggaggaagaag >mm10_chr2:170002771-170002782(-)::chr2:170002770-170002782(-) atgaggaagttg >mm10_chr2:170094839-170094850(-)::chr2:170094838-170094850(-) ggaaggaagggt >mm10_chr2:170094843-170094854(-)::chr2:170094842-170094854(-) ttaaggaaggaa >mm10_chr2:170095584-170095595(+)::chr2:170095583-170095595(+) ATGAGGAAGTAT >mm10_chr2:170125444-170125455(-)::chr2:170125443-170125455(-) GGAAGGAAATTA >mm10_chr2:170125448-170125459(-)::chr2:170125447-170125459(-) AGAAGGAAGGAA >mm10_chr2:170125459-170125470(-)::chr2:170125458-170125470(-) AACAGGAAGAAA >mm10_chr2:170129412-170129423(-)::chr2:170129411-170129423(-) AGGAGGAAGCAG >mm10_chr2:170129461-170129472(+)::chr2:170129460-170129472(+) ATATGGAAGTTC >mm10_chr2:170133082-170133093(+)::chr2:170133081-170133093(+) TAAAGGAAGAAA >mm10_chr2:170133932-170133943(-)::chr2:170133931-170133943(-) TTAAGGAAGCGG >mm10_chr2:170139938-170139949(+)::chr2:170139937-170139949(+) ACAAGGAAGCAC >mm10_chr2:170147877-170147888(+)::chr2:170147876-170147888(+) gaaaggaagggt >mm10_chr2:170155097-170155108(+)::chr2:170155096-170155108(+) AACAGGAAGTGA >mm10_chr2:170160336-170160347(+)::chr2:170160335-170160347(+) AAGAGGAAGTGA >mm10_chr2:170169247-170169258(-)::chr2:170169246-170169258(-) AAGAGGAAGAGC >mm10_chr2:170178648-170178659(+)::chr2:170178647-170178659(+) AACAGGAaggag >mm10_chr2:170178658-170178669(+)::chr2:170178657-170178669(+) aggaggaagagg >mm10_chr2:170178664-170178675(+)::chr2:170178663-170178675(+) aagaggaagaag >mm10_chr2:170178676-170178687(+)::chr2:170178675-170178687(+) aagaggaagagg >mm10_chr2:170178688-170178699(+)::chr2:170178687-170178699(+) aggaggaagagg >mm10_chr2:170184693-170184704(+)::chr2:170184692-170184704(+) GCAAGGATGTTA >mm10_chr2:170194072-170194083(-)::chr2:170194071-170194083(-) GCCAGGAAGTCT >mm10_chr2:170202177-170202188(+)::chr2:170202176-170202188(+) TCCAGGAAGAGA >mm10_chr2:170205229-170205240(-)::chr2:170205228-170205240(-) AAAAGGAACTGG >mm10_chr2:170207002-170207013(+)::chr2:170207001-170207013(+) TTAAGGAAGACC >mm10_chr2:170209428-170209439(+)::chr2:170209427-170209439(+) TTACGGAAGTCC >mm10_chr2:170233668-170233679(-)::chr2:170233667-170233679(-) ACCAGGAAGACA >mm10_chr2:170266993-170267004(-)::chr2:170266992-170267004(-) AAAAGGAAGCgg >mm10_chr2:170268481-170268492(-)::chr2:170268480-170268492(-) GGAAGGAAGTCC >mm10_chr2:170268485-170268496(-)::chr2:170268484-170268496(-) AGAAGGAAGGAA >mm10_chr2:170287351-170287362(-)::chr2:170287350-170287362(-) CAGAGGAAGTCA >mm10_chr2:170305174-170305185(-)::chr2:170305173-170305185(-) GGAAGGAAGTTC >mm10_chr2:170307682-170307693(-)::chr2:170307681-170307693(-) CAGAGGAAGTGA >mm10_chr2:170319351-170319362(+)::chr2:170319350-170319362(+) ATCAGGAAGCTG >mm10_chr2:170324917-170324928(-)::chr2:170324916-170324928(-) agaaggaagagg >mm10_chr2:170324968-170324979(-)::chr2:170324967-170324979(-) aagaggaagaaa >mm10_chr2:170324985-170324996(-)::chr2:170324984-170324996(-) aggaggaagaag >mm10_chr2:170345659-170345670(-)::chr2:170345658-170345670(-) TAGAGGAAGTTG >mm10_chr2:170353491-170353502(+)::chr2:170353490-170353502(+) AGCAGGAAGTGG >mm10_chr2:170388007-170388018(-)::chr2:170388006-170388018(-) AAAAGGAAGACT >mm10_chr2:170388031-170388042(-)::chr2:170388030-170388042(-) ATAAGGAAGAGA >mm10_chr2:170388461-170388472(-)::chr2:170388460-170388472(-) TGAAGGAACTCA >mm10_chr2:170394702-170394713(-)::chr2:170394701-170394713(-) tgaaggcagtga >mm10_chr2:170413395-170413406(+)::chr2:170413394-170413406(+) agcaggaagtag >mm10_chr2:170413402-170413413(+)::chr2:170413401-170413413(+) agtaggaagcag >mm10_chr2:170413409-170413420(+)::chr2:170413408-170413420(+) agcaggaagtag >mm10_chr2:170413416-170413427(+)::chr2:170413415-170413427(+) agtaggaagtag >mm10_chr2:170413423-170413434(+)::chr2:170413422-170413434(+) agtaggaagtag >mm10_chr2:170427872-170427883(-)::chr2:170427871-170427883(-) ACAAGGAAGCAA >mm10_chr2:170446011-170446022(-)::chr2:170446010-170446022(-) CCCAGGAAGTGG >mm10_chr2:170469830-170469841(-)::chr2:170469829-170469841(-) GACAGGAAGCGC >mm10_chr2:170502856-170502867(-)::chr2:170502855-170502867(-) CCAAGGAAGTCA >mm10_chr2:170508976-170508987(-)::chr2:170508975-170508987(-) AGCAGGAAGTAA >mm10_chr2:170521666-170521677(+)::chr2:170521665-170521677(+) TGTAGGAAGGAA >mm10_chr2:170526657-170526668(+)::chr2:170526656-170526668(+) atccggaagtgt >mm10_chr2:170576321-170576332(-)::chr2:170576320-170576332(-) CAAAGGAAGCCG >mm10_chr2:170877597-170877608(-)::chr2:170877596-170877608(-) ACAAGGAAGTAG >mm10_chr2:171574546-171574557(-)::chr2:171574545-171574557(-) ccgaggaagaac >mm10_chr2:171647734-171647745(-)::chr2:171647733-171647745(-) AAGAGGAAGGAG >mm10_chr2:172046402-172046413(+)::chr2:172046401-172046413(+) AGAAGGAACTGC >mm10_chr2:172081605-172081616(-)::chr2:172081604-172081616(-) AGGAGGAAGTAG >mm10_chr2:172081629-172081640(+)::chr2:172081628-172081640(+) GCAAGGAAGTGA >mm10_chr2:172245532-172245543(+)::chr2:172245531-172245543(+) cgaaggaagtta >mm10_chr2:172245576-172245587(+)::chr2:172245575-172245587(+) atcaggaaatta >mm10_chr2:172330581-172330592(+)::chr2:172330580-172330592(+) aggaggaagagg >mm10_chr2:172338391-172338402(+)::chr2:172338390-172338402(+) AGAAGGAAATCA >mm10_chr2:172338436-172338447(+)::chr2:172338435-172338447(+) GGAAGGAAGGCT >mm10_chr2:172345361-172345372(+)::chr2:172345360-172345372(+) CCAAGGAAGCGG >mm10_chr2:172346249-172346260(-)::chr2:172346248-172346260(-) ACAGGGAAGCGG >mm10_chr2:172497103-172497114(+)::chr2:172497102-172497114(+) ATGAGGAAGTGG >mm10_chr2:172502264-172502275(+)::chr2:172502263-172502275(+) GGAATGAAGTTA >mm10_chr2:172502311-172502322(-)::chr2:172502310-172502322(-) AGCAGGAAGAAG >mm10_chr2:172508514-172508525(+)::chr2:172508513-172508525(+) ACAAGGAAGGAC >mm10_chr2:172512191-172512202(+)::chr2:172512190-172512202(+) tgaaggaagagg >mm10_chr2:172512200-172512211(+)::chr2:172512199-172512211(+) aggaggaagaAG >mm10_chr2:172512207-172512218(+)::chr2:172512206-172512218(+) agaAGGAAAAAG >mm10_chr2:172530978-172530989(+)::chr2:172530977-172530989(+) aggaggaagtag >mm10_chr2:172531002-172531013(+)::chr2:172531001-172531013(+) aggaggaagagg >mm10_chr2:172531017-172531028(+)::chr2:172531016-172531028(+) agaaggaAGTCA >mm10_chr2:172544759-172544770(+)::chr2:172544758-172544770(+) AAAAGGAAGTTC >mm10_chr2:172547546-172547557(+)::chr2:172547545-172547557(+) TCAAGGAAGGCG >mm10_chr2:172550476-172550487(+)::chr2:172550475-172550487(+) AAGAGGAAGAAG >mm10_chr2:172559313-172559324(+)::chr2:172559312-172559324(+) TCCAGGAAGTGA >mm10_chr2:172559359-172559370(+)::chr2:172559358-172559370(+) AAAGGGAAGTGG >mm10_chr2:172584905-172584916(+)::chr2:172584904-172584916(+) ACAAGGAAGCCT >mm10_chr2:172589109-172589120(-)::chr2:172589108-172589120(-) CTCAGGAAGTGT >mm10_chr2:172598366-172598377(-)::chr2:172598365-172598377(-) aataggaaggga >mm10_chr2:172598373-172598384(-)::chr2:172598372-172598384(-) tggaggaaatag >mm10_chr2:172600536-172600547(+)::chr2:172600535-172600547(+) AGGAGGAAGAAG >mm10_chr2:172623814-172623825(-)::chr2:172623813-172623825(-) AGAGGGAAGGCG >mm10_chr2:172630700-172630711(-)::chr2:172630699-172630711(-) ACGAGGAAGAGA >mm10_chr2:172642858-172642869(-)::chr2:172642857-172642869(-) TTCAGGAAGTGA >mm10_chr2:172644065-172644076(-)::chr2:172644064-172644076(-) AGCAGGAAGTCA >mm10_chr2:172670459-172670470(+)::chr2:172670458-172670470(+) GACAGGAAGGTG >mm10_chr2:172682060-172682071(+)::chr2:172682059-172682071(+) ACAAGGAAGAGA >mm10_chr2:172682072-172682083(+)::chr2:172682071-172682083(+) AAGAGGAAGGCA >mm10_chr2:172682606-172682617(-)::chr2:172682605-172682617(-) acaaggaagttg >mm10_chr2:172788108-172788119(+)::chr2:172788107-172788119(+) ggaaggaagagg >mm10_chr2:172788127-172788138(+)::chr2:172788126-172788138(+) aggaggaagagg >mm10_chr2:172805456-172805467(+)::chr2:172805455-172805467(+) aggaggaagacg >mm10_chr2:172805465-172805476(+)::chr2:172805464-172805476(+) acgaggaagagg >mm10_chr2:172805478-172805489(+)::chr2:172805477-172805489(+) gagaggaagaaa >mm10_chr2:172805509-172805520(+)::chr2:172805508-172805520(+) aggaggaagagg >mm10_chr2:172805535-172805546(+)::chr2:172805534-172805546(+) aggaggaagggg >mm10_chr2:172813308-172813319(+)::chr2:172813307-172813319(+) AGTAGGAAATAA >mm10_chr2:172862636-172862647(-)::chr2:172862635-172862647(-) ATAAGGAAGGGC >mm10_chr2:172862644-172862655(-)::chr2:172862643-172862655(-) ATTAGGAAATAA >mm10_chr2:172960650-172960661(-)::chr2:172960649-172960661(-) gaaaggaagaaa >mm10_chr2:172960685-172960696(-)::chr2:172960684-172960696(-) gggaggaagtag >mm10_chr2:173000122-173000133(+)::chr2:173000121-173000133(+) TCCCGGAAGTGG >mm10_chr2:173000973-173000984(-)::chr2:173000972-173000984(-) GCAAGGAACTGT >mm10_chr2:173000990-173001001(-)::chr2:173000989-173001001(-) ACAAGGAAAGGG >mm10_chr2:173001006-173001017(+)::chr2:173001005-173001017(+) TTAAGGAAGCGA >mm10_chr2:173008943-173008954(+)::chr2:173008942-173008954(+) caaaGGAAGGAA >mm10_chr2:173018556-173018567(-)::chr2:173018555-173018567(-) ATAAGGAAGTGA >mm10_chr2:173027016-173027027(-)::chr2:173027015-173027027(-) AACAGGAAGCTA >mm10_chr2:173218627-173218638(-)::chr2:173218626-173218638(-) ACAGGGAAGTCA >mm10_chr2:173248297-173248308(-)::chr2:173248296-173248308(-) AGCAGGAAGGTC >mm10_chr2:173248304-173248315(-)::chr2:173248303-173248315(-) ACCAGGAAGCAG >mm10_chr2:173256038-173256049(-)::chr2:173256037-173256049(-) AGAAGGAAGTCA >mm10_chr2:173289819-173289830(-)::chr2:173289818-173289830(-) AGAAGGAAGACA >mm10_chr2:173289826-173289837(-)::chr2:173289825-173289837(-) CCAAGGAAGAAG >mm10_chr2:173336080-173336091(+)::chr2:173336079-173336091(+) GGCAGGAAGACA >mm10_chr2:173336126-173336137(+)::chr2:173336125-173336137(+) TGAAGGAGGTAG >mm10_chr2:173336153-173336164(+)::chr2:173336152-173336164(+) GGAAGGAAACCG >mm10_chr2:173337769-173337780(-)::chr2:173337768-173337780(-) GGCAGGAAGTAT >mm10_chr2:173337814-173337825(-)::chr2:173337813-173337825(-) GGCAGGAAGTGC >mm10_chr2:173337836-173337847(-)::chr2:173337835-173337847(-) ACACGGAAGTGG >mm10_chr2:173352373-173352384(-)::chr2:173352372-173352384(-) agagggaagtgt >mm10_chr2:173403152-173403163(+)::chr2:173403151-173403163(+) AAGAGGAAGTAG >mm10_chr2:173436778-173436789(+)::chr2:173436777-173436789(+) agcaggaagtga >mm10_chr2:173436808-173436819(-)::chr2:173436807-173436819(-) accaggaagtgg >mm10_chr2:173517494-173517505(-)::chr2:173517493-173517505(-) TCCAGGAAGAGG >mm10_chr2:173517538-173517549(+)::chr2:173517537-173517549(+) ATGAGGAAGCCA >mm10_chr2:173534707-173534718(+)::chr2:173534706-173534718(+) ATAAGGAAGTTT >mm10_chr2:173608162-173608173(+)::chr2:173608161-173608173(+) CCAAGGAAGAAG >mm10_chr2:173608172-173608183(+)::chr2:173608171-173608183(+) AGGAGGAAGGAG >mm10_chr2:173647957-173647968(+)::chr2:173647956-173647968(+) AATAGGAAGTAG >mm10_chr2:173647976-173647987(-)::chr2:173647975-173647987(-) ACAAGGAATTAT >mm10_chr2:173710261-173710272(-)::chr2:173710260-173710272(-) AGGAGGAAATGA >mm10_chr2:173710306-173710317(+)::chr2:173710305-173710317(+) TCAAGGAAGCAA >mm10_chr2:173710322-173710333(+)::chr2:173710321-173710333(+) GTAGGGAAGTGT >mm10_chr2:173970679-173970690(+)::chr2:173970678-173970690(+) GGAAGGAAGGGC >mm10_chr2:173971155-173971166(+)::chr2:173971154-173971166(+) agcaggaaatgc >mm10_chr2:173971222-173971233(+)::chr2:173971221-173971233(+) aggaggaagagg >mm10_chr2:173971237-173971248(+)::chr2:173971236-173971248(+) agaaggaagagg >mm10_chr2:174018101-174018112(-)::chr2:174018100-174018112(-) GCCAGGAAGTAG >mm10_chr2:174018125-174018136(-)::chr2:174018124-174018136(-) TTGAGGAAGTAT >mm10_chr2:174076266-174076277(+)::chr2:174076265-174076277(+) GCGAGGAACGGA >mm10_chr2:174076276-174076287(+)::chr2:174076275-174076287(+) GACAGGAAGAGG >mm10_chr2:174117052-174117063(-)::chr2:174117051-174117063(-) atgaggaagtgc >mm10_chr2:174204361-174204372(-)::chr2:174204360-174204372(-) ACAAGGAAATTG >mm10_chr2:174317570-174317581(-)::chr2:174317569-174317581(-) AGAAGGAAGTGG >mm10_chr2:174317585-174317596(-)::chr2:174317584-174317596(-) AAGAGGAAGCTA >mm10_chr2:174344159-174344170(-)::chr2:174344158-174344170(-) AAAAGGCAGTTA >mm10_chr2:174344435-174344446(+)::chr2:174344434-174344446(+) aaaaggaagggg >mm10_chr2:174380942-174380953(-)::chr2:174380941-174380953(-) ggaaggaagacc >mm10_chr2:174441781-174441792(+)::chr2:174441780-174441792(+) ACAAGGAAGCAT >mm10_chr2:174672983-174672994(-)::chr2:174672982-174672994(-) AGCAGGAAGCTG >mm10_chr2:178096363-178096374(-)::chr2:178096362-178096374(-) agaaggaagagg >mm10_chr2:178096392-178096403(-)::chr2:178096391-178096403(-) aagaggaaggat >mm10_chr2:178096403-178096414(-)::chr2:178096402-178096414(-) aagaggaagaga >mm10_chr2:178096409-178096420(-)::chr2:178096408-178096420(-) aagaggaagagg >mm10_chr2:178224983-178224994(-)::chr2:178224982-178224994(-) GAAAGTAAGTGG >mm10_chr2:178991650-178991661(-)::chr2:178991649-178991661(-) GTAAGGATGTGT >mm10_chr2:179792708-179792719(-)::chr2:179792707-179792719(-) AGGAGGAAGTCT >mm10_chr2:179951873-179951884(+)::chr2:179951872-179951884(+) ACCAGGAAGAGG >mm10_chr2:179955819-179955830(+)::chr2:179955818-179955830(+) tgagggaagtca >mm10_chr2:179955862-179955873(+)::chr2:179955861-179955873(+) ggcaggaagcag >mm10_chr2:179975344-179975355(-)::chr2:179975343-179975355(-) TCCAGGAAGTTC >mm10_chr2:180012190-180012201(+)::chr2:180012189-180012201(+) ACAAGGATGTAA >mm10_chr2:180017656-180017667(-)::chr2:180017655-180017667(-) ttaaggaaatac >mm10_chr2:180035902-180035913(+)::chr2:180035901-180035913(+) AAGAGGAAGTAC >mm10_chr2:180051189-180051200(+)::chr2:180051188-180051200(+) TAAAGGAAGTAA >mm10_chr2:180063416-180063427(+)::chr2:180063415-180063427(+) TTCAGGAAGCGC >mm10_chr2:180115935-180115946(+)::chr2:180115934-180115946(+) AGAAGGAACTTT >mm10_chr2:180115981-180115992(-)::chr2:180115980-180115992(-) AAAAGGAAGCAG >mm10_chr2:180118501-180118512(-)::chr2:180118500-180118512(-) AGAAGCAAGTGA >mm10_chr2:180119120-180119131(-)::chr2:180119119-180119131(-) AGAAGGAAGGGG >mm10_chr2:180120354-180120365(+)::chr2:180120353-180120365(+) ATGAGGAAATGG >mm10_chr2:180126958-180126969(-)::chr2:180126957-180126969(-) TGCAGGAAATGG >mm10_chr2:180136533-180136544(-)::chr2:180136532-180136544(-) aggaggaagagg >mm10_chr2:180136548-180136559(-)::chr2:180136547-180136559(-) aggaggaagaag >mm10_chr2:180136571-180136582(-)::chr2:180136570-180136582(-) aagaggaagggg >mm10_chr2:180171635-180171646(+)::chr2:180171634-180171646(+) AGGAGGAAGAGC >mm10_chr2:180202963-180202974(-)::chr2:180202962-180202974(-) AAGAGGAAGTAG >mm10_chr2:180216172-180216183(+)::chr2:180216171-180216183(+) TGCAGGAAGAAG >mm10_chr2:180217778-180217789(-)::chr2:180217777-180217789(-) CCAGGGAAGTGG >mm10_chr2:180218864-180218875(-)::chr2:180218863-180218875(-) ATCAGGAAGCGC >mm10_chr2:180222385-180222396(+)::chr2:180222384-180222396(+) TGTAGGAAGTCA >mm10_chr2:180236604-180236615(+)::chr2:180236603-180236615(+) TCCAGGAAATAT >mm10_chr2:180238760-180238771(+)::chr2:180238759-180238771(+) TCGAGGAAGTTG >mm10_chr2:180257410-180257421(-)::chr2:180257409-180257421(-) GAAAGGAAGTAG >mm10_chr2:180276933-180276944(+)::chr2:180276932-180276944(+) AGCAGGAAGTGC >mm10_chr2:180289536-180289547(-)::chr2:180289535-180289547(-) AGGAGGAAGACT >mm10_chr2:180290830-180290841(+)::chr2:180290829-180290841(+) AGGAGGAAGTCT >mm10_chr2:180290860-180290871(-)::chr2:180290859-180290871(-) AGCAGGAAGTCT >mm10_chr2:180448719-180448730(+)::chr2:180448718-180448730(+) aggaggaagaag >mm10_chr2:180448731-180448742(+)::chr2:180448730-180448742(+) aagaggaagagg >mm10_chr2:180448770-180448781(+)::chr2:180448769-180448781(+) Agaaggaaggaa >mm10_chr2:180448774-180448785(+)::chr2:180448773-180448785(+) ggaaggaagaaa >mm10_chr2:180448782-180448793(+)::chr2:180448781-180448793(+) gaaaggaagaag >mm10_chr2:180448794-180448805(+)::chr2:180448793-180448805(+) gggaggaagaaa >mm10_chr2:180547274-180547285(-)::chr2:180547273-180547285(-) TCAAGGAAGCCC >mm10_chr2:180547288-180547299(-)::chr2:180547287-180547299(-) GAGAGGAAGTCA >mm10_chr2:180547333-180547344(+)::chr2:180547332-180547344(+) ACAAGGAAGTTC >mm10_chr2:180589108-180589119(+)::chr2:180589107-180589119(+) GGCAGGAAGAGG >mm10_chr2:180589119-180589130(-)::chr2:180589118-180589130(-) TACGGGAAGTGC >mm10_chr2:180589425-180589436(-)::chr2:180589424-180589436(-) AGGAGGAAgcgc >mm10_chr2:180692828-180692839(-)::chr2:180692827-180692839(-) GGAAGTAAGTGG >mm10_chr2:180692832-180692843(-)::chr2:180692831-180692843(-) GAGAGGAAGTAA >mm10_chr2:180725263-180725274(+)::chr2:180725262-180725274(+) AGACGGAAGCAC >mm10_chr2:180731199-180731210(+)::chr2:180731198-180731210(+) ACCAGGAAGTTA >mm10_chr2:180740502-180740513(-)::chr2:180740501-180740513(-) GGAAGGAAGGCA >mm10_chr2:180740506-180740517(-)::chr2:180740505-180740517(-) AACAGGAAGGAA >mm10_chr2:180773685-180773696(+)::chr2:180773684-180773696(+) aggaggaagagg >mm10_chr2:180773691-180773702(+)::chr2:180773690-180773702(+) aagaggaagaac >mm10_chr2:180773706-180773717(+)::chr2:180773705-180773717(+) aggaggaagagg >mm10_chr2:180773712-180773723(+)::chr2:180773711-180773723(+) aagaggaagaag >mm10_chr2:180773724-180773735(+)::chr2:180773723-180773735(+) agaaggaagagg >mm10_chr2:180773744-180773755(+)::chr2:180773743-180773755(+) aggaggaagagg >mm10_chr2:180788627-180788638(+)::chr2:180788626-180788638(+) TGGAGGAAGTCA >mm10_chr2:180881581-180881592(+)::chr2:180881580-180881592(+) ACCAGGAAGTGA >mm10_chr2:180920289-180920300(-)::chr2:180920288-180920300(-) TCCGGGAAGTTG >mm10_chr2:180967188-180967199(+)::chr2:180967187-180967199(+) AGGCGGAAGTAC >mm10_chr2:180970598-180970609(-)::chr2:180970597-180970609(-) GCAAGGAAGTAT >mm10_chr2:180970634-180970645(-)::chr2:180970633-180970645(-) GGTAGGAAGTAG >mm10_chr2:180971000-180971011(-)::chr2:180970999-180971011(-) GCAAGGAAGAAA >mm10_chr2:180971083-180971094(+)::chr2:180971082-180971094(+) TTAAGGAAGTCC >mm10_chr2:181043371-181043382(-)::chr2:181043370-181043382(-) GGAAGGAAGTCC >mm10_chr2:181043375-181043386(-)::chr2:181043374-181043386(-) GTGAGGAAGGAA >mm10_chr2:181043388-181043399(-)::chr2:181043387-181043399(-) CACAGGAAGTCA >mm10_chr2:181139301-181139312(-)::chr2:181139300-181139312(-) aggaggaagaga >mm10_chr2:181139322-181139333(-)::chr2:181139321-181139333(-) agaaggaagagg >mm10_chr2:181139329-181139340(-)::chr2:181139328-181139340(-) GGAAGGAagaag >mm10_chr2:181139333-181139344(-)::chr2:181139332-181139344(-) TCCAGGAAGGAa >mm10_chr2:181139372-181139383(-)::chr2:181139371-181139383(-) GTGAGGAAGGGT >mm10_chr2:181280102-181280113(+)::chr2:181280101-181280113(+) atcaggaaatag >mm10_chr2:181319720-181319731(+)::chr2:181319719-181319731(+) AAACGGAAGTGT >mm10_chr2:181365401-181365412(+)::chr2:181365400-181365412(+) CGGCGGAAGTGC >mm10_chr2:181406693-181406704(+)::chr2:181406692-181406704(+) ATAAGGAAATAT >mm10_chr2:181413420-181413431(+)::chr2:181413419-181413431(+) ACAAGGAAATCT >mm10_chr2:181483757-181483768(-)::chr2:181483756-181483768(-) CAAAGGAAGGGA >mm10_chr2:181483771-181483782(-)::chr2:181483770-181483782(-) GAAAGGAAGAAG >mm10_chr2:181497027-181497038(-)::chr2:181497026-181497038(-) AGCAGGAAGCAA >mm10_chr2:181497153-181497164(+)::chr2:181497152-181497164(+) GGAAGGAAGGCG >mm10_chr2:181529313-181529324(+)::chr2:181529312-181529324(+) ACAAGGAAGGCA >mm10_chr2:181537656-181537667(+)::chr2:181537655-181537667(+) ACCAGGAAGAGG >mm10_chr2:181542012-181542023(-)::chr2:181542011-181542023(-) TTGAGGAAGTCC >mm10_chr2:181581596-181581607(-)::chr2:181581595-181581607(-) GGACGGAAGGCG >mm10_chr2:181598535-181598546(-)::chr2:181598534-181598546(-) GGGAGGAAATAG >mm10_chr2:181598797-181598808(-)::chr2:181598796-181598808(-) GGGAGGAAGTCT >mm10_chr2:181601223-181601234(-)::chr2:181601222-181601234(-) TGCGGGAAGACG >mm10_chr2:181601286-181601297(-)::chr2:181601285-181601297(-) GGGCGGAAGTCA >mm10_chr2:181663503-181663514(-)::chr2:181663502-181663514(-) AACAGGAAGCGT >mm10_chr2:181687864-181687875(-)::chr2:181687863-181687875(-) TGAAGGAAGGCA >mm10_chr2:181687921-181687932(-)::chr2:181687920-181687932(-) TGCAGGAAGGAC >mm10_chr2:181889229-181889240(-)::chr2:181889228-181889240(-) atgaggaaatgt >mm10_chr2:181889290-181889301(-)::chr2:181889289-181889301(-) accaggaagcta >mm10_chr2:181928150-181928161(-)::chr2:181928149-181928161(-) gggaggaaggAT >mm10_chr2:181928481-181928492(+)::chr2:181928480-181928492(+) TTAATGAAGTAA >mm10_chr3:5454084-5454095(-)::chr3:5454083-5454095(-) aagaggaagaag >mm10_chr3:5454090-5454101(-)::chr3:5454089-5454101(-) aagaggaagagg >mm10_chr3:5576160-5576171(+)::chr3:5576159-5576171(+) CGACGGAAGACC >mm10_chr3:5576169-5576180(+)::chr3:5576168-5576180(+) ACCCGGAAGTGG >mm10_chr3:6875233-6875244(-)::chr3:6875232-6875244(-) TTTAGGAAGTGA >mm10_chr3:6875255-6875266(+)::chr3:6875254-6875266(+) GCAGGGAAGTAG >mm10_chr3:7343178-7343189(-)::chr3:7343177-7343189(-) ATGAGGAAGTAC >mm10_chr3:7498424-7498435(-)::chr3:7498423-7498435(-) ACAGGGAAGTGA >mm10_chr3:7498436-7498447(-)::chr3:7498435-7498447(-) GTAAGGAAGCTG >mm10_chr3:7504102-7504113(-)::chr3:7504101-7504113(-) ATAAGGAAGCAA >mm10_chr3:7507309-7507320(+)::chr3:7507308-7507320(+) TTAAGGAAGTTT >mm10_chr3:7507339-7507350(+)::chr3:7507338-7507350(+) ACAAGGAAAGAA >mm10_chr3:7508224-7508235(+)::chr3:7508223-7508235(+) ACAAGGAAGTTG >mm10_chr3:7583262-7583273(-)::chr3:7583261-7583273(-) aaaaggaaatca >mm10_chr3:7612291-7612302(+)::chr3:7612290-7612302(+) TTGAGGAAGTAT >mm10_chr3:7709245-7709256(-)::chr3:7709244-7709256(-) AGAAGGAAGCAT >mm10_chr3:7709252-7709263(-)::chr3:7709251-7709263(-) AACAGGAAGAAG >mm10_chr3:7718938-7718949(+)::chr3:7718937-7718949(+) acaagcaagtgt >mm10_chr3:7718976-7718987(+)::chr3:7718975-7718987(+) aaaaggaagaga >mm10_chr3:7719410-7719421(-)::chr3:7719409-7719421(-) TAAAGGAAGTAA >mm10_chr3:7719426-7719437(-)::chr3:7719425-7719437(-) ATAAGGAAATAG >mm10_chr3:7719460-7719471(-)::chr3:7719459-7719471(-) ACCAGGAAGAGA >mm10_chr3:7755759-7755770(-)::chr3:7755758-7755770(-) aaggggaagtaa >mm10_chr3:7985398-7985409(-)::chr3:7985397-7985409(-) TGAAGGAAGAGA >mm10_chr3:8055168-8055179(+)::chr3:8055167-8055179(+) ACAAGGAAGTTA >mm10_chr3:8123103-8123114(+)::chr3:8123102-8123114(+) cggaggaagtct >mm10_chr3:8347361-8347372(+)::chr3:8347360-8347372(+) gtaaggaaggag >mm10_chr3:8354879-8354890(+)::chr3:8354878-8354890(+) acaaggaagtaa >mm10_chr3:8354954-8354965(-)::chr3:8354953-8354965(-) aaaaggaagctt >mm10_chr3:8491344-8491355(-)::chr3:8491343-8491355(-) TCCAGGAAGTGC >mm10_chr3:8491681-8491692(+)::chr3:8491680-8491692(+) AGAAGGAAATCC >mm10_chr3:8563481-8563492(+)::chr3:8563480-8563492(+) GAAAGGAAGCTG >mm10_chr3:8563493-8563504(+)::chr3:8563492-8563504(+) AGGAGGAAGAAA >mm10_chr3:8580506-8580517(-)::chr3:8580505-8580517(-) ATAAGGAAGTTA >mm10_chr3:8595657-8595668(+)::chr3:8595656-8595668(+) GGAAGGAAATGA >mm10_chr3:8595677-8595688(-)::chr3:8595676-8595688(-) ACCAGGAAGCAA >mm10_chr3:8642284-8642295(-)::chr3:8642283-8642295(-) TACAGGAAGGAC >mm10_chr3:8691830-8691841(+)::chr3:8691829-8691841(+) GAGAGGAAGAAC >mm10_chr3:8711742-8711753(+)::chr3:8711741-8711753(+) TAAAGGAAGAGC >mm10_chr3:8711802-8711813(+)::chr3:8711801-8711813(+) ATAAGGAAGAGC >mm10_chr3:8712482-8712493(+)::chr3:8712481-8712493(+) AGGAGTAAGTAC >mm10_chr3:8756234-8756245(-)::chr3:8756233-8756245(-) AGAAGGAAGGAA >mm10_chr3:8766657-8766668(+)::chr3:8766656-8766668(+) ACAAGGAAGCAC >mm10_chr3:8766927-8766938(-)::chr3:8766926-8766938(-) GACAGGAAGGTG >mm10_chr3:8767819-8767830(-)::chr3:8767818-8767830(-) GGGAGGAAGGGA >mm10_chr3:8841436-8841447(+)::chr3:8841435-8841447(+) ataaggaaggca >mm10_chr3:8866498-8866509(+)::chr3:8866497-8866509(+) CCTAGGAAGTGA >mm10_chr3:8954410-8954421(-)::chr3:8954409-8954421(-) GGCAGGAAGAAG >mm10_chr3:8955859-8955870(+)::chr3:8955858-8955870(+) ACGAGGAAGAGG >mm10_chr3:8959682-8959693(+)::chr3:8959681-8959693(+) CTAAGGAAATGA >mm10_chr3:8961150-8961161(-)::chr3:8961149-8961161(-) CTAAGGAAGTTA >mm10_chr3:8963237-8963248(-)::chr3:8963236-8963248(-) TCAAGGAAGCAA >mm10_chr3:8981787-8981798(+)::chr3:8981786-8981798(+) AACAGGAAGTCA >mm10_chr3:8989088-8989099(-)::chr3:8989087-8989099(-) aggaggaagtga >mm10_chr3:8991050-8991061(-)::chr3:8991049-8991061(-) ATAAGGAAGTTT >mm10_chr3:9002665-9002676(+)::chr3:9002664-9002676(+) AAAAGGAAGTAG >mm10_chr3:9005573-9005584(-)::chr3:9005572-9005584(-) AACAGGAAGGAA >mm10_chr3:9062663-9062674(+)::chr3:9062662-9062674(+) agcaggaagaag >mm10_chr3:9062682-9062693(+)::chr3:9062681-9062693(+) aggaggaagagg >mm10_chr3:9062705-9062716(+)::chr3:9062704-9062716(+) gagaggaaggag >mm10_chr3:9062712-9062723(+)::chr3:9062711-9062723(+) aggaggaagagg >mm10_chr3:9062736-9062747(+)::chr3:9062735-9062747(+) agcaggaagatg >mm10_chr3:9101366-9101377(-)::chr3:9101365-9101377(-) ATAAGGAAGTTT >mm10_chr3:9108354-9108365(-)::chr3:9108353-9108365(-) tcaaggaagtga >mm10_chr3:9128599-9128610(+)::chr3:9128598-9128610(+) ACAAGGAAGAGA >mm10_chr3:9128641-9128652(-)::chr3:9128640-9128652(-) TTCAGGAAGTGA >mm10_chr3:9154357-9154368(-)::chr3:9154356-9154368(-) TACAGGAAGTAG >mm10_chr3:9173877-9173888(-)::chr3:9173876-9173888(-) ATAAGGAAAACC >mm10_chr3:9189825-9189836(-)::chr3:9189824-9189836(-) AGGAGGAAGAGG >mm10_chr3:9248021-9248032(-)::chr3:9248020-9248032(-) TGGAGGAAGAAG >mm10_chr3:9252624-9252635(+)::chr3:9252623-9252635(+) TACAGGAAGTTA >mm10_chr3:9259398-9259409(-)::chr3:9259397-9259409(-) TAGAGGAAGCAA >mm10_chr3:9296062-9296073(-)::chr3:9296061-9296073(-) aagaGGAAGCAG >mm10_chr3:9323341-9323352(-)::chr3:9323340-9323352(-) gagaggaagagg >mm10_chr3:9324731-9324742(+)::chr3:9324730-9324742(+) GGGAGGAAGCAA >mm10_chr3:9397685-9397696(-)::chr3:9397684-9397696(-) agaaggaagaaa >mm10_chr3:9397692-9397703(-)::chr3:9397691-9397703(-) aagaggaagaag >mm10_chr3:9400928-9400939(-)::chr3:9400927-9400939(-) ACCAGGAAGCAG >mm10_chr3:9402943-9402954(-)::chr3:9402942-9402954(-) AGCAGGAAATGG >mm10_chr3:9402954-9402965(+)::chr3:9402953-9402965(+) TGCAGGAAGGCA >mm10_chr3:9402958-9402969(+)::chr3:9402957-9402969(+) GGAAGGCAGTGC >mm10_chr3:9403007-9403018(-)::chr3:9403006-9403018(-) TGGAGGAAGTGG >mm10_chr3:9407174-9407185(+)::chr3:9407173-9407185(+) ATAACGAAGTAC >mm10_chr3:9407200-9407211(-)::chr3:9407199-9407211(-) ACAAGGAAGTTG >mm10_chr3:9424280-9424291(+)::chr3:9424279-9424291(+) agcaggaagtgt >mm10_chr3:9424280-9424291(+)::chr3:9424279-9424291(+) agcaggaagtgt >mm10_chr3:9436465-9436476(+)::chr3:9436464-9436476(+) ATAAGGAAATGT >mm10_chr3:9482791-9482802(+)::chr3:9482790-9482802(+) TCCAGGAAGTGA >mm10_chr3:9482833-9482844(-)::chr3:9482832-9482844(-) AAGAGGAAGGAG >mm10_chr3:9500061-9500072(-)::chr3:9500060-9500072(-) agcaggaagtga >mm10_chr3:9519546-9519557(-)::chr3:9519545-9519557(-) acacggaagcag >mm10_chr3:9556486-9556497(+)::chr3:9556485-9556497(+) GACAGGAAGAGA >mm10_chr3:9561214-9561225(-)::chr3:9561213-9561225(-) acaaggaagagg >mm10_chr3:9610139-9610150(-)::chr3:9610138-9610150(-) ACCAGGAAGGCA >mm10_chr3:9622296-9622307(-)::chr3:9622295-9622307(-) aagaggaagggg >mm10_chr3:9622302-9622313(-)::chr3:9622301-9622313(-) aagaggaagagg >mm10_chr3:9622308-9622319(-)::chr3:9622307-9622319(-) aggaggaagagg >mm10_chr3:9622336-9622347(-)::chr3:9622335-9622347(-) gagaggaagggg >mm10_chr3:9625102-9625113(-)::chr3:9625101-9625113(-) TACAGGAAGTAG >mm10_chr3:9630293-9630304(+)::chr3:9630292-9630304(+) AGAAGGAACGCA >mm10_chr3:9647147-9647158(+)::chr3:9647146-9647158(+) aaagggaaggta >mm10_chr3:9647225-9647236(+)::chr3:9647224-9647236(+) aacaggaagtgg >mm10_chr3:9681147-9681158(-)::chr3:9681146-9681158(-) agaatgaagttg >mm10_chr3:9681159-9681170(-)::chr3:9681158-9681170(-) cggaggaagccc >mm10_chr3:9681167-9681178(-)::chr3:9681166-9681178(-) gtaaggaacgga >mm10_chr3:9751575-9751586(+)::chr3:9751574-9751586(+) AAAAGGAAGCGC >mm10_chr3:9752471-9752482(-)::chr3:9752470-9752482(-) AGAAGGAAGAGA >mm10_chr3:9788779-9788790(+)::chr3:9788778-9788790(+) AGCAGGAAGTCA >mm10_chr3:9790983-9790994(+)::chr3:9790982-9790994(+) aggaggaagcag >mm10_chr3:9790995-9791006(+)::chr3:9790994-9791006(+) gccaggaaggaa >mm10_chr3:9790999-9791010(+)::chr3:9790998-9791010(+) ggaaggaagaag >mm10_chr3:9791218-9791229(+)::chr3:9791217-9791229(+) agtaggaagtcc >mm10_chr3:9865906-9865917(+)::chr3:9865905-9865917(+) ACCAGGAAATGG >mm10_chr3:9974564-9974575(+)::chr3:9974563-9974575(+) AGCAGGAAGTCT >mm10_chr3:9984082-9984093(+)::chr3:9984081-9984093(+) AGGAGGAAATGA >mm10_chr3:9984112-9984123(+)::chr3:9984111-9984123(+) AGGAGGAAATGA >mm10_chr3:9984142-9984153(+)::chr3:9984141-9984153(+) AGGAGGAAATGA >mm10_chr3:9986677-9986688(+)::chr3:9986676-9986688(+) GTAAGGGAGTAC >mm10_chr3:10022769-10022780(-)::chr3:10022768-10022780(-) GACAGGAAGAAG >mm10_chr3:10022779-10022790(-)::chr3:10022778-10022790(-) TGCAGGAAGAGA >mm10_chr3:10023779-10023790(-)::chr3:10023778-10023790(-) TGCAGGAAATGA >mm10_chr3:10023792-10023803(+)::chr3:10023791-10023803(+) CGCAGGAAGCAA >mm10_chr3:10044234-10044245(-)::chr3:10044233-10044245(-) AATAGGAAGTGG >mm10_chr3:10044247-10044258(+)::chr3:10044246-10044258(+) CAGAGGAAGTAG >mm10_chr3:10050069-10050080(+)::chr3:10050068-10050080(+) ACAAGGAAGACA >mm10_chr3:10050080-10050091(+)::chr3:10050079-10050091(+) AGCAGGAAGCCA >mm10_chr3:10059779-10059790(-)::chr3:10059778-10059790(-) AGAAGGAAGTGC >mm10_chr3:10076971-10076982(+)::chr3:10076970-10076982(+) ACAAGGATGTTT >mm10_chr3:10077244-10077255(+)::chr3:10077243-10077255(+) agcaggaagttc >mm10_chr3:10077636-10077647(-)::chr3:10077635-10077647(-) GAAAGCAAGTAT >mm10_chr3:10084236-10084247(-)::chr3:10084235-10084247(-) ACCAGGAAGTAC >mm10_chr3:10092776-10092787(-)::chr3:10092775-10092787(-) AAGAGGAAGCGT >mm10_chr3:10100005-10100016(+)::chr3:10100004-10100016(+) TGCAGGAAGATG >mm10_chr3:10100029-10100040(+)::chr3:10100028-10100040(+) ATGAGGAAGAAG >mm10_chr3:10145448-10145459(-)::chr3:10145447-10145459(-) AGAAGGAACTAG >mm10_chr3:10154508-10154519(+)::chr3:10154507-10154519(+) AAAAGGATGTAG >mm10_chr3:10157356-10157367(-)::chr3:10157355-10157367(-) ACAAGGATGTTA >mm10_chr3:10157388-10157399(-)::chr3:10157387-10157399(-) AAAAGGAAGTCA >mm10_chr3:10177245-10177256(+)::chr3:10177244-10177256(+) ACAAGGAAGTTG >mm10_chr3:10256947-10256958(-)::chr3:10256946-10256958(-) gaaatgaagtaa >mm10_chr3:10256952-10256963(-)::chr3:10256951-10256963(-) agaaggaaatga >mm10_chr3:10256959-10256970(-)::chr3:10256958-10256970(-) aaaaggaagaag >mm10_chr3:10256998-10257009(-)::chr3:10256997-10257009(-) aagaggaaggat >mm10_chr3:10268095-10268106(+)::chr3:10268094-10268106(+) TCCAGGAAGTGG >mm10_chr3:10279625-10279636(+)::chr3:10279624-10279636(+) aggaggaagaag >mm10_chr3:10279643-10279654(+)::chr3:10279642-10279654(+) gagaggaagagg >mm10_chr3:10279649-10279660(+)::chr3:10279648-10279660(+) aagaggaAGCAG >mm10_chr3:10286435-10286446(+)::chr3:10286434-10286446(+) ataaggaagctg >mm10_chr3:10286477-10286488(+)::chr3:10286476-10286488(+) caaaggaaatca >mm10_chr3:10292690-10292701(+)::chr3:10292689-10292701(+) AAAGGGAAGCGA >mm10_chr3:10342363-10342374(+)::chr3:10342362-10342374(+) GGCAGGAAGAGA >mm10_chr3:10342819-10342830(+)::chr3:10342818-10342830(+) aggaggaagaaa >mm10_chr3:10440080-10440091(+)::chr3:10440079-10440091(+) CGAGGGAAGGGA >mm10_chr3:10440123-10440134(+)::chr3:10440122-10440134(+) TCCAGGAAGTGA >mm10_chr3:10447215-10447226(+)::chr3:10447214-10447226(+) AGCAGGAAGCAG >mm10_chr3:10471791-10471802(+)::chr3:10471790-10471802(+) gcatggaagtac >mm10_chr3:10471840-10471851(+)::chr3:10471839-10471851(+) gtcaggaagagg >mm10_chr3:10474961-10474972(+)::chr3:10474960-10474972(+) aagaggaaggac >mm10_chr3:10475021-10475032(-)::chr3:10475020-10475032(-) tggaggaagaga >mm10_chr3:10511619-10511630(+)::chr3:10511618-10511630(+) TGCAGGAAGCAG >mm10_chr3:10778632-10778643(+)::chr3:10778631-10778643(+) ATCAGGAAGAAA >mm10_chr3:11027949-11027960(-)::chr3:11027948-11027960(-) atgaggaagcac >mm10_chr3:11204039-11204050(-)::chr3:11204038-11204050(-) tgggggaagtag >mm10_chr3:13441458-13441469(-)::chr3:13441457-13441469(-) GTAAGGAAGATA >mm10_chr3:13441477-13441488(-)::chr3:13441476-13441488(-) ACAAGCAAGTGC >mm10_chr3:13661414-13661425(-)::chr3:13661413-13661425(-) aagaggaagcaa >mm10_chr3:13661420-13661431(-)::chr3:13661419-13661431(-) aaaaggaagagg >mm10_chr3:13962576-13962587(+)::chr3:13962575-13962587(+) ATGAGGAAATGA >mm10_chr3:14578613-14578624(-)::chr3:14578612-14578624(-) GGCAGGAAGTGA >mm10_chr3:14611263-14611274(+)::chr3:14611262-14611274(+) CACAGGAAGTTC >mm10_chr3:14622963-14622974(+)::chr3:14622962-14622974(+) accaggaaggta >mm10_chr3:14633913-14633924(-)::chr3:14633912-14633924(-) AAGAGGAAGTCA >mm10_chr3:14648970-14648981(-)::chr3:14648969-14648981(-) TAAAGGAAAGTA >mm10_chr3:14653422-14653433(+)::chr3:14653421-14653433(+) AGAAGGATGTCT >mm10_chr3:14653441-14653452(-)::chr3:14653440-14653452(-) AGAAGGAAGTCT >mm10_chr3:14659920-14659931(+)::chr3:14659919-14659931(+) TCAAGGAAATGA >mm10_chr3:14880535-14880546(+)::chr3:14880534-14880546(+) AAGAGGAAGTCA >mm10_chr3:14889220-14889231(+)::chr3:14889219-14889231(+) TGGAGGAAGCTA >mm10_chr3:14890580-14890591(+)::chr3:14890579-14890591(+) gggaggaaggaa >mm10_chr3:14890584-14890595(+)::chr3:14890583-14890595(+) ggaaggaaggga >mm10_chr3:14890598-14890609(+)::chr3:14890597-14890609(+) aagaggaagaaa >mm10_chr3:14890609-14890620(+)::chr3:14890608-14890620(+) acaaggaagcaa >mm10_chr3:14890621-14890632(+)::chr3:14890620-14890632(+) ggcaggaagaag >mm10_chr3:14890628-14890639(+)::chr3:14890627-14890639(+) agaaggaagaaa >mm10_chr3:14912143-14912154(-)::chr3:14912142-14912154(-) AACAGGAAGCCA >mm10_chr3:14948184-14948195(-)::chr3:14948183-14948195(-) ACAGGGAAGTTT >mm10_chr3:14950255-14950266(-)::chr3:14950254-14950266(-) AACAGGAAGAGG >mm10_chr3:14953305-14953316(+)::chr3:14953304-14953316(+) GCCAGGAAGAAT >mm10_chr3:14968541-14968552(-)::chr3:14968540-14968552(-) aagaggaagtca >mm10_chr3:14969893-14969904(+)::chr3:14969892-14969904(+) ACAAGGAAGTAG >mm10_chr3:14979425-14979436(+)::chr3:14979424-14979436(+) GCAAGGAAGGAA >mm10_chr3:14989768-14989779(+)::chr3:14989767-14989779(+) GACAGGAAGGAA >mm10_chr3:14989772-14989783(+)::chr3:14989771-14989783(+) GGAAGGAAGCCA >mm10_chr3:15000774-15000785(+)::chr3:15000773-15000785(+) tccaggaagaga >mm10_chr3:15073653-15073664(-)::chr3:15073652-15073664(-) TTCAGGAAGTAA >mm10_chr3:15108354-15108365(+)::chr3:15108353-15108365(+) GGGAGGAAGAGA >mm10_chr3:15114646-15114657(-)::chr3:15114645-15114657(-) TTCAGGAAGTGA >mm10_chr3:15121940-15121951(+)::chr3:15121939-15121951(+) agcaggaagaaa >mm10_chr3:15123669-15123680(+)::chr3:15123668-15123680(+) ACAAGGAAACAC >mm10_chr3:15123684-15123695(-)::chr3:15123683-15123695(-) ACCAGGAAGTAT >mm10_chr3:15153163-15153174(+)::chr3:15153162-15153174(+) AGGAGGAAATTA >mm10_chr3:15156934-15156945(+)::chr3:15156933-15156945(+) ACAATGAAGTCA >mm10_chr3:15160153-15160164(-)::chr3:15160152-15160164(-) aagaggaagttg >mm10_chr3:15242698-15242709(-)::chr3:15242697-15242709(-) gagaggaagtta >mm10_chr3:15275435-15275446(+)::chr3:15275434-15275446(+) tagaggaaggga >mm10_chr3:16183232-16183243(+)::chr3:16183231-16183243(+) GAGCGGAAGTGG >mm10_chr3:16184725-16184736(+)::chr3:16184724-16184736(+) TGCCGGAAGTGA >mm10_chr3:16184751-16184762(-)::chr3:16184750-16184762(-) AGCAGGAAGTGT >mm10_chr3:16210781-16210792(+)::chr3:16210780-16210792(+) aaaaggaagtgt >mm10_chr3:16377348-16377359(-)::chr3:16377347-16377359(-) ATAAGAAAGTGT >mm10_chr3:16433299-16433310(+)::chr3:16433298-16433310(+) aaagggaagtcc >mm10_chr3:17064635-17064646(-)::chr3:17064634-17064646(-) GGAAGGAAATTT >mm10_chr3:17169814-17169825(+)::chr3:17169813-17169825(+) agaaggaaagga >mm10_chr3:17239352-17239363(+)::chr3:17239351-17239363(+) agaaggaaatca >mm10_chr3:17239397-17239408(-)::chr3:17239396-17239408(-) ctaaggaagtct >mm10_chr3:17239421-17239432(-)::chr3:17239420-17239432(-) gaaaggaacttg >mm10_chr3:17318207-17318218(-)::chr3:17318206-17318218(-) AGCAGGAAGAAG >mm10_chr3:17505631-17505642(-)::chr3:17505630-17505642(-) aggaggaagcaa >mm10_chr3:17505649-17505660(-)::chr3:17505648-17505660(-) acaaggaaatta >mm10_chr3:17580114-17580125(-)::chr3:17580113-17580125(-) AACAGGAAATGG >mm10_chr3:17580158-17580169(+)::chr3:17580157-17580169(+) CTAGGGAAGTGA >mm10_chr3:17580169-17580180(+)::chr3:17580168-17580180(+) ATGAGGAAGTGT >mm10_chr3:17799222-17799233(+)::chr3:17799221-17799233(+) ACCAGGAAGTAG >mm10_chr3:17799265-17799276(+)::chr3:17799264-17799276(+) AGACGGAAGCAG >mm10_chr3:17834033-17834044(+)::chr3:17834032-17834044(+) ACAAGGAAGGAA >mm10_chr3:17834064-17834075(+)::chr3:17834063-17834075(+) GCAAGGAAGGAG >mm10_chr3:17843042-17843053(+)::chr3:17843041-17843053(+) AGTAGGAAGGAA >mm10_chr3:17843046-17843057(+)::chr3:17843045-17843057(+) GGAAGGAAGCAA >mm10_chr3:17865820-17865831(+)::chr3:17865819-17865831(+) AGACGGAAGGAG >mm10_chr3:17866425-17866436(+)::chr3:17866424-17866436(+) CCGAGGAAGCAC >mm10_chr3:17866437-17866448(-)::chr3:17866436-17866448(-) AGCAGGAAGTCT >mm10_chr3:18141594-18141605(+)::chr3:18141593-18141605(+) GCCAGGAAGAGA >mm10_chr3:18141633-18141644(+)::chr3:18141632-18141644(+) ACAAGGATGTGA >mm10_chr3:18212839-18212850(+)::chr3:18212838-18212850(+) TACAGGAAGCAT >mm10_chr3:18243828-18243839(+)::chr3:18243827-18243839(+) AGAAGGAAGACA >mm10_chr3:18339309-18339320(-)::chr3:18339308-18339320(-) gaaaggaagggg >mm10_chr3:18339346-18339357(-)::chr3:18339345-18339357(-) ccaaggaagtga >mm10_chr3:18607850-18607861(+)::chr3:18607849-18607861(+) tgaaggaagtgt >mm10_chr3:18990660-18990671(-)::chr3:18990659-18990671(-) TCAAGGAAGGAG >mm10_chr3:19044409-19044420(+)::chr3:19044408-19044420(+) tcaaggaagaaa >mm10_chr3:19140274-19140285(+)::chr3:19140273-19140285(+) ggaagaaagtga >mm10_chr3:19140286-19140297(+)::chr3:19140285-19140297(+) acaaggaaggag >mm10_chr3:19140319-19140330(+)::chr3:19140318-19140330(+) aggaggaagagg >mm10_chr3:19140329-19140340(+)::chr3:19140328-19140340(+) gggaggaaggag >mm10_chr3:19140333-19140344(+)::chr3:19140332-19140344(+) ggaaggaggtgg >mm10_chr3:19155286-19155297(+)::chr3:19155285-19155297(+) gcaaggaagaga >mm10_chr3:19155316-19155327(+)::chr3:19155315-19155327(+) tggaggaagaag >mm10_chr3:19163115-19163126(+)::chr3:19163114-19163126(+) GTGAGGAAGGAC >mm10_chr3:19163295-19163306(+)::chr3:19163294-19163306(+) GGCAGGAAGGGC >mm10_chr3:19167430-19167441(+)::chr3:19167429-19167441(+) ACCAGGAAGATC >mm10_chr3:19215938-19215949(+)::chr3:19215937-19215949(+) ACGAGGATGTAA >mm10_chr3:19215968-19215979(+)::chr3:19215967-19215979(+) GAAAGGAACTGT >mm10_chr3:19230848-19230859(-)::chr3:19230847-19230859(-) GGGAGGAAGGAG >mm10_chr3:19238612-19238623(+)::chr3:19238611-19238623(+) aggaggaagatg >mm10_chr3:19238642-19238653(+)::chr3:19238641-19238653(+) gtgaggaagaac >mm10_chr3:19238657-19238668(+)::chr3:19238656-19238668(+) aggaggaagaag >mm10_chr3:19258622-19258633(+)::chr3:19258621-19258633(+) CACAGGAAATAT >mm10_chr3:19258661-19258672(+)::chr3:19258660-19258672(+) GGGAGGAAATGC >mm10_chr3:19258700-19258711(+)::chr3:19258699-19258711(+) GAACGGAAGTGG >mm10_chr3:19317833-19317844(+)::chr3:19317832-19317844(+) atgaggaagtga >mm10_chr3:19411406-19411417(-)::chr3:19411405-19411417(-) CGCAGGAAGTAA >mm10_chr3:19429791-19429802(-)::chr3:19429790-19429802(-) AAAAGCAAGTAC >mm10_chr3:19460856-19460867(+)::chr3:19460855-19460867(+) AGGAGGAACTAA >mm10_chr3:19460864-19460875(+)::chr3:19460863-19460875(+) CTAAGGAAGTGC >mm10_chr3:19486335-19486346(+)::chr3:19486334-19486346(+) AACAGGAAGACC >mm10_chr3:19509401-19509412(-)::chr3:19509400-19509412(-) TCCAGGAAGAAA >mm10_chr3:19539249-19539260(+)::chr3:19539248-19539260(+) acaaggaagcag >mm10_chr3:19558155-19558166(+)::chr3:19558154-19558166(+) AGGAGGAAGATA >mm10_chr3:19558167-19558178(+)::chr3:19558166-19558178(+) ACTAGGAAGAGG >mm10_chr3:19560181-19560192(+)::chr3:19560180-19560192(+) AGAAGGAAGAGG >mm10_chr3:19560187-19560198(+)::chr3:19560186-19560198(+) AAGAGGAAGTTT >mm10_chr3:19563671-19563682(-)::chr3:19563670-19563682(-) AGTAGGAAGTAC >mm10_chr3:19563684-19563695(-)::chr3:19563683-19563695(-) GGCAGGAAGAAC >mm10_chr3:19563717-19563728(+)::chr3:19563716-19563728(+) AAAAGGAAATGA >mm10_chr3:19566086-19566097(+)::chr3:19566085-19566097(+) TGCAGGAAGATT >mm10_chr3:19567314-19567325(+)::chr3:19567313-19567325(+) AGAAGGAAAAGC >mm10_chr3:19630274-19630285(+)::chr3:19630273-19630285(+) CACAGGAAGTGA >mm10_chr3:19644601-19644612(+)::chr3:19644600-19644612(+) GCAAGGAAATGA >mm10_chr3:19661993-19662004(-)::chr3:19661992-19662004(-) ACCAGGAAGTGT >mm10_chr3:19685255-19685266(-)::chr3:19685254-19685266(-) ATGAGGAAGGAT >mm10_chr3:19707028-19707039(+)::chr3:19707027-19707039(+) TGCAGGAAATGA >mm10_chr3:19894929-19894940(+)::chr3:19894928-19894940(+) GGAATGAAGTAC >mm10_chr3:19960840-19960851(+)::chr3:19960839-19960851(+) ttcaggaagtgg >mm10_chr3:19977641-19977652(+)::chr3:19977640-19977652(+) acgaggaagagg >mm10_chr3:19977647-19977658(+)::chr3:19977646-19977658(+) aagaggaagatg >mm10_chr3:19977659-19977670(+)::chr3:19977658-19977670(+) acaaggaagagg >mm10_chr3:19977671-19977682(+)::chr3:19977670-19977682(+) aaaaggaagaag >mm10_chr3:19977686-19977697(+)::chr3:19977685-19977697(+) acgaggaagaag >mm10_chr3:20004655-20004666(+)::chr3:20004654-20004666(+) accaggaagttt >mm10_chr3:20578299-20578310(+)::chr3:20578298-20578310(+) aggaggaagcaa >mm10_chr3:20702226-20702237(+)::chr3:20702225-20702237(+) gcaaggaagaca >mm10_chr3:20702242-20702253(+)::chr3:20702241-20702253(+) agtaggaagggc >mm10_chr3:21109701-21109712(-)::chr3:21109700-21109712(-) aaaaggaagttg >mm10_chr3:21171643-21171654(-)::chr3:21171642-21171654(-) ATACGGAAGTAA >mm10_chr3:21171677-21171688(+)::chr3:21171676-21171688(+) TCAAGGAAGTCA >mm10_chr3:21182731-21182742(-)::chr3:21182730-21182742(-) AGAAGGCAGTCA >mm10_chr3:21182748-21182759(+)::chr3:21182747-21182759(+) TAAAGGAAATGC >mm10_chr3:21410900-21410911(-)::chr3:21410899-21410911(-) AGAAGGAAGTAG >mm10_chr3:21441087-21441098(-)::chr3:21441086-21441098(-) AGAAGCAAGTAG >mm10_chr3:21464740-21464751(+)::chr3:21464739-21464751(+) tcagggaagtaa >mm10_chr3:21464751-21464762(+)::chr3:21464750-21464762(+) accaggaagtgg >mm10_chr3:21472346-21472357(-)::chr3:21472345-21472357(-) TAAAGGAACTGT >mm10_chr3:21472366-21472377(-)::chr3:21472365-21472377(-) AGAAGGAAGAAC >mm10_chr3:21472373-21472384(-)::chr3:21472372-21472384(-) TCAAGGAAGAAG >mm10_chr3:21634913-21634924(-)::chr3:21634912-21634924(-) taaaggaaatgg >mm10_chr3:21637829-21637840(+)::chr3:21637828-21637840(+) TGCAGGAAGCAG >mm10_chr3:21667229-21667240(-)::chr3:21667228-21667240(-) CAAAGGAAGGCA >mm10_chr3:21674938-21674949(-)::chr3:21674937-21674949(-) TAAAGGAAATAA >mm10_chr3:21715923-21715934(-)::chr3:21715922-21715934(-) TACAGGAAGGGG >mm10_chr3:21841640-21841651(+)::chr3:21841639-21841651(+) GGAAGGAAGTCT >mm10_chr3:21842606-21842617(-)::chr3:21842605-21842617(-) ACTAGGAAGTCT >mm10_chr3:21842664-21842675(-)::chr3:21842663-21842675(-) ATCAGGAAGAAA >mm10_chr3:21858888-21858899(+)::chr3:21858887-21858899(+) atcaggaagtac >mm10_chr3:21858908-21858919(+)::chr3:21858907-21858919(+) ccaaggaagtat >mm10_chr3:21905055-21905066(+)::chr3:21905054-21905066(+) tcaaggaagcaa >mm10_chr3:21905095-21905106(-)::chr3:21905094-21905106(-) ataaggaacttc >mm10_chr3:21905108-21905119(+)::chr3:21905107-21905119(+) acagggaaggta >mm10_chr3:21939889-21939900(+)::chr3:21939888-21939900(+) TACAGGAAGGCG >mm10_chr3:21953017-21953028(+)::chr3:21953016-21953028(+) AACAGGAAGTCC >mm10_chr3:21970812-21970823(-)::chr3:21970811-21970823(-) AGAAGGAAGAAG >mm10_chr3:21982567-21982578(+)::chr3:21982566-21982578(+) ACAGGGAAGTTA >mm10_chr3:21988339-21988350(+)::chr3:21988338-21988350(+) ATAAGGAAACAG >mm10_chr3:21988424-21988435(+)::chr3:21988423-21988435(+) CCCAGGAAGTGA >mm10_chr3:21995812-21995823(+)::chr3:21995811-21995823(+) GAAAGGAAATGT >mm10_chr3:22022622-22022633(+)::chr3:22022621-22022633(+) AGCAGGAAGCAG >mm10_chr3:22024361-22024372(+)::chr3:22024360-22024372(+) GAAAGGAAGCTG >mm10_chr3:22050483-22050494(-)::chr3:22050482-22050494(-) agaaggaaggac >mm10_chr3:22077418-22077429(-)::chr3:22077417-22077429(-) ACCAGGAAGCTG >mm10_chr3:22090556-22090567(-)::chr3:22090555-22090567(-) AAGAGGAAGAGC >mm10_chr3:22091267-22091278(+)::chr3:22091266-22091278(+) ACAGGGAAGTTG >mm10_chr3:22095937-22095948(+)::chr3:22095936-22095948(+) ACCAGGAAGGAG >mm10_chr3:22138625-22138636(+)::chr3:22138624-22138636(+) AGGAGGAAGTGC >mm10_chr3:22138690-22138701(+)::chr3:22138689-22138701(+) TCCAGGAAGAAC >mm10_chr3:22150724-22150735(+)::chr3:22150723-22150735(+) ATAAGGAAGAGA >mm10_chr3:22440763-22440774(+)::chr3:22440762-22440774(+) tggaggaagtaa >mm10_chr3:22442617-22442628(+)::chr3:22442616-22442628(+) ACAAGGAACTGA >mm10_chr3:23556738-23556749(+)::chr3:23556737-23556749(+) atagggaaatga >mm10_chr3:23825794-23825805(+)::chr3:23825793-23825805(+) ATAAGGAAACAG >mm10_chr3:23825801-23825812(+)::chr3:23825800-23825812(+) AACAGGAAATAT >mm10_chr3:23825810-23825821(-)::chr3:23825809-23825821(-) GGAAGGAACATA >mm10_chr3:23825814-23825825(-)::chr3:23825813-23825825(-) GTGAGGAAGGAA >mm10_chr3:24070992-24071003(+)::chr3:24070991-24071003(+) AACAGGATGTAG >mm10_chr3:24077257-24077268(-)::chr3:24077256-24077268(-) aggaggaagcta >mm10_chr3:24154129-24154140(+)::chr3:24154128-24154140(+) CTAAGGAAGGAA >mm10_chr3:24154133-24154144(+)::chr3:24154132-24154144(+) GGAAGGAAGATG >mm10_chr3:24250200-24250211(+)::chr3:24250199-24250211(+) accaggaagcaa >mm10_chr3:24250234-24250245(-)::chr3:24250233-24250245(-) ttaaggaagcca >mm10_chr3:24354157-24354168(+)::chr3:24354156-24354168(+) AGAAGGAAGTAA >mm10_chr3:24487801-24487812(+)::chr3:24487800-24487812(+) acaaggaaatag >mm10_chr3:24622299-24622310(+)::chr3:24622298-24622310(+) tcaaggaaattt >mm10_chr3:24668260-24668271(+)::chr3:24668259-24668271(+) atagggaagtat >mm10_chr3:24678135-24678146(+)::chr3:24678134-24678146(+) TTAAGGAAGGAC >mm10_chr3:24767826-24767837(-)::chr3:24767825-24767837(-) AGAAGGAAGTAG >mm10_chr3:24768324-24768335(-)::chr3:24768323-24768335(-) acaaggaagaaA >mm10_chr3:24777949-24777960(+)::chr3:24777948-24777960(+) ATAAGGAACATA >mm10_chr3:24777963-24777974(-)::chr3:24777962-24777974(-) AGAAGGAAATAG >mm10_chr3:24778031-24778042(-)::chr3:24778030-24778042(-) CCCAGGAAGTAA >mm10_chr3:24911596-24911607(-)::chr3:24911595-24911607(-) GAAAAGAAGTAG >mm10_chr3:25074542-25074553(-)::chr3:25074541-25074553(-) agaaggaagTCC >mm10_chr3:25074558-25074569(-)::chr3:25074557-25074569(-) gaaaggaagaaa >mm10_chr3:25692295-25692306(-)::chr3:25692294-25692306(-) CACAGGAAGTGG >mm10_chr3:25991893-25991904(+)::chr3:25991892-25991904(+) atgaggaaggag >mm10_chr3:26756008-26756019(+)::chr3:26756007-26756019(+) ataaggaaggaa >mm10_chr3:26756012-26756023(+)::chr3:26756011-26756023(+) ggaaggaaggac >mm10_chr3:26756052-26756063(-)::chr3:26756051-26756063(-) aagaggaagaga >mm10_chr3:26803014-26803025(-)::chr3:26803013-26803025(-) AGGAGGAAGAGA >mm10_chr3:26834503-26834514(+)::chr3:26834502-26834514(+) tgaaggaaatga >mm10_chr3:26921173-26921184(+)::chr3:26921172-26921184(+) CAAAGGAAGCAA >mm10_chr3:27071825-27071836(-)::chr3:27071824-27071836(-) TCGATGAAGTAA >mm10_chr3:27071858-27071869(+)::chr3:27071857-27071869(+) ACCAGGAAGGGC >mm10_chr3:27175936-27175947(-)::chr3:27175935-27175947(-) AGCAGGAAGGGA >mm10_chr3:27182860-27182871(-)::chr3:27182859-27182871(-) TGCAGGAAGCAG >mm10_chr3:27182929-27182940(-)::chr3:27182928-27182940(-) GGGAGGAAGTGG >mm10_chr3:27223053-27223064(-)::chr3:27223052-27223064(-) ggaaggaaggag >mm10_chr3:27223057-27223068(-)::chr3:27223056-27223068(-) acaaggaaggaa >mm10_chr3:27223073-27223084(-)::chr3:27223072-27223084(-) gacaggaagaaa >mm10_chr3:27223081-27223092(-)::chr3:27223080-27223092(-) tgaaggaagaca >mm10_chr3:27234554-27234565(+)::chr3:27234553-27234565(+) ATGAGGAAATGA >mm10_chr3:27234565-27234576(+)::chr3:27234564-27234576(+) ATAGGGAAGAAT >mm10_chr3:27234583-27234594(+)::chr3:27234582-27234594(+) AACAGGAAGAAA >mm10_chr3:27234593-27234604(+)::chr3:27234592-27234604(+) AACAGGAAGTGG >mm10_chr3:27260359-27260370(+)::chr3:27260358-27260370(+) ATGAGGAACTAA >mm10_chr3:27270319-27270330(-)::chr3:27270318-27270330(-) CCCAGGAAGTCT >mm10_chr3:27275374-27275385(-)::chr3:27275373-27275385(-) gagaggaagaaa >mm10_chr3:27275401-27275412(-)::chr3:27275400-27275412(-) aagaggaagaag >mm10_chr3:27288867-27288878(+)::chr3:27288866-27288878(+) AGGAGGAAGTCA >mm10_chr3:27288882-27288893(+)::chr3:27288881-27288893(+) TACAGGAAGAAG >mm10_chr3:27288889-27288900(+)::chr3:27288888-27288900(+) AGAAGGAATTTC >mm10_chr3:27302542-27302553(-)::chr3:27302541-27302553(-) ACCAGGAAATAA >mm10_chr3:27302567-27302578(-)::chr3:27302566-27302578(-) AGAGGGAAGTGG >mm10_chr3:27311453-27311464(-)::chr3:27311452-27311464(-) GCAAGGTAGTGA >mm10_chr3:27311461-27311472(-)::chr3:27311460-27311472(-) GCCAGGAAGCAA >mm10_chr3:27311788-27311799(+)::chr3:27311787-27311799(+) GAGAGGAAGTAT >mm10_chr3:27311835-27311846(-)::chr3:27311834-27311846(-) TACAGGAAGCCA >mm10_chr3:27533210-27533221(+)::chr3:27533209-27533221(+) TTAAGGAAGCCC >mm10_chr3:27541950-27541961(+)::chr3:27541949-27541961(+) GGGAGGAAGGTG >mm10_chr3:27584745-27584756(+)::chr3:27584744-27584756(+) CATAGGAAGTGC >mm10_chr3:27600970-27600981(-)::chr3:27600969-27600981(-) AGGAGGAAGTGA >mm10_chr3:27600985-27600996(-)::chr3:27600984-27600996(-) AGGAGGAAGATG >mm10_chr3:27607609-27607620(+)::chr3:27607608-27607620(+) AGCAGGAAGCAG >mm10_chr3:27616688-27616699(+)::chr3:27616687-27616699(+) TCCAGGAAGTGG >mm10_chr3:27625784-27625795(+)::chr3:27625783-27625795(+) AGCAGGAAGCAG >mm10_chr3:27626044-27626055(-)::chr3:27626043-27626055(-) TGAAGGAAGAGC >mm10_chr3:27626103-27626114(+)::chr3:27626102-27626114(+) AGGAGGAAGCTA >mm10_chr3:27643244-27643255(+)::chr3:27643243-27643255(+) AGCAGGAAGGGT >mm10_chr3:27644878-27644889(+)::chr3:27644877-27644889(+) ACAAGGAAGCAC >mm10_chr3:27664256-27664267(-)::chr3:27664255-27664267(-) TAAAGGAACTAA >mm10_chr3:27665530-27665541(-)::chr3:27665529-27665541(-) ACCAGGAAGCTG >mm10_chr3:27667435-27667446(+)::chr3:27667434-27667446(+) ACAAGGAAGATA >mm10_chr3:27667482-27667493(-)::chr3:27667481-27667493(-) CAAAGGAAGCAA >mm10_chr3:27673888-27673899(+)::chr3:27673887-27673899(+) ACAAGGAAGCCT >mm10_chr3:27683520-27683531(+)::chr3:27683519-27683531(+) ACAAGGAAGTGT >mm10_chr3:27689587-27689598(+)::chr3:27689586-27689598(+) AAACggaaggaa >mm10_chr3:27689600-27689611(+)::chr3:27689599-27689611(+) aagaggaagggg >mm10_chr3:27689627-27689638(+)::chr3:27689626-27689638(+) gggaggaagtga >mm10_chr3:27690323-27690334(-)::chr3:27690322-27690334(-) GACAGGAAATGG >mm10_chr3:27692383-27692394(+)::chr3:27692382-27692394(+) AGAAGGAAGTAC >mm10_chr3:27692434-27692445(-)::chr3:27692433-27692445(-) GCCAGGAAGTCA >mm10_chr3:27698482-27698493(+)::chr3:27698481-27698493(+) CAAAGGAAGCAT >mm10_chr3:27704543-27704554(+)::chr3:27704542-27704554(+) ACAAGGAAAAGA >mm10_chr3:27704580-27704591(+)::chr3:27704579-27704591(+) AGGAGGAAATAT >mm10_chr3:27728741-27728752(+)::chr3:27728740-27728752(+) GAAAGGAAATGA >mm10_chr3:27728774-27728785(+)::chr3:27728773-27728785(+) AGGAGGAAGAGG >mm10_chr3:27728798-27728809(+)::chr3:27728797-27728809(+) AGGAGGAAGTAT >mm10_chr3:27739731-27739742(-)::chr3:27739730-27739742(-) ccaaggaagaag >mm10_chr3:27744750-27744761(+)::chr3:27744749-27744761(+) TGGAGGAAGGAA >mm10_chr3:27761161-27761172(+)::chr3:27761160-27761172(+) ACAgggaagtgc >mm10_chr3:27773393-27773404(-)::chr3:27773392-27773404(-) AGGAGGAAGAAG >mm10_chr3:27773400-27773411(-)::chr3:27773399-27773411(-) GGCAGGAAGGAG >mm10_chr3:27794719-27794730(-)::chr3:27794718-27794730(-) AGCAGGAAGAAG >mm10_chr3:27812314-27812325(+)::chr3:27812313-27812325(+) ggaaggaaggct >mm10_chr3:27872896-27872907(+)::chr3:27872895-27872907(+) TGCAGGAAGCAG >mm10_chr3:27882037-27882048(-)::chr3:27882036-27882048(-) AGAAGGAAGGAA >mm10_chr3:27882401-27882412(-)::chr3:27882400-27882412(-) CACAGGAAGTTG >mm10_chr3:27892033-27892044(+)::chr3:27892032-27892044(+) CTAAGGAaatgt >mm10_chr3:27892064-27892075(+)::chr3:27892063-27892075(+) acaaggaagaac >mm10_chr3:27899154-27899165(-)::chr3:27899153-27899165(-) AGAAGGAAACAC >mm10_chr3:27916996-27917007(-)::chr3:27916995-27917007(-) AACAGGAAGCAG >mm10_chr3:27938532-27938543(+)::chr3:27938531-27938543(+) AGAAGAAAGTGT >mm10_chr3:27939179-27939190(+)::chr3:27939178-27939190(+) ACCAGGAAGTGC >mm10_chr3:27971013-27971024(-)::chr3:27971012-27971024(-) GGAAGGAAGTGC >mm10_chr3:27971017-27971028(-)::chr3:27971016-27971028(-) GAGAGGAAGGAA >mm10_chr3:27971072-27971083(-)::chr3:27971071-27971083(-) GTAGGGAAGTAT >mm10_chr3:27977203-27977214(+)::chr3:27977202-27977214(+) GGAAGGAAGGAA >mm10_chr3:27977207-27977218(+)::chr3:27977206-27977218(+) GGAAGGAAATTT >mm10_chr3:27977259-27977270(-)::chr3:27977258-27977270(-) AGAAGGAACTCA >mm10_chr3:27994392-27994403(-)::chr3:27994391-27994403(-) AGCAGGAAGTGC >mm10_chr3:27994405-27994416(-)::chr3:27994404-27994416(-) AGTAGGAAGTGG >mm10_chr3:28016326-28016337(+)::chr3:28016325-28016337(+) GACAGGAAGAGC >mm10_chr3:28016346-28016357(-)::chr3:28016345-28016357(-) ACTAGGAAGGAG >mm10_chr3:28033804-28033815(-)::chr3:28033803-28033815(-) AAAAGGAAGAGG >mm10_chr3:28158325-28158336(+)::chr3:28158324-28158336(+) ggaaggaagaaa >mm10_chr3:28158692-28158703(+)::chr3:28158691-28158703(+) aggaggaagcag >mm10_chr3:28158702-28158713(-)::chr3:28158701-28158713(-) agaaggaagact >mm10_chr3:28171443-28171454(+)::chr3:28171442-28171454(+) aagaggaagtgt >mm10_chr3:28172062-28172073(+)::chr3:28172061-28172073(+) AGCAGGAAGTTG >mm10_chr3:28227254-28227265(+)::chr3:28227253-28227265(+) TCCAGGAAGCGG >mm10_chr3:28227280-28227291(-)::chr3:28227279-28227291(-) AGAAGAAAGTAG >mm10_chr3:28227290-28227301(-)::chr3:28227289-28227301(-) TGAAGGAAGGAG >mm10_chr3:28232960-28232971(+)::chr3:28232959-28232971(+) ACAAGGAAGAAA >mm10_chr3:28232997-28233008(-)::chr3:28232996-28233008(-) AAGAGGAAGAGG >mm10_chr3:28233003-28233014(-)::chr3:28233002-28233014(-) GGAAGGAAGAGG >mm10_chr3:28238292-28238303(+)::chr3:28238291-28238303(+) acaaggaagaga >mm10_chr3:28263216-28263227(+)::chr3:28263215-28263227(+) CCCAGGAAGCGG >mm10_chr3:28281281-28281292(-)::chr3:28281280-28281292(-) aGCAGGAAGTTG >mm10_chr3:28281320-28281331(+)::chr3:28281319-28281331(+) atagggaaatac >mm10_chr3:28301517-28301528(+)::chr3:28301516-28301528(+) TTAAGGAAGTAA >mm10_chr3:28301526-28301537(+)::chr3:28301525-28301537(+) TAAAGGCAGTGG >mm10_chr3:28350231-28350242(-)::chr3:28350230-28350242(-) TCAGGGAAGTGG >mm10_chr3:28350254-28350265(+)::chr3:28350253-28350265(+) AACGGGAAGTGA >mm10_chr3:28369887-28369898(-)::chr3:28369886-28369898(-) ACAAGGAAGCTT >mm10_chr3:28384928-28384939(+)::chr3:28384927-28384939(+) GGAAGGAAGAAG >mm10_chr3:28384935-28384946(+)::chr3:28384934-28384946(+) AGAAGGAAGCAC >mm10_chr3:28405604-28405615(+)::chr3:28405603-28405615(+) AACAGGAAGGAG >mm10_chr3:28406631-28406642(+)::chr3:28406630-28406642(+) aacaggaagcag >mm10_chr3:28406638-28406649(+)::chr3:28406637-28406649(+) agcaggaagcag >mm10_chr3:28406645-28406656(+)::chr3:28406644-28406656(+) agcaggaagcaa >mm10_chr3:28468212-28468223(-)::chr3:28468211-28468223(-) GAGAGGAAGCGG >mm10_chr3:28529577-28529588(+)::chr3:28529576-28529588(+) gtaaggaacttg >mm10_chr3:28555908-28555919(-)::chr3:28555907-28555919(-) GTCAGGAAGAGT >mm10_chr3:28600448-28600459(-)::chr3:28600447-28600459(-) AAAAGAAAGTGG >mm10_chr3:28611784-28611795(+)::chr3:28611783-28611795(+) GAGAGGAAGATG >mm10_chr3:29048198-29048209(+)::chr3:29048197-29048209(+) AGAAGGAAAAGT >mm10_chr3:29239571-29239582(+)::chr3:29239570-29239582(+) CAAAGGAAATTA >mm10_chr3:29377718-29377729(+)::chr3:29377717-29377729(+) AACAGGAAGTGA >mm10_chr3:29377779-29377790(-)::chr3:29377778-29377790(-) AGGAGGAAGGAG >mm10_chr3:29476256-29476267(-)::chr3:29476255-29476267(-) AGCAGGAAGCAG >mm10_chr3:29476336-29476347(-)::chr3:29476335-29476347(-) GCAAGGAAATAA >mm10_chr3:29518149-29518160(-)::chr3:29518148-29518160(-) AAAAGCAAGTGA >mm10_chr3:29621497-29621508(+)::chr3:29621496-29621508(+) GCAGGGAAGTCA >mm10_chr3:29690841-29690852(+)::chr3:29690840-29690852(+) AGAAGGCAGTGA >mm10_chr3:29690864-29690875(-)::chr3:29690863-29690875(-) AAAAGGAACTCC >mm10_chr3:29773959-29773970(+)::chr3:29773958-29773970(+) aacaggaaatgg >mm10_chr3:29876044-29876055(+)::chr3:29876043-29876055(+) ACAAGGAAGGAA >mm10_chr3:29876048-29876059(+)::chr3:29876047-29876059(+) GGAAGGAAGAGA >mm10_chr3:29984139-29984150(+)::chr3:29984138-29984150(+) AGAAGGAAAGAC >mm10_chr3:29984175-29984186(+)::chr3:29984174-29984186(+) CAAAGGAAGGCT >mm10_chr3:29999710-29999721(-)::chr3:29999709-29999721(-) AGGAGGAAGGCA >mm10_chr3:29999753-29999764(-)::chr3:29999752-29999764(-) AGAAGGAAGCGG >mm10_chr3:30048303-30048314(-)::chr3:30048302-30048314(-) atagggaaggaa >mm10_chr3:30048347-30048358(-)::chr3:30048346-30048358(-) agaaggaagcct >mm10_chr3:30105679-30105690(+)::chr3:30105678-30105690(+) TTAAGGAAGAAA >mm10_chr3:30105717-30105728(+)::chr3:30105716-30105728(+) AACAGGAAATGT >mm10_chr3:30139236-30139247(+)::chr3:30139235-30139247(+) TAAAGGAAATAG >mm10_chr3:30164984-30164995(-)::chr3:30164983-30164995(-) ACAGGGAAGTGT >mm10_chr3:30188320-30188331(+)::chr3:30188319-30188331(+) ACAGGGAAGTGG >mm10_chr3:30203969-30203980(+)::chr3:30203968-30203980(+) AACAGGAAGGTA >mm10_chr3:30207596-30207607(+)::chr3:30207595-30207607(+) CTCAGGAAGTAG >mm10_chr3:30245435-30245446(-)::chr3:30245434-30245446(-) ACAAGGAAGCTA >mm10_chr3:30246322-30246333(+)::chr3:30246321-30246333(+) ATGAGGAAGGTA >mm10_chr3:30247206-30247217(+)::chr3:30247205-30247217(+) GGGAGGAAGTAA >mm10_chr3:30273400-30273411(-)::chr3:30273399-30273411(-) TACAGGAAGTCT >mm10_chr3:30273422-30273433(-)::chr3:30273421-30273433(-) AGCAGGAAGATC >mm10_chr3:30273441-30273452(+)::chr3:30273440-30273452(+) GGGAGGAAGTAC >mm10_chr3:30296589-30296600(-)::chr3:30296588-30296600(-) GTGAGGAAGTTG >mm10_chr3:30312300-30312311(+)::chr3:30312299-30312311(+) AGAATGAAGTAG >mm10_chr3:30312315-30312326(-)::chr3:30312314-30312326(-) AGAAGGAAAATG >mm10_chr3:30411188-30411199(+)::chr3:30411187-30411199(+) GTCAGGAAGAAA >mm10_chr3:30418386-30418397(-)::chr3:30418385-30418397(-) aagaggaagatg >mm10_chr3:30418427-30418438(-)::chr3:30418426-30418438(-) gcaaggaaggca >mm10_chr3:30610671-30610682(-)::chr3:30610670-30610682(-) agaaggaaataa >mm10_chr3:30620297-30620308(+)::chr3:30620296-30620308(+) AAAAGGAAGTGT >mm10_chr3:30620375-30620386(+)::chr3:30620374-30620386(+) TGAGGGAAGTTA >mm10_chr3:30727278-30727289(+)::chr3:30727277-30727289(+) ACCAGGAAATAT >mm10_chr3:30728240-30728251(+)::chr3:30728239-30728251(+) ggaaggaaggaa >mm10_chr3:30728244-30728255(+)::chr3:30728243-30728255(+) ggaaggaaatgt >mm10_chr3:30744271-30744282(+)::chr3:30744270-30744282(+) aggaggaagagg >mm10_chr3:30744277-30744288(+)::chr3:30744276-30744288(+) aagaggaagagg >mm10_chr3:30744283-30744294(+)::chr3:30744282-30744294(+) aagaggaAGCag >mm10_chr3:30744330-30744341(+)::chr3:30744329-30744341(+) ctgaggaagtta >mm10_chr3:30792789-30792800(-)::chr3:30792788-30792800(-) CCAGGGAAGTTA >mm10_chr3:30793322-30793333(+)::chr3:30793321-30793333(+) AGAAGGAACCGG >mm10_chr3:30829180-30829191(-)::chr3:30829179-30829191(-) aacagtaagtac >mm10_chr3:30860775-30860786(-)::chr3:30860774-30860786(-) agaaggaagaag >mm10_chr3:30860827-30860838(-)::chr3:30860826-30860838(-) aggaggaagaag >mm10_chr3:30860839-30860850(-)::chr3:30860838-30860850(-) gggaggaagtga >mm10_chr3:30891415-30891426(+)::chr3:30891414-30891426(+) CCAAGGAAGGCT >mm10_chr3:30891438-30891449(-)::chr3:30891437-30891449(-) GCACGGAAGGAC >mm10_chr3:30891450-30891461(-)::chr3:30891449-30891461(-) ATAAGGAAGCTG >mm10_chr3:30892005-30892016(-)::chr3:30892004-30892016(-) aagaggaagcac >mm10_chr3:30999500-30999511(+)::chr3:30999499-30999511(+) TGGAGGAAGAGA >mm10_chr3:31011305-31011316(-)::chr3:31011304-31011316(-) AACAGGAAGAGA >mm10_chr3:31016699-31016710(-)::chr3:31016698-31016710(-) GAAAGGAAACGT >mm10_chr3:31042024-31042035(+)::chr3:31042023-31042035(+) ACCAGGAAATAc >mm10_chr3:31042037-31042048(-)::chr3:31042036-31042048(-) ggaaggaaggta >mm10_chr3:31042041-31042052(-)::chr3:31042040-31042052(-) ggaaggaaggaa >mm10_chr3:31042045-31042056(-)::chr3:31042044-31042056(-) ggaaggaaggaa >mm10_chr3:31042049-31042060(-)::chr3:31042048-31042060(-) ggaaggaaggaa >mm10_chr3:31042053-31042064(-)::chr3:31042052-31042064(-) ggaaggaaggaa >mm10_chr3:31042057-31042068(-)::chr3:31042056-31042068(-) Agaaggaaggaa >mm10_chr3:31067087-31067098(+)::chr3:31067086-31067098(+) GCAAGGAAGTGT >mm10_chr3:31067115-31067126(-)::chr3:31067114-31067126(-) ACCAGGAAGTAA >mm10_chr3:31067126-31067137(-)::chr3:31067125-31067137(-) ACCAGGAAGTCA >mm10_chr3:31094635-31094646(+)::chr3:31094634-31094646(+) TCGAGGAAGGAA >mm10_chr3:31094639-31094650(+)::chr3:31094638-31094650(+) GGAAGGAAGGAG >mm10_chr3:31100077-31100088(+)::chr3:31100076-31100088(+) TGAAGGAAGTCA >mm10_chr3:31261895-31261906(-)::chr3:31261894-31261906(-) GAAAGGAAATAT >mm10_chr3:31261931-31261942(+)::chr3:31261930-31261942(+) AAAAGGAAGCGA >mm10_chr3:31435299-31435310(+)::chr3:31435298-31435310(+) AGTAGGAAATGA >mm10_chr3:31435331-31435342(+)::chr3:31435330-31435342(+) TCAGGGAAGTTG >mm10_chr3:31887309-31887320(-)::chr3:31887308-31887320(-) CCAAGGAAGTTC >mm10_chr3:31962707-31962718(-)::chr3:31962706-31962718(-) TTCAGGAAGTAC >mm10_chr3:32032888-32032899(-)::chr3:32032887-32032899(-) GGAAGGAAGCTA >mm10_chr3:32038246-32038257(+)::chr3:32038245-32038257(+) aggaggaagaaa >mm10_chr3:32038267-32038278(+)::chr3:32038266-32038278(+) gggaggaaggag >mm10_chr3:32038277-32038288(+)::chr3:32038276-32038288(+) aggaggaaggag >mm10_chr3:32060576-32060587(+)::chr3:32060575-32060587(+) AGAAGGAAGCAT >mm10_chr3:32070517-32070528(-)::chr3:32070516-32070528(-) TGAGGGAAGTAC >mm10_chr3:32223639-32223650(+)::chr3:32223638-32223650(+) GATAGGAAGTCA >mm10_chr3:32294476-32294487(-)::chr3:32294475-32294487(-) ACCAGGAAGCAA >mm10_chr3:32337898-32337909(+)::chr3:32337897-32337909(+) ACGAGGAAGTCA >mm10_chr3:32365653-32365664(+)::chr3:32365652-32365664(+) TGCAGGAAGTGA >mm10_chr3:32370215-32370226(+)::chr3:32370214-32370226(+) ATAGggaagagg >mm10_chr3:32370242-32370253(+)::chr3:32370241-32370253(+) gggaggaagaag >mm10_chr3:32389194-32389205(+)::chr3:32389193-32389205(+) agggggaagtaa >mm10_chr3:32407060-32407071(-)::chr3:32407059-32407071(-) tggaggaagaag >mm10_chr3:32407092-32407103(-)::chr3:32407091-32407103(-) ggaaggaagggg >mm10_chr3:32407096-32407107(-)::chr3:32407095-32407107(-) acaaggaaggaa >mm10_chr3:32409057-32409068(-)::chr3:32409056-32409068(-) tccaggaagtcc >mm10_chr3:32423219-32423230(+)::chr3:32423218-32423230(+) AACAGGAAGTCC >mm10_chr3:32429099-32429110(+)::chr3:32429098-32429110(+) AAAAGGAAATCT >mm10_chr3:32529436-32529447(+)::chr3:32529435-32529447(+) CGCCGGAAGTAG >mm10_chr3:32580193-32580204(+)::chr3:32580192-32580204(+) aggaggaagaag >mm10_chr3:32580205-32580216(+)::chr3:32580204-32580216(+) agaaggaagaTC >mm10_chr3:32591022-32591033(-)::chr3:32591021-32591033(-) TCGAGGAAGAGG >mm10_chr3:32591069-32591080(+)::chr3:32591068-32591080(+) ATCAGGAAGGAA >mm10_chr3:32591073-32591084(+)::chr3:32591072-32591084(+) GGAAGGAAGAAG >mm10_chr3:32603088-32603099(-)::chr3:32603087-32603099(-) AGGAGGAAGGAG >mm10_chr3:32611955-32611966(+)::chr3:32611954-32611966(+) AAAAGGAAGCTC >mm10_chr3:32637661-32637672(-)::chr3:32637660-32637672(-) aggaggaagagg >mm10_chr3:32637679-32637690(-)::chr3:32637678-32637690(-) aggaggaagaag >mm10_chr3:32637696-32637707(-)::chr3:32637695-32637707(-) agaaggaagaga >mm10_chr3:32637708-32637719(-)::chr3:32637707-32637719(-) ATAGggaagagg >mm10_chr3:32638322-32638333(-)::chr3:32638321-32638333(-) CTCAGGAAGTTA >mm10_chr3:32655909-32655920(-)::chr3:32655908-32655920(-) GGAAGGAAGTTG >mm10_chr3:32655913-32655924(-)::chr3:32655912-32655924(-) GACAGGAAGGAA >mm10_chr3:32669374-32669385(+)::chr3:32669373-32669385(+) GGAAGGAAGCAG >mm10_chr3:32708941-32708952(-)::chr3:32708940-32708952(-) AAACGGAAGCGA >mm10_chr3:32737055-32737066(-)::chr3:32737054-32737066(-) GGAAGGAAGTGG >mm10_chr3:33050140-33050151(+)::chr3:33050139-33050151(+) aggaggaagaga >mm10_chr3:33050152-33050163(+)::chr3:33050151-33050163(+) aggaggaagaag >mm10_chr3:33050176-33050187(+)::chr3:33050175-33050187(+) aagaggaagagg >mm10_chr3:33050182-33050193(+)::chr3:33050181-33050193(+) aagaggaagagg >mm10_chr3:33308386-33308397(-)::chr3:33308385-33308397(-) CGTAGGAAGGGG >mm10_chr3:33659471-33659482(+)::chr3:33659470-33659482(+) CCAAGGAAGATG >mm10_chr3:33800128-33800139(-)::chr3:33800127-33800139(-) CGCAGGAAGCGC >mm10_chr3:33812755-33812766(-)::chr3:33812754-33812766(-) AGAGGGAAATAA >mm10_chr3:33844316-33844327(+)::chr3:33844315-33844327(+) AACAGGAAGCAC >mm10_chr3:33844343-33844354(-)::chr3:33844342-33844354(-) CAAAGGAAGTTC >mm10_chr3:34019787-34019798(-)::chr3:34019786-34019798(-) GTCAGGAAGCGC >mm10_chr3:34285843-34285854(-)::chr3:34285842-34285854(-) agaaggaagctc >mm10_chr3:34443752-34443763(-)::chr3:34443751-34443763(-) GAAATGAAGTAA >mm10_chr3:34445508-34445519(-)::chr3:34445507-34445519(-) ACCGGGAAGTCC >mm10_chr3:34458024-34458035(+)::chr3:34458023-34458035(+) ATGAGGAAGAAG >mm10_chr3:34458031-34458042(+)::chr3:34458030-34458042(+) AGAAGGAAGTGG >mm10_chr3:34692545-34692556(-)::chr3:34692544-34692556(-) GTAAGGACGACG >mm10_chr3:34692581-34692592(+)::chr3:34692580-34692592(+) TCAAGGAAGAGG >mm10_chr3:34734984-34734995(-)::chr3:34734983-34734995(-) TTAAGGAAGTGA >mm10_chr3:34735073-34735084(-)::chr3:34735072-34735084(-) agaaggaagagc >mm10_chr3:34739888-34739899(-)::chr3:34739887-34739899(-) ACAAGGAAGTGC >mm10_chr3:34739895-34739906(-)::chr3:34739894-34739906(-) ATAAGGAACAAG >mm10_chr3:34755179-34755190(-)::chr3:34755178-34755190(-) GAAAGGAAGTCC >mm10_chr3:34757677-34757688(-)::chr3:34757676-34757688(-) ACAAGGAAATTA >mm10_chr3:34783143-34783154(-)::chr3:34783142-34783154(-) gggaggaagTTC >mm10_chr3:34783153-34783164(-)::chr3:34783152-34783164(-) ggaaggaagagg >mm10_chr3:34783157-34783168(-)::chr3:34783156-34783168(-) acaaggaaggaa >mm10_chr3:34783169-34783180(-)::chr3:34783168-34783180(-) gtgaggaaggaa >mm10_chr3:34840553-34840564(+)::chr3:34840552-34840564(+) agaagaaagtgt >mm10_chr3:34906110-34906121(-)::chr3:34906109-34906121(-) TGAAAGAAGTAC >mm10_chr3:34906129-34906140(+)::chr3:34906128-34906140(+) ACCAGGAAATCC >mm10_chr3:35059657-35059668(+)::chr3:35059656-35059668(+) AAGAGGAAGCAT >mm10_chr3:35086829-35086840(+)::chr3:35086828-35086840(+) TACAGGAAGTAG >mm10_chr3:35086836-35086847(+)::chr3:35086835-35086847(+) AGTAGGAAGCTA >mm10_chr3:35133797-35133808(-)::chr3:35133796-35133808(-) ACAAGGAAAAAC >mm10_chr3:35145854-35145865(+)::chr3:35145853-35145865(+) GGAAGGAAGGGA >mm10_chr3:35149392-35149403(+)::chr3:35149391-35149403(+) TGCAGGAAGTCT >mm10_chr3:35149425-35149436(+)::chr3:35149424-35149436(+) CAAAGGAAGAGC >mm10_chr3:35152419-35152430(-)::chr3:35152418-35152430(-) CCGAGGAAATGG >mm10_chr3:35169519-35169530(-)::chr3:35169518-35169530(-) GTAAGGAAGCCC >mm10_chr3:35169542-35169553(-)::chr3:35169541-35169553(-) AGCAGGAAGAAA >mm10_chr3:35225254-35225265(+)::chr3:35225253-35225265(+) aggaggaagtgt >mm10_chr3:35225305-35225316(+)::chr3:35225304-35225316(+) atgaggaagGAG >mm10_chr3:35280219-35280230(-)::chr3:35280218-35280230(-) CTCAGGAAGTGG >mm10_chr3:35313580-35313591(+)::chr3:35313579-35313591(+) CAAAGGAAGAGT >mm10_chr3:35313598-35313609(+)::chr3:35313597-35313609(+) TATAGGAAGGAA >mm10_chr3:35313602-35313613(+)::chr3:35313601-35313613(+) GGAAGGAAGGCA >mm10_chr3:35693275-35693286(+)::chr3:35693274-35693286(+) aacaggaagttc >mm10_chr3:35722019-35722030(-)::chr3:35722018-35722030(-) TAGAGGAAGAAG >mm10_chr3:35753943-35753954(+)::chr3:35753942-35753954(+) ACACGGAAGGGT >mm10_chr3:35754683-35754694(+)::chr3:35754682-35754694(+) AGGAGGAAGGCG >mm10_chr3:35909170-35909181(+)::chr3:35909169-35909181(+) AGCAGGAAGTTT >mm10_chr3:35909180-35909191(-)::chr3:35909179-35909191(-) GTGAGGAAGAAA >mm10_chr3:35909191-35909202(-)::chr3:35909190-35909202(-) GGAAGGACGTGG >mm10_chr3:35909195-35909206(-)::chr3:35909194-35909206(-) TGGAGGAAGGAC >mm10_chr3:35930029-35930040(-)::chr3:35930028-35930040(-) GACGGGAAGTAA >mm10_chr3:35933294-35933305(+)::chr3:35933293-35933305(+) AGAAGGAAATAC >mm10_chr3:35933321-35933332(+)::chr3:35933320-35933332(+) GAGAGGAAATAA >mm10_chr3:35942367-35942378(+)::chr3:35942366-35942378(+) gtgaggaagatg >mm10_chr3:35942418-35942429(-)::chr3:35942417-35942429(-) agaaggaagctt >mm10_chr3:35969369-35969380(-)::chr3:35969368-35969380(-) GGAAGGAAGAGA >mm10_chr3:36011606-36011617(+)::chr3:36011605-36011617(+) ATGAGGAAGAGC >mm10_chr3:36044306-36044317(+)::chr3:36044305-36044317(+) gtcaggaagaat >mm10_chr3:36065976-36065987(+)::chr3:36065975-36065987(+) ATCAGGAAGTGC >mm10_chr3:36067787-36067798(-)::chr3:36067786-36067798(-) tccaggaagtag >mm10_chr3:36140424-36140435(-)::chr3:36140423-36140435(-) accaggatgtaa >mm10_chr3:36171006-36171017(+)::chr3:36171005-36171017(+) ATAAGGAAAAGG >mm10_chr3:36171012-36171023(+)::chr3:36171011-36171023(+) AAAAGGAAGAGC >mm10_chr3:36194226-36194237(+)::chr3:36194225-36194237(+) ATAAGGAAAAGA >mm10_chr3:36449451-36449462(+)::chr3:36449450-36449462(+) CACAGGAAATAA >mm10_chr3:36449467-36449478(+)::chr3:36449466-36449478(+) ATGAGGAAATGG >mm10_chr3:36533372-36533383(+)::chr3:36533371-36533383(+) ACCAGGAAGCAC >mm10_chr3:36552718-36552729(-)::chr3:36552717-36552729(-) AGCAGGAAGCGG >mm10_chr3:36614095-36614106(-)::chr3:36614094-36614106(-) GGGAGGAAGAgg >mm10_chr3:36795038-36795049(-)::chr3:36795037-36795049(-) TGGAGGAAGGAG >mm10_chr3:36797193-36797204(-)::chr3:36797192-36797204(-) TCCAGGAAGCCG >mm10_chr3:36797234-36797245(+)::chr3:36797233-36797245(+) GACAGGAAGGTT >mm10_chr3:36800760-36800771(-)::chr3:36800759-36800771(-) TAGAGGAAATGG >mm10_chr3:36820804-36820815(+)::chr3:36820803-36820815(+) AACAGGAAGATT >mm10_chr3:36983938-36983949(+)::chr3:36983937-36983949(+) aagaggatgtcg >mm10_chr3:37145286-37145297(-)::chr3:37145285-37145297(-) TAGAGGAAGACA >mm10_chr3:37201609-37201620(+)::chr3:37201608-37201620(+) TGCAGGAAGGTG >mm10_chr3:37204146-37204157(+)::chr3:37204145-37204157(+) AAGAGGAAGTAA >mm10_chr3:37204171-37204182(+)::chr3:37204170-37204182(+) GAAGGGAAGTCG >mm10_chr3:37328696-37328707(-)::chr3:37328695-37328707(-) AGCAGGAAACGA >mm10_chr3:37328703-37328714(-)::chr3:37328702-37328714(-) GGCAGGAAGCAG >mm10_chr3:37420268-37420279(+)::chr3:37420267-37420279(+) ACCCGGAAGTTT >mm10_chr3:37487195-37487206(+)::chr3:37487194-37487206(+) ATCAGGAAGGAA >mm10_chr3:37487199-37487210(+)::chr3:37487198-37487210(+) GGAAGGAAGTCA >mm10_chr3:37487211-37487222(+)::chr3:37487210-37487222(+) GTGAGGAAGAAG >mm10_chr3:37501080-37501091(-)::chr3:37501079-37501091(-) CCAAGGAAGAGG >mm10_chr3:37502676-37502687(+)::chr3:37502675-37502687(+) AACAGGAAGTGT >mm10_chr3:37506715-37506726(-)::chr3:37506714-37506726(-) AGCAGGAAGACA >mm10_chr3:37556756-37556767(-)::chr3:37556755-37556767(-) GGAAGGAAGCCA >mm10_chr3:37556998-37557009(-)::chr3:37556997-37557009(-) AAGAGGAAGTGT >mm10_chr3:37654094-37654105(+)::chr3:37654093-37654105(+) GTGAGGAAGTTC >mm10_chr3:37668004-37668015(+)::chr3:37668003-37668015(+) TGAAGGAAGGAA >mm10_chr3:37668008-37668019(+)::chr3:37668007-37668019(+) GGAAGGAAGCTA >mm10_chr3:37685450-37685461(+)::chr3:37685449-37685461(+) aggaggaagaag >mm10_chr3:37685457-37685468(+)::chr3:37685456-37685468(+) agaaggaagaag >mm10_chr3:37685467-37685478(+)::chr3:37685466-37685478(+) agaaggaagaag >mm10_chr3:37705615-37705626(-)::chr3:37705614-37705626(-) ACAAGGAAGCAG >mm10_chr3:37705643-37705654(-)::chr3:37705642-37705654(-) GGAAGGAAGTTC >mm10_chr3:37705647-37705658(-)::chr3:37705646-37705658(-) ACCAGGAAGGAA >mm10_chr3:37706572-37706583(-)::chr3:37706571-37706583(-) ATGAGGAAGTAG >mm10_chr3:37723622-37723633(-)::chr3:37723621-37723633(-) AGGAGGAAGAAA >mm10_chr3:37747949-37747960(+)::chr3:37747948-37747960(+) GCAAGGAACTGG >mm10_chr3:37753286-37753297(-)::chr3:37753285-37753297(-) CGAAGGAAGGTG >mm10_chr3:37753302-37753313(-)::chr3:37753301-37753313(-) TGCAGGAAGCAC >mm10_chr3:37761141-37761152(+)::chr3:37761140-37761152(+) GACAGGAAGTAA >mm10_chr3:37761145-37761156(+)::chr3:37761144-37761156(+) GGAAGTAAGTGG >mm10_chr3:37769421-37769432(+)::chr3:37769420-37769432(+) GGAAGGAAGTGC >mm10_chr3:37788278-37788289(+)::chr3:37788277-37788289(+) agaacgaagttg >mm10_chr3:37788298-37788309(+)::chr3:37788297-37788309(+) ggaaggaaggaa >mm10_chr3:37788302-37788313(+)::chr3:37788301-37788313(+) ggaaggaagaaa >mm10_chr3:37788320-37788331(+)::chr3:37788319-37788331(+) aagaggaagaca >mm10_chr3:37788332-37788343(+)::chr3:37788331-37788343(+) gggaggaagCAG >mm10_chr3:37788343-37788354(+)::chr3:37788342-37788354(+) GTAAGGAAGAAC >mm10_chr3:37805923-37805934(+)::chr3:37805922-37805934(+) TGCAGGAAGATG >mm10_chr3:37805952-37805963(+)::chr3:37805951-37805963(+) GACAGGAAGCAG >mm10_chr3:37822580-37822591(-)::chr3:37822579-37822591(-) ATAAGGAACAGA >mm10_chr3:37907914-37907925(+)::chr3:37907913-37907925(+) GCAAGGAAGGAA >mm10_chr3:37935516-37935527(-)::chr3:37935515-37935527(-) AACAGGAAGCTG >mm10_chr3:37963230-37963241(+)::chr3:37963229-37963241(+) GGCAGGAAGAAG >mm10_chr3:37963282-37963293(+)::chr3:37963281-37963293(+) ATGAGGAAGACA >mm10_chr3:37983486-37983497(+)::chr3:37983485-37983497(+) aggaggaagctg >mm10_chr3:38143491-38143502(-)::chr3:38143490-38143502(-) AGCAGGAAGGGC >mm10_chr3:38158376-38158387(+)::chr3:38158375-38158387(+) GTAGGGAAGTAC >mm10_chr3:38191907-38191918(-)::chr3:38191906-38191918(-) TGAAGGAAGCCT >mm10_chr3:38253978-38253989(+)::chr3:38253977-38253989(+) GGTAGGAAGTAG >mm10_chr3:38253985-38253996(+)::chr3:38253984-38253996(+) AGTAGGAAGTAG >mm10_chr3:38283810-38283821(-)::chr3:38283809-38283821(-) ATGAGGAAGTGG >mm10_chr3:38299332-38299343(+)::chr3:38299331-38299343(+) TACAGGAAGAGC >mm10_chr3:38330392-38330403(-)::chr3:38330391-38330403(-) ggagggaaggcg >mm10_chr3:38371860-38371871(-)::chr3:38371859-38371871(-) GAAAGGAAGGCA >mm10_chr3:38371907-38371918(-)::chr3:38371906-38371918(-) AGCAGGAAGCAA >mm10_chr3:38434660-38434671(+)::chr3:38434659-38434671(+) AGAAGGAAAAAG >mm10_chr3:38533959-38533970(-)::chr3:38533958-38533970(-) AGGAGGAAGTGG >mm10_chr3:38575953-38575964(-)::chr3:38575952-38575964(-) aggaggaaggag >mm10_chr3:38575960-38575971(-)::chr3:38575959-38575971(-) aggaggaaggag >mm10_chr3:38575967-38575978(-)::chr3:38575966-38575978(-) aggaggaaggag >mm10_chr3:38751701-38751712(-)::chr3:38751700-38751712(-) ACAAGGAAATTA >mm10_chr3:38919003-38919014(+)::chr3:38919002-38919014(+) AGCAGGAAGACT >mm10_chr3:38919046-38919057(+)::chr3:38919045-38919057(+) GGAAGGAAGTGT >mm10_chr3:38997650-38997661(-)::chr3:38997649-38997661(-) TGAAGGAAGCAC >mm10_chr3:39206219-39206230(+)::chr3:39206218-39206230(+) TAAAGGAAGATA >mm10_chr3:39220449-39220460(-)::chr3:39220448-39220460(-) ACCAGGAAATGC >mm10_chr3:39392441-39392452(+)::chr3:39392440-39392452(+) AGAAGGAACCGA >mm10_chr3:39462178-39462189(-)::chr3:39462177-39462189(-) tggaggaagtgt >mm10_chr3:39579809-39579820(-)::chr3:39579808-39579820(-) GGGAGGAAGTGA >mm10_chr3:39579851-39579862(-)::chr3:39579850-39579862(-) CTCAGGAAGTAG >mm10_chr3:39579866-39579877(-)::chr3:39579865-39579877(-) GTGAGGAAGTAA >mm10_chr3:39579906-39579917(-)::chr3:39579905-39579917(-) GAGAGGAAGTGA >mm10_chr3:39579927-39579938(-)::chr3:39579926-39579938(-) AGAAGGAAAAAA >mm10_chr3:39689334-39689345(-)::chr3:39689333-39689345(-) TAAAGGCAGTAT >mm10_chr3:39719379-39719390(+)::chr3:39719378-39719390(+) TTAAGAAAGTAT >mm10_chr3:39900432-39900443(+)::chr3:39900431-39900443(+) AGCAGGAAGCAC >mm10_chr3:39926178-39926189(-)::chr3:39926177-39926189(-) TGCAGGAAATGT >mm10_chr3:39926201-39926212(+)::chr3:39926200-39926212(+) AGGAGGAAGTGC >mm10_chr3:40159361-40159372(-)::chr3:40159360-40159372(-) GATAGGAAGTGG >mm10_chr3:40343430-40343441(-)::chr3:40343429-40343441(-) ACAGGGAAGTTC >mm10_chr3:40368550-40368561(-)::chr3:40368549-40368561(-) gtcaggaagtca >mm10_chr3:40368571-40368582(-)::chr3:40368570-40368582(-) ccaaggaagaat >mm10_chr3:40425184-40425195(-)::chr3:40425183-40425195(-) TTAAGGAAGAGG >mm10_chr3:40686938-40686949(+)::chr3:40686937-40686949(+) TGCAGGAAGACG >mm10_chr3:40694672-40694683(-)::chr3:40694671-40694683(-) agcaggaagtag >mm10_chr3:40705228-40705239(+)::chr3:40705227-40705239(+) acagggaagtga >mm10_chr3:40705507-40705518(-)::chr3:40705506-40705518(-) ACGAGAAAGTAC >mm10_chr3:40950770-40950781(+)::chr3:40950769-40950781(+) GACAGGAAGAGG >mm10_chr3:40956635-40956646(-)::chr3:40956634-40956646(-) aagaggaagaaa >mm10_chr3:41026693-41026704(+)::chr3:41026692-41026704(+) agtaggaagagg >mm10_chr3:41026699-41026710(+)::chr3:41026698-41026710(+) aagaggaagagg >mm10_chr3:41026705-41026716(+)::chr3:41026704-41026716(+) aagaggaagagg >mm10_chr3:41085694-41085705(-)::chr3:41085693-41085705(-) GCGAGGAAGCAG >mm10_chr3:41085717-41085728(-)::chr3:41085716-41085728(-) AGGAGGAAATGT >mm10_chr3:41108490-41108501(-)::chr3:41108489-41108501(-) GCAAGGAAGTAA >mm10_chr3:41108552-41108563(-)::chr3:41108551-41108563(-) AACAGGAAGCTG >mm10_chr3:41204476-41204487(-)::chr3:41204475-41204487(-) AGAAGAAAGTAT >mm10_chr3:41204519-41204530(+)::chr3:41204518-41204530(+) aggaggaagaga >mm10_chr3:41204531-41204542(+)::chr3:41204530-41204542(+) aggaggaagagg >mm10_chr3:41204537-41204548(+)::chr3:41204536-41204548(+) aagaggaagaag >mm10_chr3:41290729-41290740(-)::chr3:41290728-41290740(-) AGAAGGAAGTTT >mm10_chr3:41290752-41290763(-)::chr3:41290751-41290763(-) GGGAGGAAGAAC >mm10_chr3:41301477-41301488(-)::chr3:41301476-41301488(-) ACCAGGAAGATT >mm10_chr3:41312430-41312441(+)::chr3:41312429-41312441(+) TCAAGGAAGAAT >mm10_chr3:41312477-41312488(+)::chr3:41312476-41312488(+) AGGAGGAAGTTG >mm10_chr3:41317494-41317505(-)::chr3:41317493-41317505(-) aagaggaaggag >mm10_chr3:41318742-41318753(-)::chr3:41318741-41318753(-) TAAAGGAAATTA >mm10_chr3:41319015-41319026(-)::chr3:41319014-41319026(-) aaaaggaagagg >mm10_chr3:41319033-41319044(-)::chr3:41319032-41319044(-) aggaggaagagg >mm10_chr3:41319043-41319054(-)::chr3:41319042-41319054(-) gagagGAaggag >mm10_chr3:41326148-41326159(-)::chr3:41326147-41326159(-) AAGAGGAAGGAA >mm10_chr3:41326863-41326874(-)::chr3:41326862-41326874(-) agcaggaagatc >mm10_chr3:41326876-41326887(-)::chr3:41326875-41326887(-) ttcaggaagtag >mm10_chr3:41375333-41375344(+)::chr3:41375332-41375344(+) ATAAGGAAGTCC >mm10_chr3:41375351-41375362(-)::chr3:41375350-41375362(-) CCAAGGAAGAGC >mm10_chr3:41377103-41377114(+)::chr3:41377102-41377114(+) AGCAGGAAGTGC >mm10_chr3:41402053-41402064(+)::chr3:41402052-41402064(+) AATAGGAAGTTC >mm10_chr3:41402082-41402093(-)::chr3:41402081-41402093(-) AGAAGGAAGTTC >mm10_chr3:41402116-41402127(-)::chr3:41402115-41402127(-) TCTAGGAAGTTG >mm10_chr3:41405032-41405043(-)::chr3:41405031-41405043(-) ATGAGGAAATGC >mm10_chr3:41409930-41409941(-)::chr3:41409929-41409941(-) AGAAGGAAGTCC >mm10_chr3:41412843-41412854(-)::chr3:41412842-41412854(-) AAGAGGAAGCAA >mm10_chr3:41463136-41463147(-)::chr3:41463135-41463147(-) ATTAGGAAGTAC >mm10_chr3:41470300-41470311(-)::chr3:41470299-41470311(-) taaagcaagtta >mm10_chr3:41470309-41470320(+)::chr3:41470308-41470320(+) ttacggaagaaa >mm10_chr3:41509579-41509590(+)::chr3:41509578-41509590(+) AGGAGGAAGTAA >mm10_chr3:41510802-41510813(-)::chr3:41510801-41510813(-) GGAAGGAAGGGG >mm10_chr3:41510861-41510872(+)::chr3:41510860-41510872(+) AGACGGAAATCA >mm10_chr3:41530900-41530911(-)::chr3:41530899-41530911(-) GGGAGGAAGAAA >mm10_chr3:41531692-41531703(+)::chr3:41531691-41531703(+) GCAAGGAAGAAA >mm10_chr3:41531696-41531707(+)::chr3:41531695-41531707(+) GGAAGAAAGTAG >mm10_chr3:41532201-41532212(-)::chr3:41532200-41532212(-) TCACGGAAGTCC >mm10_chr3:41565181-41565192(-)::chr3:41565180-41565192(-) ACAAGGAACCGC >mm10_chr3:41571858-41571869(+)::chr3:41571857-41571869(+) AGGAGGAAGTGT >mm10_chr3:41582534-41582545(+)::chr3:41582533-41582545(+) GGGAGGAAGCGG >mm10_chr3:41582541-41582552(+)::chr3:41582540-41582552(+) AGCGGGAAGCGG >mm10_chr3:41582548-41582559(+)::chr3:41582547-41582559(+) AGCGGGAAGTAG >mm10_chr3:41582561-41582572(+)::chr3:41582560-41582572(+) AGGAGGAAGTTC >mm10_chr3:41582594-41582605(-)::chr3:41582593-41582605(-) CCCAGGAAGTAA >mm10_chr3:41587010-41587021(-)::chr3:41587009-41587021(-) AGAAGGAAGTGT >mm10_chr3:41596058-41596069(+)::chr3:41596057-41596069(+) AGAAGGAAGTGT >mm10_chr3:41596115-41596126(-)::chr3:41596114-41596126(-) ACAAGGAAATGT >mm10_chr3:41601584-41601595(+)::chr3:41601583-41601595(+) AGGAGGAAGGTT >mm10_chr3:41603255-41603266(-)::chr3:41603254-41603266(-) AGCGGGAAGTAG >mm10_chr3:41603267-41603278(-)::chr3:41603266-41603278(-) AGCAGGAAGCCG >mm10_chr3:41621172-41621183(-)::chr3:41621171-41621183(-) ACGGGGAAGTAA >mm10_chr3:41676773-41676784(-)::chr3:41676772-41676784(-) atgaggaagtgt >mm10_chr3:41855781-41855792(+)::chr3:41855780-41855792(+) TCAAGGACGTGT >mm10_chr3:41857233-41857244(-)::chr3:41857232-41857244(-) GCAAGGATGTGA >mm10_chr3:41922256-41922267(-)::chr3:41922255-41922267(-) agaaggaactat >mm10_chr3:41943227-41943238(-)::chr3:41943226-41943238(-) agaaggaagtgg >mm10_chr3:42235802-42235813(+)::chr3:42235801-42235813(+) TTAGGGAAGTTC >mm10_chr3:42235826-42235837(+)::chr3:42235825-42235837(+) AAGAGGAAGCAC >mm10_chr3:42361584-42361595(+)::chr3:42361583-42361595(+) GGGAGGAAGAGA >mm10_chr3:42361619-42361630(+)::chr3:42361618-42361630(+) AGCAGGAAGTTA >mm10_chr3:42389687-42389698(+)::chr3:42389686-42389698(+) tcaaggaagtga >mm10_chr3:42400800-42400811(-)::chr3:42400799-42400811(-) ACCAGGAAGAGG >mm10_chr3:42449685-42449696(-)::chr3:42449684-42449696(-) ACCAGGAAGTTG >mm10_chr3:42790590-42790601(+)::chr3:42790589-42790601(+) cctaggaagtat >mm10_chr3:42838897-42838908(-)::chr3:42838896-42838908(-) CATAGGAAGTCA >mm10_chr3:45145439-45145450(+)::chr3:45145438-45145450(+) TTGAGGAAGTAA >mm10_chr3:45204619-45204630(-)::chr3:45204618-45204630(-) TGAATGAAGTAC >mm10_chr3:45357396-45357407(-)::chr3:45357395-45357407(-) gtgaggaagagg >mm10_chr3:45363756-45363767(+)::chr3:45363755-45363767(+) ACAAGGAAGGGG >mm10_chr3:45378807-45378818(-)::chr3:45378806-45378818(-) aggaggaagatg >mm10_chr3:45378825-45378836(-)::chr3:45378824-45378836(-) aggaggaagaag >mm10_chr3:45378855-45378866(+)::chr3:45378854-45378866(+) AGCAGGAAGACA >mm10_chr3:45637404-45637415(-)::chr3:45637403-45637415(-) tgaaggaagatg >mm10_chr3:45644434-45644445(-)::chr3:45644433-45644445(-) aagaggaagagg >mm10_chr3:45644440-45644451(-)::chr3:45644439-45644451(-) aagaggaagagg >mm10_chr3:45644452-45644463(-)::chr3:45644451-45644463(-) agaaggaagaaa >mm10_chr3:45644468-45644479(-)::chr3:45644467-45644479(-) ATgaggaagaag >mm10_chr3:45855501-45855512(+)::chr3:45855500-45855512(+) gtagggaagtct >mm10_chr3:46369368-46369379(+)::chr3:46369367-46369379(+) AGCAGGAAGAGG >mm10_chr3:46448217-46448228(-)::chr3:46448216-46448228(-) AAAAGCAAGTGA >mm10_chr3:46964515-46964526(-)::chr3:46964514-46964526(-) ccaaggaagcca >mm10_chr3:47131476-47131487(-)::chr3:47131475-47131487(-) ACAAGGAAGAGT >mm10_chr3:48079527-48079538(+)::chr3:48079526-48079538(+) AGCAGGAAATGC >mm10_chr3:48080785-48080796(+)::chr3:48080784-48080796(+) ATGAGGAAATGG >mm10_chr3:48429949-48429960(-)::chr3:48429948-48429960(-) GTGAGGAAGTTG >mm10_chr3:48852960-48852971(+)::chr3:48852959-48852971(+) ACCAGGAAGCTG >mm10_chr3:49185662-49185673(-)::chr3:49185661-49185673(-) ACCAGGAAGAAA >mm10_chr3:49659336-49659347(-)::chr3:49659335-49659347(-) AGAAGGAAAGAG >mm10_chr3:49659358-49659369(-)::chr3:49659357-49659369(-) GGGAGGAAGTAA >mm10_chr3:49659376-49659387(-)::chr3:49659375-49659387(-) AAGAGGAAGAAA >mm10_chr3:49686735-49686746(-)::chr3:49686734-49686746(-) GGAAGGAAGCCA >mm10_chr3:49686739-49686750(-)::chr3:49686738-49686750(-) GGAAGGAAGGAA >mm10_chr3:49691066-49691077(+)::chr3:49691065-49691077(+) GTAAGGAAGGAG >mm10_chr3:49691107-49691118(+)::chr3:49691106-49691118(+) ACAAGGAAAAAG >mm10_chr3:49691107-49691118(+)::chr3:49691106-49691118(+) ACAAGGAAAAAG >mm10_chr3:49841014-49841025(-)::chr3:49841013-49841025(-) ACCAGGAAGTTC >mm10_chr3:50199635-50199646(+)::chr3:50199634-50199646(+) aaagggaagttg >mm10_chr3:50199687-50199698(+)::chr3:50199686-50199698(+) agaaggaaaagg >mm10_chr3:50199693-50199704(+)::chr3:50199692-50199704(+) aaaaggaagagt >mm10_chr3:50199711-50199722(+)::chr3:50199710-50199722(+) aggaggaagagg >mm10_chr3:50207140-50207151(-)::chr3:50207139-50207151(-) AGCAGGAAGTGA >mm10_chr3:50354008-50354019(-)::chr3:50354007-50354019(-) ACCAGGAAGAAG >mm10_chr3:50382549-50382560(-)::chr3:50382548-50382560(-) GGAAGGAAATGA >mm10_chr3:50427629-50427640(-)::chr3:50427628-50427640(-) AAAAGGAAATGC >mm10_chr3:50435938-50435949(+)::chr3:50435937-50435949(+) ACAAGGAAATCC >mm10_chr3:50435991-50436002(-)::chr3:50435990-50436002(-) AGAAGGAAGAAA >mm10_chr3:50443586-50443597(-)::chr3:50443585-50443597(-) ACGAGGAAGCTG >mm10_chr3:50443936-50443947(-)::chr3:50443935-50443947(-) AAAACGAAGTAA >mm10_chr3:50486040-50486051(-)::chr3:50486039-50486051(-) CTCAGGAAGTAC >mm10_chr3:50486114-50486125(+)::chr3:50486113-50486125(+) AACAGGAAGACT >mm10_chr3:50556645-50556656(+)::chr3:50556644-50556656(+) gggaggaagaag >mm10_chr3:50556663-50556674(+)::chr3:50556662-50556674(+) aggaggaagggg >mm10_chr3:50556678-50556689(+)::chr3:50556677-50556689(+) AGGAGGAAGTGG >mm10_chr3:50556704-50556715(+)::chr3:50556703-50556715(+) GAAGGGAAGTGT >mm10_chr3:50654630-50654641(+)::chr3:50654629-50654641(+) ATCAGGAAGAAC >mm10_chr3:50657726-50657737(+)::chr3:50657725-50657737(+) aggaggaaggga >mm10_chr3:50694692-50694703(+)::chr3:50694691-50694703(+) AAAAGGAAGTGA >mm10_chr3:50702931-50702942(+)::chr3:50702930-50702942(+) caagggaagtgg >mm10_chr3:50841640-50841651(+)::chr3:50841639-50841651(+) AGGAGGAAGAGC >mm10_chr3:50893373-50893384(+)::chr3:50893372-50893384(+) TGGAGGAAGAGC >mm10_chr3:50897883-50897894(-)::chr3:50897882-50897894(-) AGAAGGAAACGC >mm10_chr3:50897890-50897901(-)::chr3:50897889-50897901(-) CCAAGGAAGAAG >mm10_chr3:50979616-50979627(+)::chr3:50979615-50979627(+) AGAAGGAAGTGA >mm10_chr3:50983671-50983682(+)::chr3:50983670-50983682(+) aggaggaagagg >mm10_chr3:50983680-50983691(+)::chr3:50983679-50983691(+) aggaggaagaag >mm10_chr3:50983695-50983706(+)::chr3:50983694-50983706(+) aggaggaagaga >mm10_chr3:50983707-50983718(+)::chr3:50983706-50983718(+) aagaggaagagg >mm10_chr3:50983713-50983724(+)::chr3:50983712-50983724(+) aagaggaagaAA >mm10_chr3:51045639-51045650(+)::chr3:51045638-51045650(+) GTAAGGAAGCCT >mm10_chr3:51045672-51045683(-)::chr3:51045671-51045683(-) GCAAGGAAGCCT >mm10_chr3:51045685-51045696(+)::chr3:51045684-51045696(+) AGAAGGAAGTAT >mm10_chr3:51074406-51074417(+)::chr3:51074405-51074417(+) AGAAGGAACTCA >mm10_chr3:51114255-51114266(+)::chr3:51114254-51114266(+) ATAAGGAAGAGA >mm10_chr3:51114275-51114286(+)::chr3:51114274-51114286(+) AGGAGGAAGGAA >mm10_chr3:51118966-51118977(+)::chr3:51118965-51118977(+) AACAGGAAGGCA >mm10_chr3:51223750-51223761(-)::chr3:51223749-51223761(-) tgaaggaaattc >mm10_chr3:51264066-51264077(+)::chr3:51264065-51264077(+) gggaggaaggga >mm10_chr3:51276120-51276131(-)::chr3:51276119-51276131(-) ACACGGAAGTGG >mm10_chr3:51276728-51276739(+)::chr3:51276727-51276739(+) ATGCGGAAGTGG >mm10_chr3:51276744-51276755(+)::chr3:51276743-51276755(+) TATAGGAAGTGA >mm10_chr3:51301733-51301744(+)::chr3:51301732-51301744(+) GGCAGGAAGGAA >mm10_chr3:51301737-51301748(+)::chr3:51301736-51301748(+) GGAAGGAAGAGA >mm10_chr3:51308570-51308581(+)::chr3:51308569-51308581(+) AGAAGGAAAGGT >mm10_chr3:51326987-51326998(-)::chr3:51326986-51326998(-) agcaggaagctg >mm10_chr3:51327012-51327023(-)::chr3:51327011-51327023(-) tgaaggaagtga >mm10_chr3:51327036-51327047(+)::chr3:51327035-51327047(+) atagggaagtgt >mm10_chr3:51328081-51328092(+)::chr3:51328080-51328092(+) gcagggaagtgt >mm10_chr3:51328636-51328647(+)::chr3:51328635-51328647(+) GTAAGAAAGTTA >mm10_chr3:51328656-51328667(-)::chr3:51328655-51328667(-) ACAAGGAAAAGA >mm10_chr3:51333352-51333363(+)::chr3:51333351-51333363(+) AAACGGAAGTCC >mm10_chr3:51358325-51358336(+)::chr3:51358324-51358336(+) AAGAGGAAGCAA >mm10_chr3:51364219-51364230(-)::chr3:51364218-51364230(-) AGAAGGAAAATA >mm10_chr3:51364244-51364255(-)::chr3:51364243-51364255(-) aggaggaagaag >mm10_chr3:51364259-51364270(-)::chr3:51364258-51364270(-) aggaggaagagg >mm10_chr3:51364512-51364523(-)::chr3:51364511-51364523(-) agaaggaaaaga >mm10_chr3:51384272-51384283(-)::chr3:51384271-51384283(-) aggaggaagagg >mm10_chr3:51435612-51435623(-)::chr3:51435611-51435623(-) taaaggaagTAT >mm10_chr3:51435628-51435639(-)::chr3:51435627-51435639(-) aggaggaagggg >mm10_chr3:51483931-51483942(-)::chr3:51483930-51483942(-) GAGAGGAAGGAG >mm10_chr3:51518544-51518555(+)::chr3:51518543-51518555(+) aaaaggaagagg >mm10_chr3:51518560-51518571(+)::chr3:51518559-51518571(+) gaaaggaagagg >mm10_chr3:51529113-51529124(-)::chr3:51529112-51529124(-) AGACGGAAGTca >mm10_chr3:51529125-51529136(-)::chr3:51529124-51529136(-) GAAAGGAAGAAA >mm10_chr3:51529133-51529144(-)::chr3:51529132-51529144(-) aaaaGGAAGAAA >mm10_chr3:51529236-51529247(-)::chr3:51529235-51529247(-) ggaaggaaggaa >mm10_chr3:51529240-51529251(-)::chr3:51529239-51529251(-) ggaaggaaggaa >mm10_chr3:51529244-51529255(-)::chr3:51529243-51529255(-) ggaaggaaggaa >mm10_chr3:51529248-51529259(-)::chr3:51529247-51529259(-) ggaaggaaggaa >mm10_chr3:51529252-51529263(-)::chr3:51529251-51529263(-) ggaaggaaggaa >mm10_chr3:51529256-51529267(-)::chr3:51529255-51529267(-) ggaaggaaggaa >mm10_chr3:51532423-51532434(+)::chr3:51532422-51532434(+) ATAAGGAAGTTG >mm10_chr3:51547591-51547602(-)::chr3:51547590-51547602(-) AGCCGGAAGTAG >mm10_chr3:51560677-51560688(+)::chr3:51560676-51560688(+) gggaggaagagg >mm10_chr3:51561258-51561269(-)::chr3:51561257-51561269(-) AAGAGGAAGTAG >mm10_chr3:51575592-51575603(+)::chr3:51575591-51575603(+) tagaggaaatga >mm10_chr3:51575642-51575653(-)::chr3:51575641-51575653(-) aacaggaagtag >mm10_chr3:51668106-51668117(-)::chr3:51668105-51668117(-) AGAAGGAACATA >mm10_chr3:51673909-51673920(-)::chr3:51673908-51673920(-) ACCAGGAAGAGT >mm10_chr3:51707419-51707430(+)::chr3:51707418-51707430(+) ttaaggaagaag >mm10_chr3:51707426-51707437(+)::chr3:51707425-51707437(+) agaaggaaggaa >mm10_chr3:51707430-51707441(+)::chr3:51707429-51707441(+) ggaaggaaggaa >mm10_chr3:51707434-51707445(+)::chr3:51707433-51707445(+) ggaaggaaggaa >mm10_chr3:51707438-51707449(+)::chr3:51707437-51707449(+) ggaaggaaggaa >mm10_chr3:51707442-51707453(+)::chr3:51707441-51707453(+) ggaaggaaggaa >mm10_chr3:51707446-51707457(+)::chr3:51707445-51707457(+) ggaaggaaggaa >mm10_chr3:51707450-51707461(+)::chr3:51707449-51707461(+) ggaaggaaggaa >mm10_chr3:51707454-51707465(+)::chr3:51707453-51707465(+) ggaaggaaggaa >mm10_chr3:51712608-51712619(+)::chr3:51712607-51712619(+) GAAAGGAAGTCA >mm10_chr3:51719613-51719624(-)::chr3:51719612-51719624(-) AGTAGGAAGTAC >mm10_chr3:51720155-51720166(-)::chr3:51720154-51720166(-) ATGAGGAAGTTC >mm10_chr3:51739394-51739405(-)::chr3:51739393-51739405(-) AGAAGGAAATAT >mm10_chr3:51750234-51750245(-)::chr3:51750233-51750245(-) ACCAGGAAGAGA >mm10_chr3:51797075-51797086(+)::chr3:51797074-51797086(+) AGGAGGAAGTGA >mm10_chr3:51859425-51859436(-)::chr3:51859424-51859436(-) AAGAGGAAGTAT >mm10_chr3:51882076-51882087(+)::chr3:51882075-51882087(+) CAAAGGAAGTTG >mm10_chr3:51882119-51882130(+)::chr3:51882118-51882130(+) AGGAGGAAGTGC >mm10_chr3:51951664-51951675(+)::chr3:51951663-51951675(+) GGAAGGAAGAGT >mm10_chr3:52007659-52007670(-)::chr3:52007658-52007670(-) AGGAGGAAGGGG >mm10_chr3:52007693-52007704(-)::chr3:52007692-52007704(-) GACAGGAAGGGG >mm10_chr3:52011418-52011429(-)::chr3:52011417-52011429(-) AAAAGGAAGGGA >mm10_chr3:52029888-52029899(-)::chr3:52029887-52029899(-) AGCCGGAAGTGT >mm10_chr3:52034452-52034463(-)::chr3:52034451-52034463(-) TGGAGGAAGTCT >mm10_chr3:52035835-52035846(-)::chr3:52035834-52035846(-) AACAGGAAGTCT >mm10_chr3:52070053-52070064(+)::chr3:52070052-52070064(+) ggcaggaaatga >mm10_chr3:52088559-52088570(+)::chr3:52088558-52088570(+) GACAGGAAGTCA >mm10_chr3:52101994-52102005(-)::chr3:52101993-52102005(-) GGGAGGAAGAAC >mm10_chr3:52112499-52112510(+)::chr3:52112498-52112510(+) GGAAGGAAGTAG >mm10_chr3:52151190-52151201(+)::chr3:52151189-52151201(+) ACTAGGAAGGAC >mm10_chr3:52151239-52151250(+)::chr3:52151238-52151250(+) ACTAGGAAGGAC >mm10_chr3:52151258-52151269(+)::chr3:52151257-52151269(+) TGAAGGAAGCCG >mm10_chr3:52180000-52180011(+)::chr3:52179999-52180011(+) CCGAGGAAGTGC >mm10_chr3:52197362-52197373(-)::chr3:52197361-52197373(-) GAAAGGAAGAGA >mm10_chr3:52197385-52197396(-)::chr3:52197384-52197396(-) GCAAGGAAGCTC >mm10_chr3:52197394-52197405(+)::chr3:52197393-52197405(+) TGCAGGAAGATG >mm10_chr3:52256268-52256279(-)::chr3:52256267-52256279(-) AGAAGGAAGCAA >mm10_chr3:52256275-52256286(-)::chr3:52256274-52256286(-) TGCAGGAAGAAG >mm10_chr3:52278160-52278171(-)::chr3:52278159-52278171(-) tggaggaaggac >mm10_chr3:52299621-52299632(-)::chr3:52299620-52299632(-) TGCAGGAAATGA >mm10_chr3:52299634-52299645(+)::chr3:52299633-52299645(+) AGTAGGAAGGCA >mm10_chr3:52330432-52330443(+)::chr3:52330431-52330443(+) AAAAGGAAATAC >mm10_chr3:52330735-52330746(-)::chr3:52330734-52330746(-) GGAAGAAAGTGA >mm10_chr3:52330739-52330750(-)::chr3:52330738-52330750(-) AACAGGAAGAAA >mm10_chr3:52338956-52338967(+)::chr3:52338955-52338967(+) AACAGGAAGTTT >mm10_chr3:52349036-52349047(-)::chr3:52349035-52349047(-) ACCAGGAAATTC >mm10_chr3:52357669-52357680(-)::chr3:52357668-52357680(-) AGGAGGAAGGGA >mm10_chr3:52370066-52370077(-)::chr3:52370065-52370077(-) cgaaggcagttg >mm10_chr3:52370091-52370102(-)::chr3:52370090-52370102(-) acaaggaaagaa >mm10_chr3:52391477-52391488(-)::chr3:52391476-52391488(-) AGAAGGAAATTG >mm10_chr3:52404834-52404845(+)::chr3:52404833-52404845(+) AAGAGGAAGTAA >mm10_chr3:52413536-52413547(-)::chr3:52413535-52413547(-) TGGAGGAAGTTA >mm10_chr3:52417496-52417507(+)::chr3:52417495-52417507(+) tcaaggaagaga >mm10_chr3:52426011-52426022(+)::chr3:52426010-52426022(+) ttcaggaagtcc >mm10_chr3:52444161-52444172(+)::chr3:52444160-52444172(+) GGAAGGAAGTGA >mm10_chr3:52450060-52450071(-)::chr3:52450059-52450071(-) TTAAGGAAGTGA >mm10_chr3:52453286-52453297(-)::chr3:52453285-52453297(-) TGGGGGAAGTAC >mm10_chr3:52453310-52453321(-)::chr3:52453309-52453321(-) GAAAGGAAATGA >mm10_chr3:52461895-52461906(-)::chr3:52461894-52461906(-) TGAAGGAAGCAA >mm10_chr3:52461926-52461937(-)::chr3:52461925-52461937(-) gagaggaagAAT >mm10_chr3:52461941-52461952(-)::chr3:52461940-52461952(-) aggaggaagagg >mm10_chr3:52494384-52494395(-)::chr3:52494383-52494395(-) aagaggaaggag >mm10_chr3:52502820-52502831(-)::chr3:52502819-52502831(-) GAAAGGAAGCCA >mm10_chr3:52502828-52502839(-)::chr3:52502827-52502839(-) ATGAGGAAGAAA >mm10_chr3:52502840-52502851(-)::chr3:52502839-52502851(-) AAGAGGAAGAAA >mm10_chr3:52502846-52502857(-)::chr3:52502845-52502857(-) AGGAGGAAGAGG >mm10_chr3:52510576-52510587(-)::chr3:52510575-52510587(-) TGCAGGAAGCAG >mm10_chr3:52520808-52520819(+)::chr3:52520807-52520819(+) ACCAGGAAGGAA >mm10_chr3:52530796-52530807(-)::chr3:52530795-52530807(-) AGCAGGAAGAAC >mm10_chr3:52549942-52549953(+)::chr3:52549941-52549953(+) gccaggaagatc >mm10_chr3:52574722-52574733(-)::chr3:52574721-52574733(-) ACGGGGAAGTGA >mm10_chr3:52580052-52580063(-)::chr3:52580051-52580063(-) AGAAGGAAGTCA >mm10_chr3:52580064-52580075(+)::chr3:52580063-52580075(+) GGAAGGAACTCC >mm10_chr3:52602379-52602390(-)::chr3:52602378-52602390(-) GCCAGGAAGGAG >mm10_chr3:52602440-52602451(+)::chr3:52602439-52602451(+) aagaggaagaag >mm10_chr3:52639893-52639904(-)::chr3:52639892-52639904(-) AACAGGAAGGAC >mm10_chr3:52640472-52640483(-)::chr3:52640471-52640483(-) AGAAGGAAGTCA >mm10_chr3:52640691-52640702(+)::chr3:52640690-52640702(+) GAAAGGAAGAGG >mm10_chr3:52643380-52643391(+)::chr3:52643379-52643391(+) AAGAGGAAGGGG >mm10_chr3:52644834-52644845(-)::chr3:52644833-52644845(-) GGAGGGAAGCGG >mm10_chr3:52651429-52651440(-)::chr3:52651428-52651440(-) GGAAGGAAGCTG >mm10_chr3:52651433-52651444(-)::chr3:52651432-52651444(-) GGAAGGAAGGAA >mm10_chr3:52651437-52651448(-)::chr3:52651436-52651448(-) AGAAGGAAGGAA >mm10_chr3:52651472-52651483(+)::chr3:52651471-52651483(+) ACAAGGAAGAGG >mm10_chr3:52663859-52663870(-)::chr3:52663858-52663870(-) TCCAGGAAGAGA >mm10_chr3:52673861-52673872(+)::chr3:52673860-52673872(+) ACCAGGAAATGC >mm10_chr3:52674515-52674526(-)::chr3:52674514-52674526(-) ATAGGGAAATAG >mm10_chr3:52685769-52685780(-)::chr3:52685768-52685780(-) ACAAGGAAGAAA >mm10_chr3:52688606-52688617(+)::chr3:52688605-52688617(+) CAAAGGAAATGC >mm10_chr3:52743787-52743798(+)::chr3:52743786-52743798(+) ctaaggaaggag >mm10_chr3:52743806-52743817(+)::chr3:52743805-52743817(+) aggaggaaggag >mm10_chr3:52743813-52743824(+)::chr3:52743812-52743824(+) aggaggaaggag >mm10_chr3:52758684-52758695(+)::chr3:52758683-52758695(+) AAAAGGAAGAGA >mm10_chr3:52758734-52758745(+)::chr3:52758733-52758745(+) CTAAGGAAGTGT >mm10_chr3:52767405-52767416(-)::chr3:52767404-52767416(-) AACAGGAAATGG >mm10_chr3:52836907-52836918(-)::chr3:52836906-52836918(-) TAGAGGAAGTGA >mm10_chr3:52844205-52844216(-)::chr3:52844204-52844216(-) GAAAGGAAGTGC >mm10_chr3:52863651-52863662(+)::chr3:52863650-52863662(+) tgaaggaactga >mm10_chr3:52863660-52863671(+)::chr3:52863659-52863671(+) tgaaggaagttc >mm10_chr3:52938565-52938576(+)::chr3:52938564-52938576(+) AAAAGGAAGGTC >mm10_chr3:53028730-53028741(-)::chr3:53028729-53028741(-) AAAAGGAAATTC >mm10_chr3:53029309-53029320(-)::chr3:53029308-53029320(-) TGGAGGAAGAGT >mm10_chr3:53029340-53029351(+)::chr3:53029339-53029351(+) GTAAGGAAGAAA >mm10_chr3:53032440-53032451(+)::chr3:53032439-53032451(+) Gagaggaagaaa >mm10_chr3:53032453-53032464(+)::chr3:53032452-53032464(+) aggaggaagaaa >mm10_chr3:53032461-53032472(+)::chr3:53032460-53032472(+) gaaaggaagagg >mm10_chr3:53032473-53032484(+)::chr3:53032472-53032484(+) aggaggaagaag >mm10_chr3:53032482-53032493(+)::chr3:53032481-53032493(+) aagaggaagagg >mm10_chr3:53032494-53032505(+)::chr3:53032493-53032505(+) aaaaggaaggag >mm10_chr3:53192684-53192695(+)::chr3:53192683-53192695(+) ACGAGGAAGTAG >mm10_chr3:53192706-53192717(-)::chr3:53192705-53192717(-) AGAAGAAAGTGT >mm10_chr3:53193304-53193315(-)::chr3:53193303-53193315(-) taaaggaaatgc >mm10_chr3:53308661-53308672(+)::chr3:53308660-53308672(+) gcaaggaaggca >mm10_chr3:53334283-53334294(-)::chr3:53334282-53334294(-) AGAAGGAAGCTT >mm10_chr3:53358588-53358599(-)::chr3:53358587-53358599(-) agaaggaaagcg >mm10_chr3:53358595-53358606(-)::chr3:53358594-53358606(-) agaaggaagaag >mm10_chr3:53358602-53358613(-)::chr3:53358601-53358613(-) agaaggaagaag >mm10_chr3:53358609-53358620(-)::chr3:53358608-53358620(-) agaaggaagaag >mm10_chr3:53358616-53358627(-)::chr3:53358615-53358627(-) agaaggaagaag >mm10_chr3:53358623-53358634(-)::chr3:53358622-53358634(-) aggaggaagaag >mm10_chr3:53371590-53371601(-)::chr3:53371589-53371601(-) agaaggaaagaa >mm10_chr3:53371617-53371628(-)::chr3:53371616-53371628(-) aggaggaagttg >mm10_chr3:53371629-53371640(-)::chr3:53371628-53371640(-) ggaaggaagggg >mm10_chr3:53371641-53371652(-)::chr3:53371640-53371652(-) AGGaggaagtgg >mm10_chr3:53395107-53395118(+)::chr3:53395106-53395118(+) ATGAGGAAGGAA >mm10_chr3:53395111-53395122(+)::chr3:53395110-53395122(+) GGAAGGAAGACT >mm10_chr3:53408985-53408996(+)::chr3:53408984-53408996(+) AACAGGAAGGAA >mm10_chr3:53408989-53409000(+)::chr3:53408988-53409000(+) GGAAGGAAGTTG >mm10_chr3:53409061-53409072(+)::chr3:53409060-53409072(+) TCAAGGAAATTG >mm10_chr3:53419786-53419797(-)::chr3:53419785-53419797(-) AGGAGGAAGAAG >mm10_chr3:53436832-53436843(-)::chr3:53436831-53436843(-) aagaggaagtgc >mm10_chr3:53460257-53460268(+)::chr3:53460256-53460268(+) GGAAGGAAGCTG >mm10_chr3:53460319-53460330(+)::chr3:53460318-53460330(+) AAAGGGAAGTGA >mm10_chr3:53485420-53485431(+)::chr3:53485419-53485431(+) AGCAGGAAATGT >mm10_chr3:53555806-53555817(+)::chr3:53555805-53555817(+) TCAAGGAAGACA >mm10_chr3:53555842-53555853(+)::chr3:53555841-53555853(+) ACACGGAAGTGA >mm10_chr3:53798655-53798666(-)::chr3:53798654-53798666(-) agcaggaagaaa >mm10_chr3:53864071-53864082(+)::chr3:53864070-53864082(+) CGGCGGAAGTAG >mm10_chr3:53864143-53864154(+)::chr3:53864142-53864154(+) ATGAGGAAGCGT >mm10_chr3:54239108-54239119(+)::chr3:54239107-54239119(+) AAGAGGAAGCAA >mm10_chr3:54239682-54239693(-)::chr3:54239681-54239693(-) AGCAGGAAGTAC >mm10_chr3:54692810-54692821(+)::chr3:54692809-54692821(+) CGCAGGAAGTGG >mm10_chr3:54715562-54715573(-)::chr3:54715561-54715573(-) TTAAGGAAGCTG >mm10_chr3:54724349-54724360(-)::chr3:54724348-54724360(-) AACAGGAagtgc >mm10_chr3:54735121-54735132(+)::chr3:54735120-54735132(+) TTAGGGAAGTGC >mm10_chr3:54738834-54738845(+)::chr3:54738833-54738845(+) ATGAGGAAGAGA >mm10_chr3:54738870-54738881(+)::chr3:54738869-54738881(+) AGAAGGAAGCTC >mm10_chr3:55080225-55080236(+)::chr3:55080224-55080236(+) AACAGGAAGACT >mm10_chr3:55096576-55096587(-)::chr3:55096575-55096587(-) ATGAGGAAGGGG >mm10_chr3:55119626-55119637(+)::chr3:55119625-55119637(+) TTAAGGAAGAAG >mm10_chr3:55178460-55178471(+)::chr3:55178459-55178471(+) AGCAGGAAGAAG >mm10_chr3:55207099-55207110(-)::chr3:55207098-55207110(-) agcaggaagaaa >mm10_chr3:55207106-55207117(-)::chr3:55207105-55207117(-) agaaggaagcag >mm10_chr3:55212450-55212461(+)::chr3:55212449-55212461(+) ATGAGGAAGCAA >mm10_chr3:55307744-55307755(+)::chr3:55307743-55307755(+) AGAAGGCAGTGA >mm10_chr3:55346770-55346781(-)::chr3:55346769-55346781(-) TTAAGGAAGAGG >mm10_chr3:55346781-55346792(-)::chr3:55346780-55346792(-) AAAAGGAAGTGT >mm10_chr3:55452107-55452118(-)::chr3:55452106-55452118(-) AAGAGGAAGAGT >mm10_chr3:55542590-55542601(-)::chr3:55542589-55542601(-) AGAAGGAACTGC >mm10_chr3:55611040-55611051(+)::chr3:55611039-55611051(+) TGAAGGAAGCAT >mm10_chr3:55611101-55611112(-)::chr3:55611100-55611112(-) GTTAGGAAGTGG >mm10_chr3:55652536-55652547(-)::chr3:55652535-55652547(-) TGAAGGAAGTGA >mm10_chr3:55693123-55693134(+)::chr3:55693122-55693134(+) AGGAGGAAGCAG >mm10_chr3:55746745-55746756(+)::chr3:55746744-55746756(+) TAAAGGAAGAGC >mm10_chr3:55746770-55746781(+)::chr3:55746769-55746781(+) GGGAGGAAGTCT >mm10_chr3:55778083-55778094(-)::chr3:55778082-55778094(-) aagaggaagaag >mm10_chr3:55778089-55778100(-)::chr3:55778088-55778100(-) aggaggaagagg >mm10_chr3:55778104-55778115(-)::chr3:55778103-55778115(-) aggaggaagaac >mm10_chr3:55817068-55817079(-)::chr3:55817067-55817079(-) AGAAGGAAGTAA >mm10_chr3:55817122-55817133(+)::chr3:55817121-55817133(+) TGGAGGAAGTGG >mm10_chr3:55847350-55847361(+)::chr3:55847349-55847361(+) ATGAGGAAGTGA >mm10_chr3:55909435-55909446(-)::chr3:55909434-55909446(-) aacaggaaggca >mm10_chr3:55943987-55943998(+)::chr3:55943986-55943998(+) agcaggaaggaa >mm10_chr3:55943991-55944002(+)::chr3:55943990-55944002(+) ggaaggaagaag >mm10_chr3:55949377-55949388(-)::chr3:55949376-55949388(-) TACAGGAAATGA >mm10_chr3:55995001-55995012(+)::chr3:55995000-55995012(+) AGAAGGAAACGT >mm10_chr3:55995013-55995024(-)::chr3:55995012-55995024(-) ATAAGGAAAGCA >mm10_chr3:56008124-56008135(+)::chr3:56008123-56008135(+) TCAAGGAAGGAG >mm10_chr3:56008187-56008198(-)::chr3:56008186-56008198(-) GCCAGGAAGTAA >mm10_chr3:56034405-56034416(+)::chr3:56034404-56034416(+) atagggaaatac >mm10_chr3:56046128-56046139(+)::chr3:56046127-56046139(+) accaggaagtgg >mm10_chr3:56068209-56068220(-)::chr3:56068208-56068220(-) AGAAGGAAGAAG >mm10_chr3:56097447-56097458(-)::chr3:56097446-56097458(-) GGAAGGAAATGA >mm10_chr3:56097451-56097462(-)::chr3:56097450-56097462(-) AACAGGAAGGAA >mm10_chr3:56118323-56118334(+)::chr3:56118322-56118334(+) aaaaggaagtca >mm10_chr3:56123221-56123232(+)::chr3:56123220-56123232(+) taagggaagtca >mm10_chr3:56187339-56187350(+)::chr3:56187338-56187350(+) ccaaggaagaca >mm10_chr3:56187694-56187705(-)::chr3:56187693-56187705(-) GAAAGGAATTCG >mm10_chr3:56187748-56187759(-)::chr3:56187747-56187759(-) AGAAGGAAGACT >mm10_chr3:56187763-56187774(-)::chr3:56187762-56187774(-) ATGAGGAAGGTG >mm10_chr3:56238496-56238507(-)::chr3:56238495-56238507(-) ttgaggaagtgc >mm10_chr3:56253414-56253425(-)::chr3:56253413-56253425(-) atcaggaagctg >mm10_chr3:56280494-56280505(-)::chr3:56280493-56280505(-) ACTAGGAAATAC >mm10_chr3:56280519-56280530(-)::chr3:56280518-56280530(-) TCAAGGAAGAGG >mm10_chr3:56280557-56280568(-)::chr3:56280556-56280568(-) aacaggaagaaa >mm10_chr3:56302995-56303006(-)::chr3:56302994-56303006(-) AGTAGGAAGACC >mm10_chr3:56433259-56433270(-)::chr3:56433258-56433270(-) atgaggaaggcc >mm10_chr3:56457746-56457757(-)::chr3:56457745-56457757(-) gccaggaagatc >mm10_chr3:56463407-56463418(+)::chr3:56463406-56463418(+) tccaggaagtcc >mm10_chr3:56484282-56484293(-)::chr3:56484281-56484293(-) ccaaggaagagt >mm10_chr3:56700144-56700155(+)::chr3:56700143-56700155(+) ctaaggaagtaa >mm10_chr3:56700176-56700187(+)::chr3:56700175-56700187(+) gtgaggaagagg >mm10_chr3:56714222-56714233(+)::chr3:56714221-56714233(+) ACAAGGAAGCAC >mm10_chr3:56753753-56753764(+)::chr3:56753752-56753764(+) TAGAGGAAATGA >mm10_chr3:56753758-56753769(+)::chr3:56753757-56753769(+) GAAATGAAGTAC >mm10_chr3:56753772-56753783(-)::chr3:56753771-56753783(-) AGCAGGAAGCCA >mm10_chr3:56754134-56754145(+)::chr3:56754133-56754145(+) CCGAGGAAGTTG >mm10_chr3:56782156-56782167(+)::chr3:56782155-56782167(+) tgaatgaagtgg >mm10_chr3:56782226-56782237(-)::chr3:56782225-56782237(-) CAAAGGAAGTGT >mm10_chr3:57005031-57005042(+)::chr3:57005030-57005042(+) acaaggaagctt >mm10_chr3:57049595-57049606(+)::chr3:57049594-57049606(+) accaggaagtgg >mm10_chr3:57160163-57160174(+)::chr3:57160162-57160174(+) acaaggaaggtc >mm10_chr3:57160202-57160213(-)::chr3:57160201-57160213(-) aagaggaagttg >mm10_chr3:57181731-57181742(+)::chr3:57181730-57181742(+) ggcaggaagaga >mm10_chr3:57204160-57204171(-)::chr3:57204159-57204171(-) TTCAGGAAGTAA >mm10_chr3:57204175-57204186(+)::chr3:57204174-57204186(+) AGAGGGAAGGTA >mm10_chr3:57267633-57267644(+)::chr3:57267632-57267644(+) ATCAGGAAGGCA >mm10_chr3:57295317-57295328(-)::chr3:57295316-57295328(-) ACACGGAAATGA >mm10_chr3:57335266-57335277(+)::chr3:57335265-57335277(+) GCCAGGAAGTTC >mm10_chr3:57471777-57471788(-)::chr3:57471776-57471788(-) ATGAGGAAGTAT >mm10_chr3:57486107-57486118(-)::chr3:57486106-57486118(-) TTGAGGAAGTAC >mm10_chr3:57501081-57501092(+)::chr3:57501080-57501092(+) TACAGGAAGACA >mm10_chr3:57506077-57506088(+)::chr3:57506076-57506088(+) ATAAGGAAGGAG >mm10_chr3:57506097-57506108(-)::chr3:57506096-57506108(-) CCAAGGAAGGAG >mm10_chr3:57557531-57557542(+)::chr3:57557530-57557542(+) ACAAGGAAATGA >mm10_chr3:57575917-57575928(-)::chr3:57575916-57575928(-) AGGAGGAAGAGG >mm10_chr3:57590623-57590634(-)::chr3:57590622-57590634(-) AGAAGGAACTTT >mm10_chr3:57590647-57590658(+)::chr3:57590646-57590658(+) GAAAGGAAGGAA >mm10_chr3:57590651-57590662(+)::chr3:57590650-57590662(+) GGAAGGAAACGA >mm10_chr3:57590864-57590875(+)::chr3:57590863-57590875(+) aagaggaagaag >mm10_chr3:57590871-57590882(+)::chr3:57590870-57590882(+) agaaggaaagaa >mm10_chr3:57590888-57590899(+)::chr3:57590887-57590899(+) aggaggaagaaa >mm10_chr3:57590900-57590911(+)::chr3:57590899-57590911(+) gaaaggaaggat >mm10_chr3:57599138-57599149(-)::chr3:57599137-57599149(-) atgaggaagtgt >mm10_chr3:57599163-57599174(+)::chr3:57599162-57599174(+) tgaaggaagtca >mm10_chr3:57599176-57599187(+)::chr3:57599175-57599187(+) ggcaggaaatca >mm10_chr3:57609322-57609333(-)::chr3:57609321-57609333(-) acaatgaagacg >mm10_chr3:57663980-57663991(+)::chr3:57663979-57663991(+) GAAAGGAAATCC >mm10_chr3:57673422-57673433(-)::chr3:57673421-57673433(-) ATGAGGAAGAAC >mm10_chr3:57732079-57732090(+)::chr3:57732078-57732090(+) TTGAGGAAGTGT >mm10_chr3:57732124-57732135(-)::chr3:57732123-57732135(-) AAGAGGAAGAAG >mm10_chr3:57740032-57740043(+)::chr3:57740031-57740043(+) TAGAGGAAGGGA >mm10_chr3:57740037-57740048(+)::chr3:57740036-57740048(+) GAAGGGAAGTCA >mm10_chr3:57862041-57862052(-)::chr3:57862040-57862052(-) ttcaggaagtca >mm10_chr3:57905970-57905981(+)::chr3:57905969-57905981(+) agcaggaagtgc >mm10_chr3:57906012-57906023(-)::chr3:57906011-57906023(-) AATAGGAAATGC >mm10_chr3:57968307-57968318(-)::chr3:57968306-57968318(-) TGGAGGAAGAGG >mm10_chr3:57968334-57968345(+)::chr3:57968333-57968345(+) AGGAGGAAGTGA >mm10_chr3:57980095-57980106(+)::chr3:57980094-57980106(+) GAAAGGAAATCC >mm10_chr3:58114923-58114934(-)::chr3:58114922-58114934(-) ACAAGGAAGAGT >mm10_chr3:58257965-58257976(+)::chr3:58257964-58257976(+) ATgaggaagtag >mm10_chr3:58257983-58257994(+)::chr3:58257982-58257994(+) aggaggaagagg >mm10_chr3:58258009-58258020(+)::chr3:58258008-58258020(+) gagaggaagatg >mm10_chr3:58318684-58318695(+)::chr3:58318683-58318695(+) CGAAAGAAGTGA >mm10_chr3:58318696-58318707(-)::chr3:58318695-58318707(-) TCCAGGAAGTTT >mm10_chr3:58319561-58319572(+)::chr3:58319560-58319572(+) cctaggaagtgg >mm10_chr3:58321090-58321101(-)::chr3:58321089-58321101(-) GGACGGAAGTTA >mm10_chr3:58327592-58327603(+)::chr3:58327591-58327603(+) agaaggaaatgc >mm10_chr3:58330232-58330243(-)::chr3:58330231-58330243(-) agagggaaataa >mm10_chr3:58376963-58376974(-)::chr3:58376962-58376974(-) ATGAGGAAGAAC >mm10_chr3:58377959-58377970(+)::chr3:58377958-58377970(+) GACAGGAAGTTG >mm10_chr3:58379932-58379943(-)::chr3:58379931-58379943(-) ACACGGAAGGAA >mm10_chr3:58380855-58380866(+)::chr3:58380854-58380866(+) TAGAGGAAGTCT >mm10_chr3:58380862-58380873(-)::chr3:58380861-58380873(-) TGAAGGAAGACT >mm10_chr3:58380930-58380941(-)::chr3:58380929-58380941(-) AGCAGGATGTTA >mm10_chr3:58413788-58413799(+)::chr3:58413787-58413799(+) ttaagtaagtag >mm10_chr3:58449661-58449672(-)::chr3:58449660-58449672(-) GGAAGGATGTGA >mm10_chr3:58449665-58449676(-)::chr3:58449664-58449676(-) ACAAGGAAGGAT >mm10_chr3:58508503-58508514(-)::chr3:58508502-58508514(-) accaggaagcca >mm10_chr3:58520471-58520482(-)::chr3:58520470-58520482(-) tcgaggaaattg >mm10_chr3:58520496-58520507(-)::chr3:58520495-58520507(-) gcagggaagtca >mm10_chr3:58525798-58525809(+)::chr3:58525797-58525809(+) CCGCGGAAGTGT >mm10_chr3:58636990-58637001(-)::chr3:58636989-58637001(-) tggaggaagagg >mm10_chr3:58657555-58657566(+)::chr3:58657554-58657566(+) tggaggaagtac >mm10_chr3:58668491-58668502(+)::chr3:58668490-58668502(+) ttgaggaagtgc >mm10_chr3:58690864-58690875(-)::chr3:58690863-58690875(-) GGAAGGAAGCGG >mm10_chr3:58690868-58690879(-)::chr3:58690867-58690879(-) TGGAGGAAGGAA >mm10_chr3:58729337-58729348(-)::chr3:58729336-58729348(-) TGCAGGAAGCAG >mm10_chr3:58729353-58729364(-)::chr3:58729352-58729364(-) AGGAGGAAGCAT >mm10_chr3:58729366-58729377(-)::chr3:58729365-58729377(-) AGAAGGAAGGTC >mm10_chr3:58926465-58926476(-)::chr3:58926464-58926476(-) GTAAGGAAGTCC >mm10_chr3:59004000-59004011(+)::chr3:59003999-59004011(+) tggaggaagtgt >mm10_chr3:59012345-59012356(+)::chr3:59012344-59012356(+) AGGAGGAAGACA >mm10_chr3:59067136-59067147(-)::chr3:59067135-59067147(-) ACCAGGAAGGAA >mm10_chr3:59069248-59069259(+)::chr3:59069247-59069259(+) ACCAGGAACTAC >mm10_chr3:59081141-59081152(-)::chr3:59081140-59081152(-) GGAAGGAAGTGG >mm10_chr3:59081145-59081156(-)::chr3:59081144-59081156(-) AAGAGGAAGGAA >mm10_chr3:59229246-59229257(-)::chr3:59229245-59229257(-) ggaaggaagCTT >mm10_chr3:59229250-59229261(-)::chr3:59229249-59229261(-) ggaaggaaggaa >mm10_chr3:59229254-59229265(-)::chr3:59229253-59229265(-) ggaaggaaggaa >mm10_chr3:59229258-59229269(-)::chr3:59229257-59229269(-) ggaaggaaggaa >mm10_chr3:59229262-59229273(-)::chr3:59229261-59229273(-) Tgaaggaaggaa >mm10_chr3:59260956-59260967(-)::chr3:59260955-59260967(-) AAAAGGAAGTAG >mm10_chr3:59260997-59261008(-)::chr3:59260996-59261008(-) GACAGGAAGGAC >mm10_chr3:59265136-59265147(+)::chr3:59265135-59265147(+) AGGAGGAAGAGG >mm10_chr3:59265142-59265153(+)::chr3:59265141-59265153(+) AAGAGGAAGAAC >mm10_chr3:59265180-59265191(+)::chr3:59265179-59265191(+) GAAAGGAAATCA >mm10_chr3:59711721-59711732(+)::chr3:59711720-59711732(+) AAAATGAAGTGG >mm10_chr3:59711763-59711774(+)::chr3:59711762-59711774(+) TCAAGGAAGAAT >mm10_chr3:60108956-60108967(+)::chr3:60108955-60108967(+) TCAAGGAAATCA >mm10_chr3:60427811-60427822(-)::chr3:60427810-60427822(-) tggaggaagtag >mm10_chr3:60437887-60437898(+)::chr3:60437886-60437898(+) GGAAGGAAGTAG >mm10_chr3:60501870-60501881(+)::chr3:60501869-60501881(+) GGGAGGAAGACG >mm10_chr3:60528007-60528018(+)::chr3:60528006-60528018(+) AGGAGGAAGTGA >mm10_chr3:60580992-60581003(-)::chr3:60580991-60581003(-) AGGAGGAAGCTG >mm10_chr3:60751828-60751839(-)::chr3:60751827-60751839(-) ATAAGGAACAAA >mm10_chr3:60751862-60751873(-)::chr3:60751861-60751873(-) ATAAGGAAGCTA >mm10_chr3:60762677-60762688(-)::chr3:60762676-60762688(-) AACAGGAAGACT >mm10_chr3:60762694-60762705(+)::chr3:60762693-60762705(+) AGAAGGAAGAAA >mm10_chr3:60762705-60762716(+)::chr3:60762704-60762716(+) ATGAGGAAGCAG >mm10_chr3:60769210-60769221(-)::chr3:60769209-60769221(-) GTGGGGAAGTAA >mm10_chr3:61344233-61344244(+)::chr3:61344232-61344244(+) GGAAGGAAGCTG >mm10_chr3:61344248-61344259(+)::chr3:61344247-61344259(+) GAAAGGAAATCC >mm10_chr3:61344301-61344312(+)::chr3:61344300-61344312(+) ACCAGGAAGACT >mm10_chr3:61671099-61671110(-)::chr3:61671098-61671110(-) tggaggaagtgg >mm10_chr3:61686765-61686776(+)::chr3:61686764-61686776(+) AAGAGGAAATGT >mm10_chr3:61935719-61935730(+)::chr3:61935718-61935730(+) aggaggaaggtg >mm10_chr3:62103191-62103202(+)::chr3:62103190-62103202(+) TACAGGAAGCGA >mm10_chr3:62103220-62103231(+)::chr3:62103219-62103231(+) AGGAGGAAGAGA >mm10_chr3:62343881-62343892(-)::chr3:62343880-62343892(-) TACAGGAAGGAG >mm10_chr3:62350525-62350536(-)::chr3:62350524-62350536(-) aggaggaagaag >mm10_chr3:62350549-62350560(-)::chr3:62350548-62350560(-) aagaggaagagg >mm10_chr3:62350555-62350566(-)::chr3:62350554-62350566(-) aggaggaagagg >mm10_chr3:62350570-62350581(-)::chr3:62350569-62350581(-) aggaggaagagg >mm10_chr3:62376924-62376935(+)::chr3:62376923-62376935(+) ACAAGGAAGTGT >mm10_chr3:62454411-62454422(-)::chr3:62454410-62454422(-) AGCAGGAAGAGG >mm10_chr3:62565552-62565563(+)::chr3:62565551-62565563(+) GACAGGAAGCAA >mm10_chr3:63024300-63024311(+)::chr3:63024299-63024311(+) aagaggaagagg >mm10_chr3:63024306-63024317(+)::chr3:63024305-63024317(+) aagaggaagagg >mm10_chr3:63024312-63024323(+)::chr3:63024311-63024323(+) aagaggaagagg >mm10_chr3:63024318-63024329(+)::chr3:63024317-63024329(+) aagaggaagaag >mm10_chr3:63115052-63115063(-)::chr3:63115051-63115063(-) ggaaggaagccc >mm10_chr3:63115376-63115387(+)::chr3:63115375-63115387(+) ACGAGGAAATGT >mm10_chr3:63190719-63190730(+)::chr3:63190718-63190730(+) ttcaggaagtcc >mm10_chr3:63190766-63190777(+)::chr3:63190765-63190777(+) cagaggaagtga >mm10_chr3:63229646-63229657(-)::chr3:63229645-63229657(-) ACAAGGAAATCC >mm10_chr3:63296187-63296198(-)::chr3:63296186-63296198(-) TGCAGGAAGCTA >mm10_chr3:63302671-63302682(-)::chr3:63302670-63302682(-) AGGAGGAAATGG >mm10_chr3:63302689-63302700(-)::chr3:63302688-63302700(-) AATAGGAAGATC >mm10_chr3:63302702-63302713(-)::chr3:63302701-63302713(-) AGAAGGAAGGAG >mm10_chr3:63459084-63459095(+)::chr3:63459083-63459095(+) AGGAGGAAGGAT >mm10_chr3:63459100-63459111(+)::chr3:63459099-63459111(+) aagaggaagtgg >mm10_chr3:63668868-63668879(-)::chr3:63668867-63668879(-) ACCAGGAAGGAC >mm10_chr3:63669131-63669142(+)::chr3:63669130-63669142(+) CGGAGGAAGGCT >mm10_chr3:63697717-63697728(-)::chr3:63697716-63697728(-) AGCAGGAAGCTG >mm10_chr3:63782454-63782465(+)::chr3:63782453-63782465(+) aggaggaagaag >mm10_chr3:63782466-63782477(+)::chr3:63782465-63782477(+) aggaggaagaag >mm10_chr3:63782475-63782486(+)::chr3:63782474-63782486(+) aagaggaagagg >mm10_chr3:63787269-63787280(+)::chr3:63787268-63787280(+) AGGAGGAAGAGG >mm10_chr3:63831891-63831902(-)::chr3:63831890-63831902(-) ggaagaaagtgt >mm10_chr3:63831895-63831906(-)::chr3:63831894-63831906(-) tagaggaagaaa >mm10_chr3:63893838-63893849(+)::chr3:63893837-63893849(+) AGAAGGAAGTGG >mm10_chr3:63897730-63897741(-)::chr3:63897729-63897741(-) ACCAGGAAGTTA >mm10_chr3:63941475-63941486(-)::chr3:63941474-63941486(-) gacaggaaggag >mm10_chr3:63941500-63941511(+)::chr3:63941499-63941511(+) acaaggaactat >mm10_chr3:63955681-63955692(-)::chr3:63955680-63955692(-) ACAAGGAAGTCT >mm10_chr3:63964585-63964596(-)::chr3:63964584-63964596(-) GGCAGGAAGTTA >mm10_chr3:63964603-63964614(+)::chr3:63964602-63964614(+) TTCGGGAAGTGG >mm10_chr3:64404380-64404391(+)::chr3:64404379-64404391(+) TAAAGGAAATCC >mm10_chr3:64842095-64842106(+)::chr3:64842094-64842106(+) TCAAGGAAATTA >mm10_chr3:64843086-64843097(-)::chr3:64843085-64843097(-) ggaaggaagggc >mm10_chr3:64843090-64843101(-)::chr3:64843089-64843101(-) ggcaggaaggaa >mm10_chr3:64975846-64975857(+)::chr3:64975845-64975857(+) GCCAGGAAGTTC >mm10_chr3:65044238-65044249(-)::chr3:65044237-65044249(-) TAAAGAAAGTGA >mm10_chr3:65154309-65154320(-)::chr3:65154308-65154320(-) AGAGGGAAGTAA >mm10_chr3:65279964-65279975(+)::chr3:65279963-65279975(+) ACCAGGAAATAC >mm10_chr3:65328154-65328165(-)::chr3:65328153-65328165(-) ACAAGGAAATAA >mm10_chr3:65328175-65328186(-)::chr3:65328174-65328186(-) TGCAGGAAGTGC >mm10_chr3:65413291-65413302(-)::chr3:65413290-65413302(-) ACGAGGAAGCTA >mm10_chr3:65549954-65549965(+)::chr3:65549953-65549965(+) AACAGGAAGTCT >mm10_chr3:65581413-65581424(+)::chr3:65581412-65581424(+) TGGAGGAAGATG >mm10_chr3:65599698-65599709(+)::chr3:65599697-65599709(+) AGCAGGAAGAAA >mm10_chr3:65612693-65612704(-)::chr3:65612692-65612704(-) AAAAGGAAGGAC >mm10_chr3:65655152-65655163(-)::chr3:65655151-65655163(-) AAAAGGAAGTAG >mm10_chr3:65655159-65655170(-)::chr3:65655158-65655170(-) ATAAGGAAAAAG >mm10_chr3:65659352-65659363(-)::chr3:65659351-65659363(-) GCCAGGAAGTTT >mm10_chr3:65666194-65666205(-)::chr3:65666193-65666205(-) ACGCGGAAGCGG >mm10_chr3:65678994-65679005(-)::chr3:65678993-65679005(-) TGGAGGAAGTGG >mm10_chr3:65815982-65815993(+)::chr3:65815981-65815993(+) AGGAGGAAGCTA >mm10_chr3:65816024-65816035(+)::chr3:65816023-65816035(+) ACCAGGAAATAA >mm10_chr3:65854781-65854792(-)::chr3:65854780-65854792(-) AGGAGGAAGCTG >mm10_chr3:65863577-65863588(-)::chr3:65863576-65863588(-) AGAAGGAAGGTC >mm10_chr3:65873213-65873224(+)::chr3:65873212-65873224(+) ttgaggaagtag >mm10_chr3:65878301-65878312(-)::chr3:65878300-65878312(-) TTCAGGAAGTAC >mm10_chr3:65905391-65905402(+)::chr3:65905390-65905402(+) AACAGGAAATTA >mm10_chr3:65927908-65927919(-)::chr3:65927907-65927919(-) GGAAGGAACTCT >mm10_chr3:65927912-65927923(-)::chr3:65927911-65927923(-) AAAAGGAAGGAA >mm10_chr3:65927931-65927942(-)::chr3:65927930-65927942(-) CGAAGGAACTGG >mm10_chr3:65930243-65930254(-)::chr3:65930242-65930254(-) ATAGGGAAGAAG >mm10_chr3:65937230-65937241(+)::chr3:65937229-65937241(+) TTCAGGAAGTGA >mm10_chr3:65957441-65957452(-)::chr3:65957440-65957452(-) GGGAGGAAGGGG >mm10_chr3:65962019-65962030(-)::chr3:65962018-65962030(-) TAAAGGAAGGTG >mm10_chr3:65962057-65962068(-)::chr3:65962056-65962068(-) AACAGGAAGTCT >mm10_chr3:65996587-65996598(+)::chr3:65996586-65996598(+) AACAGGAAGTGT >mm10_chr3:66068032-66068043(+)::chr3:66068031-66068043(+) AGAAGGAAGCTG >mm10_chr3:66082429-66082440(+)::chr3:66082428-66082440(+) TTACGGAAGTCC >mm10_chr3:66198595-66198606(-)::chr3:66198594-66198606(-) TAAAGGAAGGAT >mm10_chr3:66239558-66239569(-)::chr3:66239557-66239569(-) ATAAGGAAAACA >mm10_chr3:66244251-66244262(+)::chr3:66244250-66244262(+) GGCAGGAAGTTC >mm10_chr3:66292639-66292650(-)::chr3:66292638-66292650(-) GGAAGAAAGTGT >mm10_chr3:66292677-66292688(+)::chr3:66292676-66292688(+) CGCAGGAAGCCG >mm10_chr3:66446595-66446606(-)::chr3:66446594-66446606(-) CAAAGGAAGCAG >mm10_chr3:66446616-66446627(+)::chr3:66446615-66446627(+) AAAAGGAAATGA >mm10_chr3:66523674-66523685(+)::chr3:66523673-66523685(+) attaggaagtgt >mm10_chr3:66748580-66748591(+)::chr3:66748579-66748591(+) aggaggaagaAT >mm10_chr3:66748592-66748603(+)::chr3:66748591-66748603(+) AAAAGGAAGAAC >mm10_chr3:66748626-66748637(+)::chr3:66748625-66748637(+) AGCAGGAAGTGC >mm10_chr3:66798554-66798565(-)::chr3:66798553-66798565(-) AAGAGGAAGTGG >mm10_chr3:66850856-66850867(+)::chr3:66850855-66850867(+) atgaggaagagg >mm10_chr3:67107356-67107367(+)::chr3:67107355-67107367(+) ctgaggaagttg >mm10_chr3:67107418-67107429(-)::chr3:67107417-67107429(-) acgaggaacttg >mm10_chr3:67109811-67109822(-)::chr3:67109810-67109822(-) ACAAGGAAGAGT >mm10_chr3:67430104-67430115(-)::chr3:67430103-67430115(-) GGGAGGAAGGTC >mm10_chr3:67463886-67463897(-)::chr3:67463885-67463897(-) GGAAGGAAGGCG >mm10_chr3:67463897-67463908(-)::chr3:67463896-67463908(-) AGGAGGAAGAGG >mm10_chr3:67471902-67471913(-)::chr3:67471901-67471913(-) tagaggaagtgt >mm10_chr3:67471914-67471925(-)::chr3:67471913-67471925(-) tagaggaagtgt >mm10_chr3:67553418-67553429(-)::chr3:67553417-67553429(-) AGAAGGAAAGAG >mm10_chr3:67557837-67557848(+)::chr3:67557836-67557848(+) acaaggaaatac >mm10_chr3:67557860-67557871(-)::chr3:67557859-67557871(-) gggaggaaatag >mm10_chr3:67557879-67557890(-)::chr3:67557878-67557890(-) ggaagaaagtgg >mm10_chr3:67557883-67557894(-)::chr3:67557882-67557894(-) atagggaagaaa >mm10_chr3:67582786-67582797(-)::chr3:67582785-67582797(-) AGCAGGAAGCAA >mm10_chr3:67925533-67925544(-)::chr3:67925532-67925544(-) GTGAGGAAGTGA >mm10_chr3:67925581-67925592(-)::chr3:67925580-67925592(-) AGGAGGAAGAGG >mm10_chr3:67985545-67985556(-)::chr3:67985544-67985556(-) GGAAGGAAGTGG >mm10_chr3:67985549-67985560(-)::chr3:67985548-67985560(-) AAGAGGAAGGAA >mm10_chr3:68493313-68493324(+)::chr3:68493312-68493324(+) GGAAGGAAACGT >mm10_chr3:68493390-68493401(+)::chr3:68493389-68493401(+) GAGAGGAAGCGG >mm10_chr3:68508742-68508753(-)::chr3:68508741-68508753(-) TTCAGGAAGGTA >mm10_chr3:68518090-68518101(+)::chr3:68518089-68518101(+) aaaaggatgttc >mm10_chr3:68555231-68555242(+)::chr3:68555230-68555242(+) GCACGGAAGTGA >mm10_chr3:68555240-68555251(-)::chr3:68555239-68555251(-) ACCAGGAAGTCA >mm10_chr3:68564233-68564244(+)::chr3:68564232-68564244(+) acgaggaagtgt >mm10_chr3:68643083-68643094(-)::chr3:68643082-68643094(-) AATAGGAAGTGT >mm10_chr3:68643090-68643101(-)::chr3:68643089-68643101(-) AAGAGGAAATAG >mm10_chr3:68643129-68643140(-)::chr3:68643128-68643140(-) ACGAGGAAGCAT >mm10_chr3:68659264-68659275(+)::chr3:68659263-68659275(+) GGAAGGAAGTGC >mm10_chr3:68677276-68677287(-)::chr3:68677275-68677287(-) CATAGGAAGTGG >mm10_chr3:68677299-68677310(-)::chr3:68677298-68677310(-) ACAGGGAAGTTG >mm10_chr3:68685079-68685090(-)::chr3:68685078-68685090(-) AGAAGGAAGAGT >mm10_chr3:68685123-68685134(-)::chr3:68685122-68685134(-) AGAAGGAAAATA >mm10_chr3:68708444-68708455(+)::chr3:68708443-68708455(+) TTCAGGAAGTAG >mm10_chr3:68710746-68710757(+)::chr3:68710745-68710757(+) TGCAGGAAGTGA >mm10_chr3:68793801-68793812(+)::chr3:68793800-68793812(+) AGCAGGAAGCTA >mm10_chr3:68794566-68794577(+)::chr3:68794565-68794577(+) ACCAGGAAGTGG >mm10_chr3:68823923-68823934(+)::chr3:68823922-68823934(+) TCCAGGAAGTAT >mm10_chr3:68824698-68824709(-)::chr3:68824697-68824709(-) TCAAGGAAGATT >mm10_chr3:68858264-68858275(-)::chr3:68858263-68858275(-) tgaaggaagtga >mm10_chr3:68858515-68858526(+)::chr3:68858514-68858526(+) AGGAGGAAGTTG >mm10_chr3:68929215-68929226(-)::chr3:68929214-68929226(-) atgagGAAATGC >mm10_chr3:69127185-69127196(+)::chr3:69127184-69127196(+) GGACGGAAGAGC >mm10_chr3:69166282-69166293(+)::chr3:69166281-69166293(+) ggaagcaagtga >mm10_chr3:69171267-69171278(+)::chr3:69171266-69171278(+) ATCAGGAAGATT >mm10_chr3:69181664-69181675(-)::chr3:69181663-69181675(-) agaaggaagccg >mm10_chr3:69181707-69181718(-)::chr3:69181706-69181718(-) agaaggaaaaga >mm10_chr3:69205536-69205547(-)::chr3:69205535-69205547(-) GACAGGAAGTGG >mm10_chr3:69206068-69206079(-)::chr3:69206067-69206079(-) GAGAGGAAATAG >mm10_chr3:69206080-69206091(-)::chr3:69206079-69206091(-) AGCAGGAAGCAG >mm10_chr3:69230783-69230794(-)::chr3:69230782-69230794(-) AGCAGGAAGTTC >mm10_chr3:69292818-69292829(-)::chr3:69292817-69292829(-) aagaggaagcag >mm10_chr3:69308230-69308241(+)::chr3:69308229-69308241(+) agcaggaagtct >mm10_chr3:69308257-69308268(-)::chr3:69308256-69308268(-) aagaggaagagg >mm10_chr3:69318885-69318896(-)::chr3:69318884-69318896(-) AAGAGGAAGACA >mm10_chr3:69318919-69318930(-)::chr3:69318918-69318930(-) AGGAGGAAGACG >mm10_chr3:69348482-69348493(+)::chr3:69348481-69348493(+) AGAAGGAAATGA >mm10_chr3:69361915-69361926(-)::chr3:69361914-69361926(-) TCCAGGAAGGAC >mm10_chr3:69361980-69361991(-)::chr3:69361979-69361991(-) GGCAGGAAGCAA >mm10_chr3:69560175-69560186(+)::chr3:69560174-69560186(+) AGGAGGAAGAGG >mm10_chr3:69560181-69560192(+)::chr3:69560180-69560192(+) AAGAGGAAGGGA >mm10_chr3:69560194-69560205(+)::chr3:69560193-69560205(+) AGAAGGAAGGGA >mm10_chr3:69588431-69588442(+)::chr3:69588430-69588442(+) GGAAGGACGTCT >mm10_chr3:69599904-69599915(-)::chr3:69599903-69599915(-) TCTAGGAAGTCG >mm10_chr3:69633595-69633606(-)::chr3:69633594-69633606(-) AAGAGGACGTAA >mm10_chr3:69714846-69714857(+)::chr3:69714845-69714857(+) AGCAGGAAGAGG >mm10_chr3:69887466-69887477(-)::chr3:69887465-69887477(-) atgaggaagaac >mm10_chr3:70309387-70309398(-)::chr3:70309386-70309398(-) agaaggaagcca >mm10_chr3:70309455-70309466(+)::chr3:70309454-70309466(+) gtaaggaagccc >mm10_chr3:71213070-71213081(+)::chr3:71213069-71213081(+) gcaaggatgttg >mm10_chr3:72107242-72107253(+)::chr3:72107241-72107253(+) ataaggacgtgc >mm10_chr3:73934619-73934630(-)::chr3:73934618-73934630(-) aacaggaaatta >mm10_chr3:73934780-73934791(-)::chr3:73934779-73934791(-) tgtaggaagtga >mm10_chr3:75556888-75556899(-)::chr3:75556887-75556899(-) TAACGGAAATGG >mm10_chr3:75556900-75556911(-)::chr3:75556899-75556911(-) TGCAGGAAGTGC >mm10_chr3:75607435-75607446(-)::chr3:75607434-75607446(-) CGAAGGAAGTGA >mm10_chr3:75686850-75686861(+)::chr3:75686849-75686861(+) GCCAGGAAGAGT >mm10_chr3:75696075-75696086(+)::chr3:75696074-75696086(+) TGGAGGAAGGCA >mm10_chr3:75920464-75920475(-)::chr3:75920463-75920475(-) ACAAGGAAATGC >mm10_chr3:75942506-75942517(-)::chr3:75942505-75942517(-) TCCAGGAAGTCA >mm10_chr3:75952072-75952083(+)::chr3:75952071-75952083(+) AAGAGGAAGGGC >mm10_chr3:76005710-76005721(+)::chr3:76005709-76005721(+) acccggaagtat >mm10_chr3:76005736-76005747(+)::chr3:76005735-76005747(+) agcaggaagcta >mm10_chr3:76900303-76900314(+)::chr3:76900302-76900314(+) caaaggaaggca >mm10_chr3:77058754-77058765(+)::chr3:77058753-77058765(+) ACAAGGAAGGAC >mm10_chr3:77058793-77058804(-)::chr3:77058792-77058804(-) TCAAGTAAGTGA >mm10_chr3:77499004-77499015(-)::chr3:77499003-77499015(-) AGAAGGAAATGG >mm10_chr3:77947438-77947449(+)::chr3:77947437-77947449(+) tccaggaagttc >mm10_chr3:78237219-78237230(-)::chr3:78237218-78237230(-) TCCAGGAAGTCT >mm10_chr3:78251637-78251648(-)::chr3:78251636-78251648(-) AGCAGGAAGCTG >mm10_chr3:78355541-78355552(+)::chr3:78355540-78355552(+) aggaggaagagc >mm10_chr3:78358686-78358697(+)::chr3:78358685-78358697(+) AAAAGTAAGTAT >mm10_chr3:78372167-78372178(-)::chr3:78372166-78372178(-) ATAAGGAAGAAT >mm10_chr3:78431605-78431616(-)::chr3:78431604-78431616(-) ATCAGGATGTAC >mm10_chr3:78431648-78431659(+)::chr3:78431647-78431659(+) AGAAGGAACTTC >mm10_chr3:78465224-78465235(-)::chr3:78465223-78465235(-) ttcaggaagtcc >mm10_chr3:78465252-78465263(-)::chr3:78465251-78465263(-) aacaggaagata >mm10_chr3:78466994-78467005(+)::chr3:78466993-78467005(+) AAAAGGAAATAG >mm10_chr3:78592878-78592889(+)::chr3:78592877-78592889(+) agaaggaagagg >mm10_chr3:78592896-78592907(+)::chr3:78592895-78592907(+) aggaggaagggg >mm10_chr3:78592914-78592925(+)::chr3:78592913-78592925(+) aagaggaagagg >mm10_chr3:78592920-78592931(+)::chr3:78592919-78592931(+) aagaggaagagg >mm10_chr3:78592955-78592966(+)::chr3:78592954-78592966(+) aggaggaagaag >mm10_chr3:78625122-78625133(-)::chr3:78625121-78625133(-) aggaggaagaag >mm10_chr3:78625134-78625145(-)::chr3:78625133-78625145(-) aggaggaagagg >mm10_chr3:78625149-78625160(-)::chr3:78625148-78625160(-) aggaggaagagg >mm10_chr3:78632087-78632098(-)::chr3:78632086-78632098(-) TACAGGAAGTGT >mm10_chr3:78637834-78637845(-)::chr3:78637833-78637845(-) ggaaggaagcct >mm10_chr3:78648562-78648573(+)::chr3:78648561-78648573(+) CCGAGGAAGAAG >mm10_chr3:78690845-78690856(-)::chr3:78690844-78690856(-) CGTAGGAAGAAG >mm10_chr3:78766726-78766737(+)::chr3:78766725-78766737(+) ttcaggaagttc >mm10_chr3:78919637-78919648(-)::chr3:78919636-78919648(-) GAGCGGAAGTAC >mm10_chr3:78926012-78926023(-)::chr3:78926011-78926023(-) ATTAGGAAGTGC >mm10_chr3:78926045-78926056(+)::chr3:78926044-78926056(+) ATAAGGAAATGT >mm10_chr3:78926079-78926090(-)::chr3:78926078-78926090(-) ACAAGGAAGATA >mm10_chr3:78948336-78948347(-)::chr3:78948335-78948347(-) CAACGGAAGTCC >mm10_chr3:79018856-79018867(-)::chr3:79018855-79018867(-) AGCaggaagtaa >mm10_chr3:79018880-79018891(-)::chr3:79018879-79018891(-) AGCAGGAAGTAC >mm10_chr3:79018896-79018907(-)::chr3:79018895-79018907(-) AGTAGGAAGACA >mm10_chr3:79018908-79018919(-)::chr3:79018907-79018919(-) AGCAGGAAGTAC >mm10_chr3:79018920-79018931(-)::chr3:79018919-79018931(-) GGAAGGCAGTGC >mm10_chr3:79018924-79018935(-)::chr3:79018923-79018935(-) AGCAGGAAGGCA >mm10_chr3:79018936-79018947(-)::chr3:79018935-79018947(-) AGCAGGAAGTAA >mm10_chr3:79038348-79038359(+)::chr3:79038347-79038359(+) agaaggaagtgt >mm10_chr3:79132791-79132802(-)::chr3:79132790-79132802(-) AAAAGGATGTTG >mm10_chr3:79135561-79135572(+)::chr3:79135560-79135572(+) GAGAGGAAATAA >mm10_chr3:79135586-79135597(+)::chr3:79135585-79135597(+) GAAAGGAAGCTG >mm10_chr3:79140719-79140730(+)::chr3:79140718-79140730(+) CAAaggaagaag >mm10_chr3:79140731-79140742(+)::chr3:79140730-79140742(+) aagaggaagagg >mm10_chr3:79140737-79140748(+)::chr3:79140736-79140748(+) aagaggaagagg >mm10_chr3:79145839-79145850(-)::chr3:79145838-79145850(-) AAAAGGAAGCTT >mm10_chr3:79152488-79152499(+)::chr3:79152487-79152499(+) aggaggaagaTA >mm10_chr3:79152565-79152576(-)::chr3:79152564-79152576(-) ggcaggaagagc >mm10_chr3:79181372-79181383(-)::chr3:79181371-79181383(-) AGCAGGAAGCGA >mm10_chr3:79182338-79182349(-)::chr3:79182337-79182349(-) CTTAGGAAGTGT >mm10_chr3:79182402-79182413(+)::chr3:79182401-79182413(+) ACAAGGAAAAGA >mm10_chr3:79202878-79202889(+)::chr3:79202877-79202889(+) AGCAGGAAGGGA >mm10_chr3:79205265-79205276(-)::chr3:79205264-79205276(-) AGCAGGAAGACA >mm10_chr3:79205328-79205339(+)::chr3:79205327-79205339(+) AGAAGGAGGTAA >mm10_chr3:79211444-79211455(-)::chr3:79211443-79211455(-) AACAGGAAGGAT >mm10_chr3:79211496-79211507(-)::chr3:79211495-79211507(-) AGCAGGAAGAGT >mm10_chr3:79213793-79213804(+)::chr3:79213792-79213804(+) aggaggaagggg >mm10_chr3:79213820-79213831(+)::chr3:79213819-79213831(+) aggaggaagagg >mm10_chr3:79222866-79222877(+)::chr3:79222865-79222877(+) TGAAGGAAATGC >mm10_chr3:79222897-79222908(+)::chr3:79222896-79222908(+) TAAAGGAAGCCA >mm10_chr3:79222907-79222918(+)::chr3:79222906-79222918(+) CACAGGAAGTTT >mm10_chr3:79229576-79229587(+)::chr3:79229575-79229587(+) CTGAGGAAGTGT >mm10_chr3:79264647-79264658(-)::chr3:79264646-79264658(-) GTCAGGAAGTTG >mm10_chr3:79270588-79270599(+)::chr3:79270587-79270599(+) ACAAGGAAAGTA >mm10_chr3:79270616-79270627(+)::chr3:79270615-79270627(+) aggaggaagagg >mm10_chr3:79270656-79270667(+)::chr3:79270655-79270667(+) aggaggaagaag >mm10_chr3:79287234-79287245(-)::chr3:79287233-79287245(-) AGAAGGAAGAGG >mm10_chr3:79287280-79287291(+)::chr3:79287279-79287291(+) GGGAGGAAGCGG >mm10_chr3:79473782-79473793(+)::chr3:79473781-79473793(+) gtaaagaagtga >mm10_chr3:79484619-79484630(+)::chr3:79484618-79484630(+) AGCAGGAAGTTG >mm10_chr3:79484646-79484657(+)::chr3:79484645-79484657(+) ATAAGGAAGTTT >mm10_chr3:79506195-79506206(+)::chr3:79506194-79506206(+) ATAAGGAAGTAC >mm10_chr3:79529694-79529705(+)::chr3:79529693-79529705(+) TGAAGGAAGGGG >mm10_chr3:79566827-79566838(+)::chr3:79566826-79566838(+) ATGAGGAAATTA >mm10_chr3:79591358-79591369(+)::chr3:79591357-79591369(+) CGCAGGAAGTAA >mm10_chr3:79665855-79665866(+)::chr3:79665854-79665866(+) AAGAGGAAGTGT >mm10_chr3:79665892-79665903(-)::chr3:79665891-79665903(-) AGAAGGAAGCAG >mm10_chr3:79733102-79733113(+)::chr3:79733101-79733113(+) AACAGGAAGAGG >mm10_chr3:79733108-79733119(+)::chr3:79733107-79733119(+) AAGAGGAAGAGA >mm10_chr3:79798275-79798286(-)::chr3:79798274-79798286(-) gccaggaagtcc >mm10_chr3:79846280-79846291(-)::chr3:79846279-79846291(-) AGGAGGAAATGG >mm10_chr3:79846295-79846306(-)::chr3:79846294-79846306(-) AGGAGGAAGATG >mm10_chr3:79848581-79848592(-)::chr3:79848580-79848592(-) agaaggaagagt >mm10_chr3:79910558-79910569(-)::chr3:79910557-79910569(-) AGCAGGAAGGCC >mm10_chr3:79910576-79910587(+)::chr3:79910575-79910587(+) CATAGGAAGTGG >mm10_chr3:79910590-79910601(+)::chr3:79910589-79910601(+) AAAAGGAAGGAC >mm10_chr3:79915595-79915606(+)::chr3:79915594-79915606(+) taaaggaagcca >mm10_chr3:79915632-79915643(+)::chr3:79915631-79915643(+) aggaggaaggtc >mm10_chr3:79915667-79915678(-)::chr3:79915666-79915678(-) tggaggaagtgt >mm10_chr3:79931283-79931294(-)::chr3:79931282-79931294(-) AAAAGGAAATTC >mm10_chr3:79978407-79978418(+)::chr3:79978406-79978418(+) GACCGGAAGTAG >mm10_chr3:80025961-80025972(-)::chr3:80025960-80025972(-) ttcaggaagtta >mm10_chr3:80025992-80026003(-)::chr3:80025991-80026003(-) ttcaggaagtgc >mm10_chr3:80042948-80042959(-)::chr3:80042947-80042959(-) AATAGGAAATAG >mm10_chr3:80080060-80080071(+)::chr3:80080059-80080071(+) TGGAGGAAATTA >mm10_chr3:80080076-80080087(+)::chr3:80080075-80080087(+) CGAAGGGAGTGC >mm10_chr3:80178275-80178286(+)::chr3:80178274-80178286(+) GGTAGGAAGTTC >mm10_chr3:80196811-80196822(-)::chr3:80196810-80196822(-) ACAAGGAAGTAT >mm10_chr3:80196854-80196865(-)::chr3:80196853-80196865(-) TGGAGGAAGAGA >mm10_chr3:80248880-80248891(-)::chr3:80248879-80248891(-) AACAGGAAGAGG >mm10_chr3:80491788-80491799(+)::chr3:80491787-80491799(+) ggaaggaaggaa >mm10_chr3:80491792-80491803(+)::chr3:80491791-80491803(+) ggaaggaagCAA >mm10_chr3:80491857-80491868(-)::chr3:80491856-80491868(-) CCAAGGAAGGGG >mm10_chr3:80848154-80848165(+)::chr3:80848153-80848165(+) acaaggaagaag >mm10_chr3:80848191-80848202(+)::chr3:80848190-80848202(+) agaaggaagaag >mm10_chr3:80895297-80895308(-)::chr3:80895296-80895308(-) TGGAGGAAGAAT >mm10_chr3:80895331-80895342(+)::chr3:80895330-80895342(+) AGAAGGAAATGC >mm10_chr3:80931069-80931080(+)::chr3:80931068-80931080(+) AGAAGGAAATAG >mm10_chr3:80931081-80931092(+)::chr3:80931080-80931092(+) GTAAGGAACTGG >mm10_chr3:80960780-80960791(+)::chr3:80960779-80960791(+) TCTAGGAAGTAG >mm10_chr3:80960860-80960871(-)::chr3:80960859-80960871(-) AGCAGGAAGTAG >mm10_chr3:80978291-80978302(+)::chr3:80978290-80978302(+) AGAGGGAAGTGT >mm10_chr3:81009245-81009256(+)::chr3:81009244-81009256(+) ACACGGAAGGAG >mm10_chr3:81040415-81040426(+)::chr3:81040414-81040426(+) GGGAGGAAATAC >mm10_chr3:81041126-81041137(-)::chr3:81041125-81041137(-) aggaggaagttt >mm10_chr3:81041175-81041186(+)::chr3:81041174-81041186(+) ataagGAAAGAA >mm10_chr3:81096556-81096567(+)::chr3:81096555-81096567(+) ttaaggaaatca >mm10_chr3:81102313-81102324(-)::chr3:81102312-81102324(-) ATCAGGAAGTGC >mm10_chr3:81102326-81102337(-)::chr3:81102325-81102337(-) GAAAGGAAGAGA >mm10_chr3:81106904-81106915(+)::chr3:81106903-81106915(+) AGGAGGAAATAG >mm10_chr3:81110908-81110919(-)::chr3:81110907-81110919(-) ACCAGGAAGTTC >mm10_chr3:81148740-81148751(-)::chr3:81148739-81148751(-) GGAAGGAAAATA >mm10_chr3:81148744-81148755(-)::chr3:81148743-81148755(-) AGAAGGAAGGAA >mm10_chr3:81172279-81172290(+)::chr3:81172278-81172290(+) TGCAGGAAGTGC >mm10_chr3:81209062-81209073(+)::chr3:81209061-81209073(+) TCAAGGAAGAGG >mm10_chr3:81243570-81243581(+)::chr3:81243569-81243581(+) AGGAGGAACTAA >mm10_chr3:81335049-81335060(+)::chr3:81335048-81335060(+) GATAGGAAGTGG >mm10_chr3:81339205-81339216(+)::chr3:81339204-81339216(+) AAAAGGAAGTTT >mm10_chr3:81426817-81426828(+)::chr3:81426816-81426828(+) ACCAGGAAGTTT >mm10_chr3:81426872-81426883(-)::chr3:81426871-81426883(-) AGAAGGCAGTAC >mm10_chr3:81431535-81431546(-)::chr3:81431534-81431546(-) TGAAGGAAGCTG >mm10_chr3:81434510-81434521(-)::chr3:81434509-81434521(-) TGAAGGAAGCTG >mm10_chr3:81508547-81508558(-)::chr3:81508546-81508558(-) ACCAGGAAATTG >mm10_chr3:81542368-81542379(-)::chr3:81542367-81542379(-) aaaaggaagggg >mm10_chr3:81542412-81542423(-)::chr3:81542411-81542423(-) agaaggaagaag >mm10_chr3:81542422-81542433(-)::chr3:81542421-81542433(-) aagaggaaggag >mm10_chr3:81542438-81542449(-)::chr3:81542437-81542449(-) aagaggaaggag >mm10_chr3:81783052-81783063(+)::chr3:81783051-81783063(+) gacaggaaggaa >mm10_chr3:81783056-81783067(+)::chr3:81783055-81783067(+) ggaaggaaatga >mm10_chr3:81783112-81783123(+)::chr3:81783111-81783123(+) cagaggaagtcc >mm10_chr3:81783364-81783375(+)::chr3:81783363-81783375(+) gagaggaagaaa >mm10_chr3:81783571-81783582(-)::chr3:81783570-81783582(-) ACAAGGAAAAAT >mm10_chr3:81860807-81860818(-)::chr3:81860806-81860818(-) aagaggaagtac >mm10_chr3:81989740-81989751(+)::chr3:81989739-81989751(+) ggcaggaagtcc >mm10_chr3:82055974-82055985(-)::chr3:82055973-82055985(-) agtaggaagtta >mm10_chr3:82119128-82119139(-)::chr3:82119127-82119139(-) TGCAGGAAGTTC >mm10_chr3:82119167-82119178(-)::chr3:82119166-82119178(-) AGAAGGAAGCCA >mm10_chr3:82207386-82207397(+)::chr3:82207385-82207397(+) agcaggaagagg >mm10_chr3:82357846-82357857(+)::chr3:82357845-82357857(+) AAAAGGAAGCTT >mm10_chr3:82362615-82362626(-)::chr3:82362614-82362626(-) ttgaggaagttc >mm10_chr3:82467012-82467023(+)::chr3:82467011-82467023(+) tataggaaggag >mm10_chr3:82690073-82690084(+)::chr3:82690072-82690084(+) AAAAGGAACTTG >mm10_chr3:82774264-82774275(-)::chr3:82774263-82774275(-) CTAAGGAAGAGA >mm10_chr3:82774275-82774286(+)::chr3:82774274-82774286(+) GAAAGGAAGTCC >mm10_chr3:82792996-82793007(-)::chr3:82792995-82793007(-) aagaggaagaag >mm10_chr3:82793014-82793025(-)::chr3:82793013-82793025(-) aagaggaagaag >mm10_chr3:82793020-82793031(-)::chr3:82793019-82793031(-) aagaggaagagg >mm10_chr3:82793026-82793037(-)::chr3:82793025-82793037(-) aggaggaagagg >mm10_chr3:82793044-82793055(-)::chr3:82793043-82793055(-) aagaggaagaag >mm10_chr3:82793050-82793061(-)::chr3:82793049-82793061(-) aagaggaagagg >mm10_chr3:82793056-82793067(-)::chr3:82793055-82793067(-) aagaggaagagg >mm10_chr3:82793062-82793073(-)::chr3:82793061-82793073(-) aagaggaagagg >mm10_chr3:82793068-82793079(-)::chr3:82793067-82793079(-) aagaggaagagg >mm10_chr3:82793074-82793085(-)::chr3:82793073-82793085(-) aggaggaagagg >mm10_chr3:82799564-82799575(+)::chr3:82799563-82799575(+) ACAAGGAAGATA >mm10_chr3:82926532-82926543(+)::chr3:82926531-82926543(+) agaaggaagccc >mm10_chr3:82947146-82947157(-)::chr3:82947145-82947157(-) AGAAGGAAGTGA >mm10_chr3:82959900-82959911(+)::chr3:82959899-82959911(+) aggaggaaggga >mm10_chr3:82994072-82994083(+)::chr3:82994071-82994083(+) ACGAGGAAGGCG >mm10_chr3:83201728-83201739(+)::chr3:83201727-83201739(+) GAGAGGAAGTAG >mm10_chr3:83252135-83252146(-)::chr3:83252134-83252146(-) GACAGGAAGATA >mm10_chr3:83273935-83273946(+)::chr3:83273934-83273946(+) TACAGGAAGCGG >mm10_chr3:83532855-83532866(-)::chr3:83532854-83532866(-) GCCAGGAAATGA >mm10_chr3:83532910-83532921(+)::chr3:83532909-83532921(+) ATCAGGAACTAG >mm10_chr3:83537362-83537373(+)::chr3:83537361-83537373(+) ATGAGGAAGCTA >mm10_chr3:83574471-83574482(+)::chr3:83574470-83574482(+) CAAAGGAAGCAT >mm10_chr3:83574522-83574533(-)::chr3:83574521-83574533(-) AGGAGGAAATAA >mm10_chr3:83623106-83623117(-)::chr3:83623105-83623117(-) agaaggaaaatc >mm10_chr3:83640039-83640050(-)::chr3:83640038-83640050(-) TGCAGGAAATGA >mm10_chr3:83680659-83680670(+)::chr3:83680658-83680670(+) AAGAGGAAGCAA >mm10_chr3:83706882-83706893(+)::chr3:83706881-83706893(+) GACAGGAAGACA >mm10_chr3:83706914-83706925(-)::chr3:83706913-83706925(-) AAGAGGAAGTCA >mm10_chr3:83706964-83706975(+)::chr3:83706963-83706975(+) gacaggaaggaa >mm10_chr3:83706968-83706979(+)::chr3:83706967-83706979(+) ggaaggaagtct >mm10_chr3:83770690-83770701(+)::chr3:83770689-83770701(+) ACAAGGAAACAC >mm10_chr3:83776631-83776642(+)::chr3:83776630-83776642(+) ACAAGGAAGAGA >mm10_chr3:83787931-83787942(-)::chr3:83787930-83787942(-) ACCGGGAAGTTC >mm10_chr3:83790090-83790101(-)::chr3:83790089-83790101(-) AGAAGGAAGAAA >mm10_chr3:83796001-83796012(-)::chr3:83796000-83796012(-) AACAGGAAGTGG >mm10_chr3:83817785-83817796(-)::chr3:83817784-83817796(-) AGAAGGAAGCTC >mm10_chr3:83836455-83836466(-)::chr3:83836454-83836466(-) AGCAGGAAGTGT >mm10_chr3:83843462-83843473(-)::chr3:83843461-83843473(-) aagaggaagagc >mm10_chr3:83875299-83875310(-)::chr3:83875298-83875310(-) tcgaggaagctg >mm10_chr3:83877252-83877263(+)::chr3:83877251-83877263(+) accaggaagaaa >mm10_chr3:83877279-83877290(+)::chr3:83877278-83877290(+) tcaaggaagtgg >mm10_chr3:83913336-83913347(-)::chr3:83913335-83913347(-) AGAAGGAAGCAC >mm10_chr3:83913365-83913376(-)::chr3:83913364-83913376(-) TCCAGGAAGAGG >mm10_chr3:83913379-83913390(-)::chr3:83913378-83913390(-) AGCAGGAAGATC >mm10_chr3:83913395-83913406(+)::chr3:83913394-83913406(+) AGGAGGAAGGAA >mm10_chr3:83992069-83992080(+)::chr3:83992068-83992080(+) ACAAGGAAGGGT >mm10_chr3:84039436-84039447(-)::chr3:84039435-84039447(-) AACAGGAAGCGT >mm10_chr3:84050358-84050369(+)::chr3:84050357-84050369(+) AACAGGAAGAGG >mm10_chr3:84050371-84050382(+)::chr3:84050370-84050382(+) GGGAGGAAGTGC >mm10_chr3:84050416-84050427(+)::chr3:84050415-84050427(+) AGGAGGAAGAGG >mm10_chr3:84103412-84103423(+)::chr3:84103411-84103423(+) ACCAGGAAGCTA >mm10_chr3:84103806-84103817(+)::chr3:84103805-84103817(+) TCAAGGAAGATC >mm10_chr3:84104825-84104836(+)::chr3:84104824-84104836(+) AGGAGGAAGACG >mm10_chr3:84104849-84104860(+)::chr3:84104848-84104860(+) ACAAGGAAAGGA >mm10_chr3:84108313-84108324(+)::chr3:84108312-84108324(+) atgaggaagagg >mm10_chr3:84108319-84108330(+)::chr3:84108318-84108330(+) aagaggaagaag >mm10_chr3:84155727-84155738(+)::chr3:84155726-84155738(+) CGGAGGAAGTGC >mm10_chr3:84189957-84189968(-)::chr3:84189956-84189968(-) AGAAGGAAATGG >mm10_chr3:84190354-84190365(+)::chr3:84190353-84190365(+) AAACGGAAGAAG >mm10_chr3:84191801-84191812(+)::chr3:84191800-84191812(+) TTAAGGAAGGAA >mm10_chr3:84215371-84215382(+)::chr3:84215370-84215382(+) AGCAGGAAGGAA >mm10_chr3:84215375-84215386(+)::chr3:84215374-84215386(+) GGAAGGAACTGC >mm10_chr3:84216479-84216490(+)::chr3:84216478-84216490(+) ATGAGGAAGAGT >mm10_chr3:84228691-84228702(-)::chr3:84228690-84228702(-) ACCAGGAAGTTC >mm10_chr3:84230947-84230958(-)::chr3:84230946-84230958(-) TCCAGGAAGTCT >mm10_chr3:84234479-84234490(-)::chr3:84234478-84234490(-) ACAGGGAAGTTC >mm10_chr3:84278899-84278910(+)::chr3:84278898-84278910(+) CAGAGGAAGTGT >mm10_chr3:84313249-84313260(+)::chr3:84313248-84313260(+) TGCAGGAAATGA >mm10_chr3:84323875-84323886(-)::chr3:84323874-84323886(-) ggaaggaagaga >mm10_chr3:84323894-84323905(-)::chr3:84323893-84323905(-) gggaggaaggga >mm10_chr3:84323906-84323917(-)::chr3:84323905-84323917(-) gggaggaaggga >mm10_chr3:84323921-84323932(-)::chr3:84323920-84323932(-) ggaaggaagggg >mm10_chr3:84323925-84323936(-)::chr3:84323924-84323936(-) acaaggaaggaa >mm10_chr3:84334008-84334019(-)::chr3:84334007-84334019(-) accaggaagcag >mm10_chr3:84334030-84334041(-)::chr3:84334029-84334041(-) aggaggaagtat >mm10_chr3:84348226-84348237(+)::chr3:84348225-84348237(+) AACAGGAAGGAG >mm10_chr3:84348285-84348296(+)::chr3:84348284-84348296(+) GGCAGGAAGCTA >mm10_chr3:84356783-84356794(+)::chr3:84356782-84356794(+) atggggaagtgg >mm10_chr3:84367236-84367247(+)::chr3:84367235-84367247(+) TACaggaagaaa >mm10_chr3:84367294-84367305(+)::chr3:84367293-84367305(+) aaaaggaagatg >mm10_chr3:84375953-84375964(-)::chr3:84375952-84375964(-) CTAAGGAAGGGC >mm10_chr3:84390977-84390988(+)::chr3:84390976-84390988(+) tgcaggaagtag >mm10_chr3:84391014-84391025(+)::chr3:84391013-84391025(+) aagaggaagtgc >mm10_chr3:84409508-84409519(+)::chr3:84409507-84409519(+) AGAAGGAAATGC >mm10_chr3:84429888-84429899(-)::chr3:84429887-84429899(-) ACAAGGAAGAAG >mm10_chr3:84429908-84429919(-)::chr3:84429907-84429919(-) AACAGGAAGAAA >mm10_chr3:84430755-84430766(-)::chr3:84430754-84430766(-) aggaggaagcga >mm10_chr3:84430843-84430854(-)::chr3:84430842-84430854(-) ggtaggaagatc >mm10_chr3:84468076-84468087(+)::chr3:84468075-84468087(+) TTACGGAAGAAA >mm10_chr3:84473147-84473158(-)::chr3:84473146-84473158(-) TACAGGAAATGC >mm10_chr3:84488842-84488853(+)::chr3:84488841-84488853(+) GCAAGGAAGTCT >mm10_chr3:84492323-84492334(-)::chr3:84492322-84492334(-) ATAAGGAAGAAC >mm10_chr3:84509136-84509147(+)::chr3:84509135-84509147(+) ACCAGGAAGCAA >mm10_chr3:84525102-84525113(+)::chr3:84525101-84525113(+) AGAAGGAAGCCT >mm10_chr3:84525714-84525725(+)::chr3:84525713-84525725(+) AACAGGAAGGGG >mm10_chr3:84529296-84529307(-)::chr3:84529295-84529307(-) AGGAGGAAGTGG >mm10_chr3:84580850-84580861(+)::chr3:84580849-84580861(+) ACCAGGAACTAA >mm10_chr3:84581897-84581908(-)::chr3:84581896-84581908(-) GAAAGGAAGCGt >mm10_chr3:84581939-84581950(+)::chr3:84581938-84581950(+) ATAAGGAACGCA >mm10_chr3:84581967-84581978(-)::chr3:84581966-84581978(-) AGGAGGAAATTG >mm10_chr3:84582382-84582393(-)::chr3:84582381-84582393(-) GAAAGGAAGGGG >mm10_chr3:84647650-84647661(-)::chr3:84647649-84647661(-) gaaaggaagtga >mm10_chr3:84656347-84656358(+)::chr3:84656346-84656358(+) AGAAGGAAGTGA >mm10_chr3:84656382-84656393(-)::chr3:84656381-84656393(-) TTAAGGAAGCGG >mm10_chr3:84657429-84657440(-)::chr3:84657428-84657440(-) GGAAGGAAATAA >mm10_chr3:84657433-84657444(-)::chr3:84657432-84657444(-) CGGAGGAAGGAA >mm10_chr3:84657499-84657510(-)::chr3:84657498-84657510(-) AGGAGGAAGCAG >mm10_chr3:84666219-84666230(-)::chr3:84666218-84666230(-) TTTAGGAAGTGA >mm10_chr3:84666282-84666293(+)::chr3:84666281-84666293(+) AACAGGAAATGT >mm10_chr3:84688380-84688391(-)::chr3:84688379-84688391(-) CCCAGGAAGTCT >mm10_chr3:84734222-84734233(+)::chr3:84734221-84734233(+) ACAAGGATGTGA >mm10_chr3:84734233-84734244(-)::chr3:84734232-84734244(-) TGGAGGAAATAT >mm10_chr3:84772419-84772430(-)::chr3:84772418-84772430(-) GCGAGGAAGCTT >mm10_chr3:84774512-84774523(-)::chr3:84774511-84774523(-) AACAGGAACTAA >mm10_chr3:84780159-84780170(-)::chr3:84780158-84780170(-) acaaggaacata >mm10_chr3:84814429-84814440(-)::chr3:84814428-84814440(-) AAGAGGAAGTCG >mm10_chr3:84828732-84828743(+)::chr3:84828731-84828743(+) TTACGGAAGTGA >mm10_chr3:84828977-84828988(-)::chr3:84828976-84828988(-) TACAGGAAGTCT >mm10_chr3:84830641-84830652(-)::chr3:84830640-84830652(-) ATGAGGAAGAAG >mm10_chr3:84836015-84836026(-)::chr3:84836014-84836026(-) GCAAGGAAGTGA >mm10_chr3:84836032-84836043(-)::chr3:84836031-84836043(-) AGAAGGAAGGGA >mm10_chr3:84837804-84837815(-)::chr3:84837803-84837815(-) AGAAGGAAAAGT >mm10_chr3:84847518-84847529(-)::chr3:84847517-84847529(-) AGAAGGAAATTG >mm10_chr3:84847525-84847536(-)::chr3:84847524-84847536(-) GTAAGGAAGAAG >mm10_chr3:84851323-84851334(-)::chr3:84851322-84851334(-) AGAAGGAAGACA >mm10_chr3:84853099-84853110(-)::chr3:84853098-84853110(-) tgcaggaagggt >mm10_chr3:84903850-84903861(+)::chr3:84903849-84903861(+) ATCAGGAAGAGC >mm10_chr3:84903862-84903873(+)::chr3:84903861-84903873(+) AAGAGGAAGATG >mm10_chr3:84903895-84903906(+)::chr3:84903894-84903906(+) aggaggaagagg >mm10_chr3:84903904-84903915(+)::chr3:84903903-84903915(+) aggaggaagagg >mm10_chr3:84923383-84923394(+)::chr3:84923382-84923394(+) gacaggaagaca >mm10_chr3:84923423-84923434(-)::chr3:84923422-84923434(-) tcaaggaaataa >mm10_chr3:84957913-84957924(+)::chr3:84957912-84957924(+) ATAAGGAAGGGA >mm10_chr3:84957930-84957941(+)::chr3:84957929-84957941(+) GTgaggaagagg >mm10_chr3:84957936-84957947(+)::chr3:84957935-84957947(+) aagaggaagagg >mm10_chr3:84957954-84957965(+)::chr3:84957953-84957965(+) aggaggaagagg >mm10_chr3:84967684-84967695(-)::chr3:84967683-84967695(-) TCAAGGAAGTGA >mm10_chr3:84967724-84967735(+)::chr3:84967723-84967735(+) TAAAGGAAGCCA >mm10_chr3:84971129-84971140(-)::chr3:84971128-84971140(-) ACAAGGATGTGC >mm10_chr3:84982505-84982516(-)::chr3:84982504-84982516(-) GCAAGGATGTCA >mm10_chr3:84987120-84987131(-)::chr3:84987119-84987131(-) AGGAGGAAGGGC >mm10_chr3:84987136-84987147(+)::chr3:84987135-84987147(+) ACCAGGAAGGCA >mm10_chr3:85005541-85005552(+)::chr3:85005540-85005552(+) ATAAGGAAAAGG >mm10_chr3:85161919-85161930(-)::chr3:85161918-85161930(-) aagaggaagaag >mm10_chr3:85161925-85161936(-)::chr3:85161924-85161936(-) aggaggaagagg >mm10_chr3:85195128-85195139(+)::chr3:85195127-85195139(+) gagaggaagtca >mm10_chr3:85302022-85302033(-)::chr3:85302021-85302033(-) GAGAGGAAGTGC >mm10_chr3:85378438-85378449(-)::chr3:85378437-85378449(-) TCGAGGAAATGA >mm10_chr3:85417829-85417840(+)::chr3:85417828-85417840(+) ataaGGAAATAA >mm10_chr3:85419939-85419950(-)::chr3:85419938-85419950(-) AACAGGAAGTTC >mm10_chr3:85435564-85435575(-)::chr3:85435563-85435575(-) ACACGGAAGAGC >mm10_chr3:85528366-85528377(+)::chr3:85528365-85528377(+) AACAGGAAGCAG >mm10_chr3:85542962-85542973(-)::chr3:85542961-85542973(-) atagggaaggaa >mm10_chr3:85567149-85567160(+)::chr3:85567148-85567160(+) TGCAGGAAGAGA >mm10_chr3:85567157-85567168(+)::chr3:85567156-85567168(+) GAGAGGAAGTAA >mm10_chr3:85567170-85567181(+)::chr3:85567169-85567181(+) TCAAGGAAGAAA >mm10_chr3:85571402-85571413(+)::chr3:85571401-85571413(+) TCAAGGAAGCAA >mm10_chr3:85603863-85603874(+)::chr3:85603862-85603874(+) ACAAGGAAGGAC >mm10_chr3:85661521-85661532(+)::chr3:85661520-85661532(+) tcaaggaagaag >mm10_chr3:85712275-85712286(-)::chr3:85712274-85712286(-) attaggaagtca >mm10_chr3:85712339-85712350(-)::chr3:85712338-85712350(-) atagggaaggag >mm10_chr3:85764584-85764595(+)::chr3:85764583-85764595(+) ACGAGGAAGCAT >mm10_chr3:85842921-85842932(-)::chr3:85842920-85842932(-) GTGAGGAAGTCA >mm10_chr3:85858671-85858682(-)::chr3:85858670-85858682(-) tgcaggaagtgc >mm10_chr3:85876579-85876590(-)::chr3:85876578-85876590(-) AAGAGGAAGCTA >mm10_chr3:85879552-85879563(+)::chr3:85879551-85879563(+) GGGAGGAAGGAG >mm10_chr3:85879588-85879599(+)::chr3:85879587-85879599(+) ATGAGGAAGAGA >mm10_chr3:85887039-85887050(+)::chr3:85887038-85887050(+) TGCAGGAAGGAG >mm10_chr3:85887064-85887075(-)::chr3:85887063-85887075(-) AGGAGGAAGAGA >mm10_chr3:85891818-85891829(-)::chr3:85891817-85891829(-) GGAGGGAAGTGT >mm10_chr3:85910791-85910802(+)::chr3:85910790-85910802(+) tcaaggaagcag >mm10_chr3:85940110-85940121(-)::chr3:85940109-85940121(-) AGAAGGAAGTAG >mm10_chr3:85961311-85961322(+)::chr3:85961310-85961322(+) gtgaggaaggaa >mm10_chr3:85961315-85961326(+)::chr3:85961314-85961326(+) ggaaggaagacc >mm10_chr3:85975598-85975609(+)::chr3:85975597-85975609(+) TGGAGGAAGAGG >mm10_chr3:85981992-85982003(+)::chr3:85981991-85982003(+) aggaggaagtag >mm10_chr3:85993882-85993893(+)::chr3:85993881-85993893(+) AACAGGAAGTCA >mm10_chr3:86051769-86051780(+)::chr3:86051768-86051780(+) gaaaggaaggaa >mm10_chr3:86051773-86051784(+)::chr3:86051772-86051784(+) ggaaggaaggaa >mm10_chr3:86051777-86051788(+)::chr3:86051776-86051788(+) ggaaggaaggaa >mm10_chr3:86051781-86051792(+)::chr3:86051780-86051792(+) ggaaggaaggaa >mm10_chr3:86051785-86051796(+)::chr3:86051784-86051796(+) ggaaggaaggaa >mm10_chr3:86051789-86051800(+)::chr3:86051788-86051800(+) ggaaggaaggaa >mm10_chr3:86051793-86051804(+)::chr3:86051792-86051804(+) ggaaggaaggaa >mm10_chr3:86051797-86051808(+)::chr3:86051796-86051808(+) ggaaggaaggaa >mm10_chr3:86051801-86051812(+)::chr3:86051800-86051812(+) ggaaggaaggaa >mm10_chr3:86051805-86051816(+)::chr3:86051804-86051816(+) ggaaggaaggaa >mm10_chr3:86051809-86051820(+)::chr3:86051808-86051820(+) ggaaggaaggaa >mm10_chr3:86051813-86051824(+)::chr3:86051812-86051824(+) ggaaggaaggta >mm10_chr3:86072284-86072295(+)::chr3:86072283-86072295(+) AGGAGGAAGTAA >mm10_chr3:86077118-86077129(+)::chr3:86077117-86077129(+) AGGAGGAAGCTG >mm10_chr3:86343619-86343630(-)::chr3:86343618-86343630(-) ggaaggaagaag >mm10_chr3:86343623-86343634(-)::chr3:86343622-86343634(-) gccaggaaggaa >mm10_chr3:86343646-86343657(-)::chr3:86343645-86343657(-) aagaggaagtgt >mm10_chr3:86343678-86343689(+)::chr3:86343677-86343689(+) aagaggaagggt >mm10_chr3:86509643-86509654(-)::chr3:86509642-86509654(-) agaaggaagctt >mm10_chr3:86509658-86509669(-)::chr3:86509657-86509669(-) aagaggaagaag >mm10_chr3:86509664-86509675(-)::chr3:86509663-86509675(-) aacaggaagagg >mm10_chr3:86509691-86509702(-)::chr3:86509690-86509702(-) ACAAGGAAGAGA >mm10_chr3:86617145-86617156(+)::chr3:86617144-86617156(+) AAAAGGAAGTAT >mm10_chr3:86793920-86793931(+)::chr3:86793919-86793931(+) ACAAGGAAACGG >mm10_chr3:86793926-86793937(+)::chr3:86793925-86793937(+) AAACGGAAGAAC >mm10_chr3:86793963-86793974(+)::chr3:86793962-86793974(+) AGCAGGAAGGCA >mm10_chr3:86809977-86809988(+)::chr3:86809976-86809988(+) ACCAGGAAGTGT >mm10_chr3:86845747-86845758(+)::chr3:86845746-86845758(+) ACAAGGAAATGG >mm10_chr3:86884602-86884613(-)::chr3:86884601-86884613(-) AGGAGGAAGCAG >mm10_chr3:86999360-86999371(+)::chr3:86999359-86999371(+) GGAAGGACGCGC >mm10_chr3:87008844-87008855(+)::chr3:87008843-87008855(+) tacaggaagtgc >mm10_chr3:87011254-87011265(+)::chr3:87011253-87011265(+) AAGAGGAAGAGG >mm10_chr3:87011286-87011297(+)::chr3:87011285-87011297(+) AGAAGGAAGAGA >mm10_chr3:87011294-87011305(+)::chr3:87011293-87011305(+) GAGAGGAAGGTA >mm10_chr3:87011306-87011317(+)::chr3:87011305-87011317(+) TGGAGGAAGAGA >mm10_chr3:87152368-87152379(-)::chr3:87152367-87152379(-) AGCAGGAAGCAG >mm10_chr3:87152381-87152392(-)::chr3:87152380-87152392(-) AGAAGGAAGGGG >mm10_chr3:87194003-87194014(-)::chr3:87194002-87194014(-) AAGAGGAAggga >mm10_chr3:87194021-87194032(+)::chr3:87194020-87194032(+) AGGAGGAAGGCT >mm10_chr3:87215133-87215144(-)::chr3:87215132-87215144(-) AGGAGGAAGGAA >mm10_chr3:87223813-87223824(+)::chr3:87223812-87223824(+) aacaggaagtag >mm10_chr3:87369371-87369382(+)::chr3:87369370-87369382(+) AGGAGGAAGGCC >mm10_chr3:87369388-87369399(+)::chr3:87369387-87369399(+) GAGAGGAAGTCA >mm10_chr3:87376292-87376303(+)::chr3:87376291-87376303(+) TGGAGGAAGAAC >mm10_chr3:87509361-87509372(-)::chr3:87509360-87509372(-) AGCAGGAAGTCT >mm10_chr3:87525582-87525593(-)::chr3:87525581-87525593(-) AGAAGGAAGTGA >mm10_chr3:87561730-87561741(-)::chr3:87561729-87561741(-) TGAAGGAAGTAA >mm10_chr3:87569341-87569352(+)::chr3:87569340-87569352(+) gtaaggaagaga >mm10_chr3:87569379-87569390(-)::chr3:87569378-87569390(-) tgtaggaagtag >mm10_chr3:87589485-87589496(+)::chr3:87589484-87589496(+) atgaggaagatg >mm10_chr3:87589545-87589556(+)::chr3:87589544-87589556(+) ggaaggaagggg >mm10_chr3:87589567-87589578(+)::chr3:87589566-87589578(+) agaaggaagaag >mm10_chr3:87638477-87638488(-)::chr3:87638476-87638488(-) AGGAGGAAATGG >mm10_chr3:87679212-87679223(-)::chr3:87679211-87679223(-) AAGAGGAAGTTT >mm10_chr3:87679257-87679268(+)::chr3:87679256-87679268(+) AACAGGAAGTGT >mm10_chr3:87829178-87829189(-)::chr3:87829177-87829189(-) ggcaggaagtag >mm10_chr3:87829203-87829214(-)::chr3:87829202-87829214(-) ataaggaactga >mm10_chr3:87854874-87854885(+)::chr3:87854873-87854885(+) GCAAGGAACTGT >mm10_chr3:87875298-87875309(-)::chr3:87875297-87875309(-) GCCAGGAAGTGT >mm10_chr3:87889318-87889329(-)::chr3:87889317-87889329(-) acaaggaagtaa >mm10_chr3:87889361-87889372(-)::chr3:87889360-87889372(-) acaaggaaatag >mm10_chr3:87910034-87910045(+)::chr3:87910033-87910045(+) AGCAGGAAGTTG >mm10_chr3:87919610-87919621(-)::chr3:87919609-87919621(-) GAAAGGAAGGCT >mm10_chr3:87943745-87943756(+)::chr3:87943744-87943756(+) TCCAGGAAATAC >mm10_chr3:87957216-87957227(-)::chr3:87957215-87957227(-) GTAAGGAAGATT >mm10_chr3:87957226-87957237(-)::chr3:87957225-87957237(-) GTAAGGAAGAGT >mm10_chr3:87958631-87958642(-)::chr3:87958630-87958642(-) AAAAGGAAGCCA >mm10_chr3:87986982-87986993(+)::chr3:87986981-87986993(+) AGCCGGAAGTCC >mm10_chr3:88043107-88043118(-)::chr3:88043106-88043118(-) TCCCGGAAGTGA >mm10_chr3:88043521-88043532(+)::chr3:88043520-88043532(+) TCCGGGAAGTGT >mm10_chr3:88055340-88055351(+)::chr3:88055339-88055351(+) AGGAGGAAGCAG >mm10_chr3:88081971-88081982(+)::chr3:88081970-88081982(+) AACGGGAAGCGT >mm10_chr3:88083003-88083014(+)::chr3:88083002-88083014(+) ATGAGGAAATGG >mm10_chr3:88083016-88083027(+)::chr3:88083015-88083027(+) ATAAGGAATAAA >mm10_chr3:88097677-88097688(+)::chr3:88097676-88097688(+) ACAAGGAAGGAA >mm10_chr3:88112096-88112107(+)::chr3:88112095-88112107(+) AGGAGGAAGGGC >mm10_chr3:88140260-88140271(-)::chr3:88140259-88140271(-) AGCAGGAAGAGC >mm10_chr3:88140300-88140311(-)::chr3:88140299-88140311(-) GGAAGGAAGGTG >mm10_chr3:88140304-88140315(-)::chr3:88140303-88140315(-) ATGAGGAAGGAA >mm10_chr3:88141642-88141653(+)::chr3:88141641-88141653(+) GACAGGAAGTCT >mm10_chr3:88142432-88142443(+)::chr3:88142431-88142443(+) AGGAGGAAGGAA >mm10_chr3:88142436-88142447(+)::chr3:88142435-88142447(+) GGAAGGAAGCAA >mm10_chr3:88150899-88150910(+)::chr3:88150898-88150910(+) AGAAGGGAGTGA >mm10_chr3:88178256-88178267(-)::chr3:88178255-88178267(-) TGCAGGAAGTTG >mm10_chr3:88224418-88224429(+)::chr3:88224417-88224429(+) TGGAGGAAGTGA >mm10_chr3:88224464-88224475(+)::chr3:88224463-88224475(+) TCAAGGAAGTAA >mm10_chr3:88230381-88230392(+)::chr3:88230380-88230392(+) AGAAGGAAAGGA >mm10_chr3:88297902-88297913(-)::chr3:88297901-88297913(-) ACAAGGAACTGG >mm10_chr3:88336172-88336183(-)::chr3:88336171-88336183(-) TCCGGGAAGTCA >mm10_chr3:88356623-88356634(+)::chr3:88356622-88356634(+) ACCAGGAAGACA >mm10_chr3:88356660-88356671(+)::chr3:88356659-88356671(+) ATGGGGAAGTGA >mm10_chr3:88358243-88358254(+)::chr3:88358242-88358254(+) GGAAGGAAGGCT >mm10_chr3:88358258-88358269(+)::chr3:88358257-88358269(+) AGCAGGAAGGAG >mm10_chr3:88394149-88394160(+)::chr3:88394148-88394160(+) GAAAGGAAGTTT >mm10_chr3:88395997-88396008(-)::chr3:88395996-88396008(-) AACAGGAAGCCA >mm10_chr3:88396041-88396052(+)::chr3:88396040-88396052(+) TTCAGGAAGTAG >mm10_chr3:88443069-88443080(+)::chr3:88443068-88443080(+) ACAAGGAAGTAA >mm10_chr3:88446663-88446674(+)::chr3:88446662-88446674(+) aggaggaaggga >mm10_chr3:88455762-88455773(+)::chr3:88455761-88455773(+) GGGCGGAAGTTG >mm10_chr3:88484166-88484177(+)::chr3:88484165-88484177(+) Tggaggaaggag >mm10_chr3:88484173-88484184(+)::chr3:88484172-88484184(+) aggaggaaggag >mm10_chr3:88484180-88484191(+)::chr3:88484179-88484191(+) aggaggaaggag >mm10_chr3:88493116-88493127(-)::chr3:88493115-88493127(-) GGGAGGAAATGC >mm10_chr3:88512427-88512438(+)::chr3:88512426-88512438(+) GTCAGGAAGTAG >mm10_chr3:88522965-88522976(+)::chr3:88522964-88522976(+) GAGAGGAAGAAG >mm10_chr3:88522972-88522983(+)::chr3:88522971-88522983(+) AGAAGGAAAAAA >mm10_chr3:88523001-88523012(+)::chr3:88523000-88523012(+) CCAAGGAAGGGT >mm10_chr3:88523015-88523026(+)::chr3:88523014-88523026(+) GTGAGGAAGAAA >mm10_chr3:88523550-88523561(-)::chr3:88523549-88523561(-) accaggaaggaa >mm10_chr3:88523800-88523811(+)::chr3:88523799-88523811(+) aacaggaagatc >mm10_chr3:88523845-88523856(+)::chr3:88523844-88523856(+) gccaggaagaac >mm10_chr3:88523862-88523873(+)::chr3:88523861-88523873(+) tcaaggaaataa >mm10_chr3:88542454-88542465(+)::chr3:88542453-88542465(+) CTGAGGAAGTGT >mm10_chr3:88542965-88542976(+)::chr3:88542964-88542976(+) agaaggaagaag >mm10_chr3:88542972-88542983(+)::chr3:88542971-88542983(+) agaaggaacttg >mm10_chr3:88544781-88544792(-)::chr3:88544780-88544792(-) TACAGGAAGAAT >mm10_chr3:88548298-88548309(+)::chr3:88548297-88548309(+) AACAGGAAGAGC >mm10_chr3:88550404-88550415(+)::chr3:88550403-88550415(+) ggcaggaagtag >mm10_chr3:88550427-88550438(+)::chr3:88550426-88550438(+) tccaggaagaga >mm10_chr3:88550476-88550487(+)::chr3:88550475-88550487(+) atgaggaagcag >mm10_chr3:88562007-88562018(+)::chr3:88562006-88562018(+) TCAAGGAAGGAG >mm10_chr3:88562035-88562046(+)::chr3:88562034-88562046(+) ACCAGGAAGTTC >mm10_chr3:88567995-88568006(+)::chr3:88567994-88568006(+) agaaggaaggaa >mm10_chr3:88567999-88568010(+)::chr3:88567998-88568010(+) ggaaggaaggga >mm10_chr3:88568011-88568022(+)::chr3:88568010-88568022(+) agaaggaaggga >mm10_chr3:88582019-88582030(+)::chr3:88582018-88582030(+) tacaggaagttc >mm10_chr3:88582062-88582073(+)::chr3:88582061-88582073(+) AATAGGAAGTGT >mm10_chr3:88593992-88594003(-)::chr3:88593991-88594003(-) ACCAGGAAATGC >mm10_chr3:88594015-88594026(-)::chr3:88594014-88594026(-) CAAAGGAAATTA >mm10_chr3:88607679-88607690(+)::chr3:88607678-88607690(+) AACAGGAAGGGC >mm10_chr3:88620473-88620484(+)::chr3:88620472-88620484(+) ACAAGGAAGGAT >mm10_chr3:88621159-88621170(-)::chr3:88621158-88621170(-) GACAGGAAGTCT >mm10_chr3:88634288-88634299(+)::chr3:88634287-88634299(+) ACAAGGAAGTGG >mm10_chr3:88643971-88643982(+)::chr3:88643970-88643982(+) TGCAGGAAGAGC >mm10_chr3:88654762-88654773(+)::chr3:88654761-88654773(+) GGAAGGAAGCAG >mm10_chr3:88664214-88664225(+)::chr3:88664213-88664225(+) aggaggaagctg >mm10_chr3:88685787-88685798(+)::chr3:88685786-88685798(+) GGGCGGAAGTGG >mm10_chr3:88686068-88686079(+)::chr3:88686067-88686079(+) AGAGGGAAGGGA >mm10_chr3:88686383-88686394(-)::chr3:88686382-88686394(-) GGCAGGAAGAGA >mm10_chr3:88718019-88718030(+)::chr3:88718018-88718030(+) AAAAGGAAGCAG >mm10_chr3:88719187-88719198(+)::chr3:88719186-88719198(+) AGAGGGAAGTGA >mm10_chr3:88785750-88785761(-)::chr3:88785749-88785761(-) aggaggaagaaa >mm10_chr3:88785768-88785779(-)::chr3:88785767-88785779(-) aggaggaagaag >mm10_chr3:88785777-88785788(-)::chr3:88785776-88785788(-) agaaggaagagg >mm10_chr3:88785789-88785800(-)::chr3:88785788-88785800(-) agaaggaagaag >mm10_chr3:88785810-88785821(-)::chr3:88785809-88785821(-) aagaggaagaag >mm10_chr3:88914008-88914019(-)::chr3:88914007-88914019(-) AAGAGGAAGAGG >mm10_chr3:88914059-88914070(-)::chr3:88914058-88914070(-) AGAAGGAACGGA >mm10_chr3:88949972-88949983(+)::chr3:88949971-88949983(+) GGCAGGAAGCTA >mm10_chr3:88951139-88951150(+)::chr3:88951138-88951150(+) ACCGGGAAGTCC >mm10_chr3:89088584-89088595(+)::chr3:89088583-89088595(+) ATGAGGAAGCAA >mm10_chr3:89123513-89123524(+)::chr3:89123512-89123524(+) ATGAGGAAGCAG >mm10_chr3:89136739-89136750(+)::chr3:89136738-89136750(+) GGAGGGAAGTGG >mm10_chr3:89136776-89136787(+)::chr3:89136775-89136787(+) AGAAGGAAGTAA >mm10_chr3:89136788-89136799(+)::chr3:89136787-89136799(+) ACAAGGAAAAGT >mm10_chr3:89142253-89142264(+)::chr3:89142252-89142264(+) AACAGGAAGGGT >mm10_chr3:89177298-89177309(+)::chr3:89177297-89177309(+) GCTAGGAAGTCA >mm10_chr3:89177312-89177323(+)::chr3:89177311-89177323(+) TGGAGGAAGTGT >mm10_chr3:89177365-89177376(-)::chr3:89177364-89177376(-) AGCGGGAAGTAC >mm10_chr3:89196064-89196075(+)::chr3:89196063-89196075(+) AAAAGGAAGAGT >mm10_chr3:89196783-89196794(-)::chr3:89196782-89196794(-) TAAAGGAAATCA >mm10_chr3:89200037-89200048(-)::chr3:89200036-89200048(-) TCCAGGAAGTGT >mm10_chr3:89200050-89200061(+)::chr3:89200049-89200061(+) ATCAGGAAGTCT >mm10_chr3:89202872-89202883(-)::chr3:89202871-89202883(-) TGCAGGAAGTGA >mm10_chr3:89202933-89202944(+)::chr3:89202932-89202944(+) GGAAGGAACTTG >mm10_chr3:89233611-89233622(+)::chr3:89233610-89233622(+) TGCAGGAAGGAG >mm10_chr3:89233618-89233629(+)::chr3:89233617-89233629(+) AGGAGGAAATGA >mm10_chr3:89233923-89233934(+)::chr3:89233922-89233934(+) ATGAGGAAGTGG >mm10_chr3:89236582-89236593(+)::chr3:89236581-89236593(+) TCAAGGAAGAAG >mm10_chr3:89236606-89236617(+)::chr3:89236605-89236617(+) AGCAGGAAGTTG >mm10_chr3:89236622-89236633(+)::chr3:89236621-89236633(+) AGAAGGAAGGAC >mm10_chr3:89237979-89237990(+)::chr3:89237978-89237990(+) AAGAGGAAGCAG >mm10_chr3:89238513-89238524(+)::chr3:89238512-89238524(+) CTAAGGAAGGAA >mm10_chr3:89238517-89238528(+)::chr3:89238516-89238528(+) GGAAGGAAGGTG >mm10_chr3:89239326-89239337(-)::chr3:89239325-89239337(-) CGCAGGAAGTCC >mm10_chr3:89242617-89242628(+)::chr3:89242616-89242628(+) AGAAGGAAGTGG >mm10_chr3:89246353-89246364(-)::chr3:89246352-89246364(-) AGGAGGAAATAA >mm10_chr3:89246396-89246407(+)::chr3:89246395-89246407(+) TAGAGGAAGAAG >mm10_chr3:89258130-89258141(-)::chr3:89258129-89258141(-) GGGAGGAAGGAC >mm10_chr3:89266561-89266572(-)::chr3:89266560-89266572(-) ATCCGGAAGTCC >mm10_chr3:89278264-89278275(-)::chr3:89278263-89278275(-) GACGGGAAGTCA >mm10_chr3:89282060-89282071(+)::chr3:89282059-89282071(+) TAAAGGAAATAA >mm10_chr3:89285392-89285403(-)::chr3:89285391-89285403(-) TCCAGGAAGAGA >mm10_chr3:89308182-89308193(+)::chr3:89308181-89308193(+) aggaggaagagg >mm10_chr3:89317016-89317027(+)::chr3:89317015-89317027(+) ACAAGGAAGCCT >mm10_chr3:89317038-89317049(+)::chr3:89317037-89317049(+) CTAAGGAAGGAG >mm10_chr3:89327002-89327013(-)::chr3:89327001-89327013(-) ACCAGGAAGTGA >mm10_chr3:89327251-89327262(+)::chr3:89327250-89327262(+) ATCAGGAAGCTG >mm10_chr3:89327747-89327758(+)::chr3:89327746-89327758(+) TACAGGAAATTA >mm10_chr3:89347324-89347335(-)::chr3:89347323-89347335(-) TGGAGGAAGTGG >mm10_chr3:89355075-89355086(-)::chr3:89355074-89355086(-) AGAGGGAAGGGA >mm10_chr3:89355119-89355130(-)::chr3:89355118-89355130(-) GGAAGGAAGTGG >mm10_chr3:89360765-89360776(-)::chr3:89360764-89360776(-) agaaggaaaaaa >mm10_chr3:89374481-89374492(-)::chr3:89374480-89374492(-) AAAAGGAAGAGG >mm10_chr3:89374497-89374508(-)::chr3:89374496-89374508(-) AGGAGGAAGAAG >mm10_chr3:89383218-89383229(-)::chr3:89383217-89383229(-) GGACGGAAGAGG >mm10_chr3:89383285-89383296(-)::chr3:89383284-89383296(-) gaaaggaagtga >mm10_chr3:89390269-89390280(-)::chr3:89390268-89390280(-) GGGAGGAAGGTG >mm10_chr3:89395168-89395179(-)::chr3:89395167-89395179(-) AGAAGGAAGGGA >mm10_chr3:89410854-89410865(-)::chr3:89410853-89410865(-) AGAAGGAAGGTA >mm10_chr3:89419746-89419757(-)::chr3:89419745-89419757(-) AGCAGGAAATGG >mm10_chr3:89419756-89419767(-)::chr3:89419755-89419767(-) GGCAGGAAATAG >mm10_chr3:89437000-89437011(+)::chr3:89436999-89437011(+) AGAAGGAAGTTA >mm10_chr3:89439211-89439222(-)::chr3:89439210-89439222(-) aggaggaagcag >mm10_chr3:89439245-89439256(-)::chr3:89439244-89439256(-) aggaggaagaag >mm10_chr3:89439265-89439276(-)::chr3:89439264-89439276(-) aagaggaagagg >mm10_chr3:89439280-89439291(-)::chr3:89439279-89439291(-) aagaggaagatg >mm10_chr3:89439289-89439300(-)::chr3:89439288-89439300(-) aagaggaagaag >mm10_chr3:89439326-89439337(-)::chr3:89439325-89439337(-) AGAAGGAAGAAA >mm10_chr3:89439333-89439344(-)::chr3:89439332-89439344(-) GGAAGGAAGAAG >mm10_chr3:89439337-89439348(-)::chr3:89439336-89439348(-) AAGAGGAAGGAA >mm10_chr3:89482408-89482419(-)::chr3:89482407-89482419(-) caaaggaaggct >mm10_chr3:89482478-89482489(-)::chr3:89482477-89482489(-) TCAAGGAAGTGA >mm10_chr3:89493510-89493521(-)::chr3:89493509-89493521(-) acaaggaaaaca >mm10_chr3:89494284-89494295(+)::chr3:89494283-89494295(+) ACGCGGAAGAAG >mm10_chr3:89494291-89494302(+)::chr3:89494290-89494302(+) AGAAGGAAGCAG >mm10_chr3:89547129-89547140(+)::chr3:89547128-89547140(+) TGAAGGAAGACA >mm10_chr3:89547168-89547179(+)::chr3:89547167-89547179(+) GACAGGAAGTTG >mm10_chr3:89547194-89547205(+)::chr3:89547193-89547205(+) GACAGGAAGAAA >mm10_chr3:89556474-89556485(-)::chr3:89556473-89556485(-) TTAAGGAAGACA >mm10_chr3:89556493-89556504(-)::chr3:89556492-89556504(-) GACAGGAAATGC >mm10_chr3:89579238-89579249(-)::chr3:89579237-89579249(-) AGAAGGAACTGG >mm10_chr3:89579263-89579274(+)::chr3:89579262-89579274(+) AGCAGGAAGCTA >mm10_chr3:89630398-89630409(+)::chr3:89630397-89630409(+) ACAAGGAAGGTC >mm10_chr3:89664221-89664232(+)::chr3:89664220-89664232(+) actaggaagaag >mm10_chr3:89664228-89664239(+)::chr3:89664227-89664239(+) agaaggaaacag >mm10_chr3:89727271-89727282(+)::chr3:89727270-89727282(+) AGGAGGAAGTGC >mm10_chr3:89730741-89730752(+)::chr3:89730740-89730752(+) TCAAGGAAACGA >mm10_chr3:89742915-89742926(+)::chr3:89742914-89742926(+) GTCAGGAAGTAT >mm10_chr3:89742926-89742937(+)::chr3:89742925-89742937(+) TGAAGGAAGCAT >mm10_chr3:89742936-89742947(-)::chr3:89742935-89742947(-) AGGAGGAAGAAT >mm10_chr3:89773310-89773321(+)::chr3:89773309-89773321(+) ACAGGGAAGCGC >mm10_chr3:89773367-89773378(+)::chr3:89773366-89773378(+) GCACGGAAGCGC >mm10_chr3:89773621-89773632(+)::chr3:89773620-89773632(+) ATCAGGAAGTGC >mm10_chr3:89781336-89781347(-)::chr3:89781335-89781347(-) AGCAGGAAGTCA >mm10_chr3:89785335-89785346(+)::chr3:89785334-89785346(+) ACCAGGAAGGCC >mm10_chr3:89785369-89785380(+)::chr3:89785368-89785380(+) AGGAGGAAGGTG >mm10_chr3:89832364-89832375(+)::chr3:89832363-89832375(+) GAAAGGAACTGG >mm10_chr3:89843358-89843369(-)::chr3:89843357-89843369(-) AAGAGGAAGCTA >mm10_chr3:89843371-89843382(-)::chr3:89843370-89843382(-) AGGAGGAAATGG >mm10_chr3:89843391-89843402(-)::chr3:89843390-89843402(-) AAGAGGAAATGT >mm10_chr3:89847829-89847840(-)::chr3:89847828-89847840(-) agcaggaagctg >mm10_chr3:89847874-89847885(-)::chr3:89847873-89847885(-) ttaaggaagtga >mm10_chr3:89863836-89863847(+)::chr3:89863835-89863847(+) AGGAGGAAGTCC >mm10_chr3:89890402-89890413(-)::chr3:89890401-89890413(-) GGAAGGAAGCAG >mm10_chr3:89899014-89899025(-)::chr3:89899013-89899025(-) GCAAGGAAGGTA >mm10_chr3:89911365-89911376(-)::chr3:89911364-89911376(-) AGAAGGAAGAGG >mm10_chr3:89911392-89911403(-)::chr3:89911391-89911403(-) GGGAGGAAGTGA >mm10_chr3:89958525-89958536(+)::chr3:89958524-89958536(+) AACAGGAAGTAG >mm10_chr3:89958544-89958555(-)::chr3:89958543-89958555(-) TCCAGGAAGTTG >mm10_chr3:89958576-89958587(+)::chr3:89958575-89958587(+) GGCAGGAAGGTG >mm10_chr3:89973308-89973319(+)::chr3:89973307-89973319(+) aggaggaagtgc >mm10_chr3:89973327-89973338(-)::chr3:89973326-89973338(-) ataaggaagcaa >mm10_chr3:89998735-89998746(+)::chr3:89998734-89998746(+) CGGAGGAAGAGG >mm10_chr3:90052742-90052753(-)::chr3:90052741-90052753(-) AAGAGGAAGCCG >mm10_chr3:90079650-90079661(+)::chr3:90079649-90079661(+) AGGCGGAAGTAG >mm10_chr3:90083891-90083902(-)::chr3:90083890-90083902(-) ATACGGAAGACA >mm10_chr3:90083943-90083954(-)::chr3:90083942-90083954(-) ACAAGGAAACAA >mm10_chr3:90085652-90085663(-)::chr3:90085651-90085663(-) ACCAGGAAGATG >mm10_chr3:90088993-90089004(-)::chr3:90088992-90089004(-) aagaggaagaaa >mm10_chr3:90088999-90089010(-)::chr3:90088998-90089010(-) aagaggaagagg >mm10_chr3:90089962-90089973(+)::chr3:90089961-90089973(+) AGGAGGAAGTAA >mm10_chr3:90090800-90090811(+)::chr3:90090799-90090811(+) ATCAGGAAGTAC >mm10_chr3:90098966-90098977(-)::chr3:90098965-90098977(-) ATCAGGAAGAAA >mm10_chr3:90104232-90104243(-)::chr3:90104231-90104243(-) AACAGGAAGAAG >mm10_chr3:90122671-90122682(-)::chr3:90122670-90122682(-) ACAAGGAAGGAA >mm10_chr3:90160570-90160581(+)::chr3:90160569-90160581(+) gaaaggaagctg >mm10_chr3:90183834-90183845(+)::chr3:90183833-90183845(+) AATAGGAAGGGA >mm10_chr3:90211739-90211750(-)::chr3:90211738-90211750(-) GAAAGGAAATGC >mm10_chr3:90213664-90213675(-)::chr3:90213663-90213675(-) ATAAGGAACAGG >mm10_chr3:90213687-90213698(+)::chr3:90213686-90213698(+) GACAGGAAGTGA >mm10_chr3:90220793-90220804(-)::chr3:90220792-90220804(-) GCCAGGAAGTTT >mm10_chr3:90248780-90248791(+)::chr3:90248779-90248791(+) AGGAGGAAATGC >mm10_chr3:90251724-90251735(-)::chr3:90251723-90251735(-) ACCAGGAAGAAA >mm10_chr3:90254182-90254193(-)::chr3:90254181-90254193(-) GGCAGGAAGCGG >mm10_chr3:90259123-90259134(-)::chr3:90259122-90259134(-) AGGAGGAAGAAG >mm10_chr3:90265662-90265673(-)::chr3:90265661-90265673(-) GGGAGGAAGCGG >mm10_chr3:90266516-90266527(+)::chr3:90266515-90266527(+) TTCAGGAAGTAG >mm10_chr3:90323434-90323445(+)::chr3:90323433-90323445(+) ATAAGGAAGTCT >mm10_chr3:90358241-90358252(-)::chr3:90358240-90358252(-) TAAAGGAAATCG >mm10_chr3:90379581-90379592(+)::chr3:90379580-90379592(+) AGGAGGAAGGGG >mm10_chr3:90395857-90395868(+)::chr3:90395856-90395868(+) AGGAGGAAGAGC >mm10_chr3:90433960-90433971(-)::chr3:90433959-90433971(-) TTCAGGAAGTAG >mm10_chr3:90447388-90447399(+)::chr3:90447387-90447399(+) TCCAGGAAGAGG >mm10_chr3:90514458-90514469(+)::chr3:90514457-90514469(+) AGCGGGAAGTAG >mm10_chr3:90514827-90514838(-)::chr3:90514826-90514838(-) ATCAGGAAGGAC >mm10_chr3:90517741-90517752(-)::chr3:90517740-90517752(-) gggaggaaataa >mm10_chr3:90517760-90517771(-)::chr3:90517759-90517771(-) atgaggaaatga >mm10_chr3:90517772-90517783(-)::chr3:90517771-90517783(-) acaaggaaatcc >mm10_chr3:90518021-90518032(-)::chr3:90518020-90518032(-) acagggaaatac >mm10_chr3:90518142-90518153(-)::chr3:90518141-90518153(-) tgggggaagtac >mm10_chr3:90518186-90518197(-)::chr3:90518185-90518197(-) ggaaggaaggca >mm10_chr3:90518190-90518201(-)::chr3:90518189-90518201(-) gacaggaaggaa >mm10_chr3:90531974-90531985(-)::chr3:90531973-90531985(-) agcaggaagcaa >mm10_chr3:90560602-90560613(-)::chr3:90560601-90560613(-) gtaaggaaattc >mm10_chr3:90574787-90574798(+)::chr3:90574786-90574798(+) ACGAGGAACTTC >mm10_chr3:90668897-90668908(-)::chr3:90668896-90668908(-) CTGAGGAAGTGG >mm10_chr3:90669231-90669242(+)::chr3:90669230-90669242(+) TAAAGGAAGTGG >mm10_chr3:90680118-90680129(+)::chr3:90680117-90680129(+) ATAAGGAAATTG >mm10_chr3:90752763-90752774(-)::chr3:90752762-90752774(-) AAAAGGAATTCA >mm10_chr3:91204665-91204676(-)::chr3:91204664-91204676(-) AGCAGGAAATAT >mm10_chr3:91204714-91204725(+)::chr3:91204713-91204725(+) TACAGGAAATCA >mm10_chr3:92123175-92123186(-)::chr3:92123174-92123186(-) CTCAGGAAGTAT >mm10_chr3:92172070-92172081(+)::chr3:92172069-92172081(+) ACGAGGAAGAAA >mm10_chr3:93163163-93163174(+)::chr3:93163162-93163174(+) AGCAGGAAATCC >mm10_chr3:93342645-93342656(+)::chr3:93342644-93342656(+) acaaggaagaag >mm10_chr3:93342652-93342663(+)::chr3:93342651-93342663(+) agaaggaagagg >mm10_chr3:93374245-93374256(-)::chr3:93374244-93374256(-) acaaggaagctg >mm10_chr3:93375844-93375855(-)::chr3:93375843-93375855(-) ATGAGGAAATGG >mm10_chr3:93404142-93404153(-)::chr3:93404141-93404153(-) AGAAGGAAGGAA >mm10_chr3:93445404-93445415(+)::chr3:93445403-93445415(+) AGGAGGAAGAAC >mm10_chr3:93506469-93506480(-)::chr3:93506468-93506480(-) ACCAGGAAATGA >mm10_chr3:93521640-93521651(-)::chr3:93521639-93521651(-) AGAAGGAAACAA >mm10_chr3:93522853-93522864(-)::chr3:93522852-93522864(-) TAAAGGAAATTC >mm10_chr3:93522913-93522924(-)::chr3:93522912-93522924(-) ACCAGGAAGAGC >mm10_chr3:93552643-93552654(+)::chr3:93552642-93552654(+) GTAGGGAAGTAG >mm10_chr3:93552694-93552705(-)::chr3:93552693-93552705(-) TGCAGGAAATGG >mm10_chr3:93579582-93579593(+)::chr3:93579581-93579593(+) TGGAGGAAGAAC >mm10_chr3:93581751-93581762(-)::chr3:93581750-93581762(-) TCAAGGAAGGAG >mm10_chr3:93582957-93582968(-)::chr3:93582956-93582968(-) GTCAGGAAGATG >mm10_chr3:94309957-94309968(+)::chr3:94309956-94309968(+) ACAAGGAACTAA >mm10_chr3:94328845-94328856(-)::chr3:94328844-94328856(-) ACAAGGAAGTTG >mm10_chr3:94366622-94366633(-)::chr3:94366621-94366633(-) GGAAGGAAGAGG >mm10_chr3:94366703-94366714(-)::chr3:94366702-94366714(-) AGGAGGAAGTGG >mm10_chr3:94367020-94367031(-)::chr3:94367019-94367031(-) AGGAGGAACTAA >mm10_chr3:94373118-94373129(+)::chr3:94373117-94373129(+) GACAGGAAGAGG >mm10_chr3:94374808-94374819(+)::chr3:94374807-94374819(+) atgaggaagtcc >mm10_chr3:94379416-94379427(+)::chr3:94379415-94379427(+) GACAGGAAGTCT >mm10_chr3:94379452-94379463(-)::chr3:94379451-94379463(-) TCAAGGAAGAGG >mm10_chr3:94392492-94392503(-)::chr3:94392491-94392503(-) TCATGGAAGTAA >mm10_chr3:94413379-94413390(+)::chr3:94413378-94413390(+) AGAGGGAAGTTT >mm10_chr3:94421105-94421116(+)::chr3:94421104-94421116(+) agaaggaagaag >mm10_chr3:94446200-94446211(-)::chr3:94446199-94446211(-) GGGAGGAAGTAA >mm10_chr3:94446219-94446230(+)::chr3:94446218-94446230(+) CCCAGGAAGTCT >mm10_chr3:94455982-94455993(+)::chr3:94455981-94455993(+) TCCCGGAAGTAC >mm10_chr3:94461465-94461476(-)::chr3:94461464-94461476(-) CGGAGGAAGAGC >mm10_chr3:94469107-94469118(-)::chr3:94469106-94469118(-) AAGAGGAAGGAG >mm10_chr3:94469124-94469135(+)::chr3:94469123-94469135(+) aggaggaagcca >mm10_chr3:94469142-94469153(+)::chr3:94469141-94469153(+) aggaggaagcca >mm10_chr3:94476774-94476785(+)::chr3:94476773-94476785(+) AGCAGGAAGCAC >mm10_chr3:94477827-94477838(-)::chr3:94477826-94477838(-) GGAAGGAAGCAG >mm10_chr3:94477831-94477842(-)::chr3:94477830-94477842(-) ATGAGGAAGGAA >mm10_chr3:94479787-94479798(-)::chr3:94479786-94479798(-) TTCAGGAAGTCT >mm10_chr3:94479821-94479832(-)::chr3:94479820-94479832(-) AGCCGGAAGTGC >mm10_chr3:94479834-94479845(-)::chr3:94479833-94479845(-) AGAAGGAAGCGA >mm10_chr3:94560188-94560199(-)::chr3:94560187-94560199(-) agcaggaagaga >mm10_chr3:94567871-94567882(+)::chr3:94567870-94567882(+) ttaaggaaggaa >mm10_chr3:94567875-94567886(+)::chr3:94567874-94567886(+) ggaaggaagaca >mm10_chr3:94650741-94650752(-)::chr3:94650740-94650752(-) AGGAGGAAGATT >mm10_chr3:94650761-94650772(-)::chr3:94650760-94650772(-) AGAGGGAAGGGA >mm10_chr3:94694954-94694965(-)::chr3:94694953-94694965(-) ATAAGGAAGCCT >mm10_chr3:94694965-94694976(-)::chr3:94694964-94694976(-) gtAAGGAAATAA >mm10_chr3:94789248-94789259(+)::chr3:94789247-94789259(+) ATGAGGAAGTAG >mm10_chr3:94797360-94797371(-)::chr3:94797359-94797371(-) tctaggaagtga >mm10_chr3:94797418-94797429(-)::chr3:94797417-94797429(-) tctaggaagtca >mm10_chr3:94797437-94797448(-)::chr3:94797436-94797448(-) accaggaagcac >mm10_chr3:94838345-94838356(+)::chr3:94838344-94838356(+) TTGAGGAAGTCT >mm10_chr3:94869205-94869216(+)::chr3:94869204-94869216(+) ATAAGGAAGATC >mm10_chr3:94886983-94886994(-)::chr3:94886982-94886994(-) GGCAGGAAGAAG >mm10_chr3:94911467-94911478(+)::chr3:94911466-94911478(+) TGGAGGAAGTGC >mm10_chr3:94914277-94914288(-)::chr3:94914276-94914288(-) CAAAGGAAGGAG >mm10_chr3:94989835-94989846(-)::chr3:94989834-94989846(-) GAAAGGAAGTTA >mm10_chr3:94999264-94999275(+)::chr3:94999263-94999275(+) TAGAGGAAGTGA >mm10_chr3:94999272-94999283(+)::chr3:94999271-94999283(+) GTGAGGAAGGAA >mm10_chr3:95015417-95015428(-)::chr3:95015416-95015428(-) AGCAGGAAGTAg >mm10_chr3:95075985-95075996(+)::chr3:95075984-95075996(+) aacaggaagagg >mm10_chr3:95075991-95076002(+)::chr3:95075990-95076002(+) aagaggaagaag >mm10_chr3:95076000-95076011(+)::chr3:95075999-95076011(+) aagaggaaggaa >mm10_chr3:95076012-95076023(+)::chr3:95076011-95076023(+) agaaggaaagag >mm10_chr3:95076019-95076030(+)::chr3:95076018-95076030(+) aagaggaagaag >mm10_chr3:95076031-95076042(+)::chr3:95076030-95076042(+) aagaggaagagg >mm10_chr3:95098876-95098887(-)::chr3:95098875-95098887(-) AGAAGGAAAAGG >mm10_chr3:95098883-95098894(-)::chr3:95098882-95098894(-) AAAAGGAAGAAG >mm10_chr3:95110988-95110999(-)::chr3:95110987-95110999(-) TGACGGAAGTTC >mm10_chr3:95111276-95111287(+)::chr3:95111275-95111287(+) AAGAGGAAGGGG >mm10_chr3:95129073-95129084(-)::chr3:95129072-95129084(-) GAAAGGAAGAGC >mm10_chr3:95129115-95129126(-)::chr3:95129114-95129126(-) AAAAGGAAGGGT >mm10_chr3:95160061-95160072(+)::chr3:95160060-95160072(+) TGATGGAAGTGA >mm10_chr3:95160144-95160155(-)::chr3:95160143-95160155(-) GGAAGGAAGACA >mm10_chr3:95171320-95171331(-)::chr3:95171319-95171331(-) AGAAGGAAGAGG >mm10_chr3:95188108-95188119(-)::chr3:95188107-95188119(-) aacaggaagtta >mm10_chr3:95203153-95203164(+)::chr3:95203152-95203164(+) GAAAGGAAGGCG >mm10_chr3:95217761-95217772(+)::chr3:95217760-95217772(+) ACCCGGAAGTGA >mm10_chr3:95217786-95217797(-)::chr3:95217785-95217797(-) GCCAGGACGTAT >mm10_chr3:95217807-95217818(+)::chr3:95217806-95217818(+) TGAAGGAAATGG >mm10_chr3:95230778-95230789(+)::chr3:95230777-95230789(+) AGAGGGAAGTGC >mm10_chr3:95250980-95250991(-)::chr3:95250979-95250991(-) AACAGGAAGAGA >mm10_chr3:95315206-95315217(+)::chr3:95315205-95315217(+) AGGAGGAAGGAG >mm10_chr3:95315810-95315821(+)::chr3:95315809-95315821(+) AACAGGAAGGAG >mm10_chr3:95445800-95445811(+)::chr3:95445799-95445811(+) ATGAGGAAGGAA >mm10_chr3:95445804-95445815(+)::chr3:95445803-95445815(+) GGAAGGAAATGT >mm10_chr3:95445838-95445849(-)::chr3:95445837-95445849(-) TTAAGGATGTGA >mm10_chr3:95457933-95457944(+)::chr3:95457932-95457944(+) AAAGGGAAGTAC >mm10_chr3:95539074-95539085(+)::chr3:95539073-95539085(+) agcaggaagtgg >mm10_chr3:95588995-95589006(+)::chr3:95588994-95589006(+) ATCAGGAAGTTT >mm10_chr3:95615913-95615924(+)::chr3:95615912-95615924(+) ataaggaaatct >mm10_chr3:95645429-95645440(+)::chr3:95645428-95645440(+) GGGAGGAAGTGA >mm10_chr3:95645463-95645474(-)::chr3:95645462-95645474(-) AGGAGGAAGTTG >mm10_chr3:95650821-95650832(-)::chr3:95650820-95650832(-) AAGAGGAAGTGA >mm10_chr3:95658718-95658729(+)::chr3:95658717-95658729(+) TACGGGAAGTCC >mm10_chr3:95658785-95658796(-)::chr3:95658784-95658796(-) AGGCGGAAGTCA >mm10_chr3:95661993-95662004(-)::chr3:95661992-95662004(-) TAAAGGAAGTAA >mm10_chr3:95662047-95662058(-)::chr3:95662046-95662058(-) GGGAGGAAGTGT >mm10_chr3:95668811-95668822(-)::chr3:95668810-95668822(-) AAAAGGAAGTAA >mm10_chr3:95675560-95675571(+)::chr3:95675559-95675571(+) AGAGGGAAGTGG >mm10_chr3:95693848-95693859(+)::chr3:95693847-95693859(+) TCAAGGAAGGAA >mm10_chr3:95693852-95693863(+)::chr3:95693851-95693863(+) GGAAGGAAGTGC >mm10_chr3:95694140-95694151(-)::chr3:95694139-95694151(-) GTGAGGAAGTGA >mm10_chr3:95694515-95694526(-)::chr3:95694514-95694526(-) ATCAGGAAGGAC >mm10_chr3:95694569-95694580(-)::chr3:95694568-95694580(-) ATGAGGACGTCG >mm10_chr3:95706368-95706379(+)::chr3:95706367-95706379(+) atgaggaagagg >mm10_chr3:95706374-95706385(+)::chr3:95706373-95706385(+) aagaggaagcag >mm10_chr3:95738957-95738968(-)::chr3:95738956-95738968(-) AAAAGGAAATAC >mm10_chr3:95754381-95754392(+)::chr3:95754380-95754392(+) TTCAGGAAGTGA >mm10_chr3:95819384-95819395(-)::chr3:95819383-95819395(-) AGGAGGAAGCGG >mm10_chr3:95855875-95855886(+)::chr3:95855874-95855886(+) CTACGGAAGGCG >mm10_chr3:95871520-95871531(+)::chr3:95871519-95871531(+) GGGAGGAAGCTA >mm10_chr3:95882507-95882518(+)::chr3:95882506-95882518(+) TTAAGGAAGGGG >mm10_chr3:95894469-95894480(-)::chr3:95894468-95894480(-) TACAGGAAGAAT >mm10_chr3:95906305-95906316(+)::chr3:95906304-95906316(+) CCCAGGAAGTGC >mm10_chr3:95929314-95929325(+)::chr3:95929313-95929325(+) GGAAGGAACTGC >mm10_chr3:96004393-96004404(-)::chr3:96004392-96004404(-) TCCAGGAAGTAA >mm10_chr3:96057916-96057927(-)::chr3:96057915-96057927(-) CGGAGGAACTAC >mm10_chr3:96148090-96148101(-)::chr3:96148089-96148101(-) ACAAGGAAGCCC >mm10_chr3:96219709-96219720(-)::chr3:96219708-96219720(-) AAAAGGAATTTA >mm10_chr3:96222931-96222942(+)::chr3:96222930-96222942(+) agaaggaagctt >mm10_chr3:96226858-96226869(-)::chr3:96226857-96226869(-) gagcggaagtag >mm10_chr3:96256406-96256417(-)::chr3:96256405-96256417(-) AACAGGAAGGGC >mm10_chr3:96328740-96328751(-)::chr3:96328739-96328751(-) AGGAGGAAGTAT >mm10_chr3:96403316-96403327(+)::chr3:96403315-96403327(+) agcaggaagtgt >mm10_chr3:96409543-96409554(-)::chr3:96409542-96409554(-) AGGAGGAAGCAG >mm10_chr3:96527513-96527524(-)::chr3:96527512-96527524(-) AAGAGGAAGTCG >mm10_chr3:96552091-96552102(+)::chr3:96552090-96552102(+) CAAAGGAAGAAG >mm10_chr3:96552158-96552169(+)::chr3:96552157-96552169(+) ATCAGGAAGTAG >mm10_chr3:96564857-96564868(-)::chr3:96564856-96564868(-) AAAAGGAAGTGG >mm10_chr3:96564900-96564911(-)::chr3:96564899-96564911(-) GGCAGGAAATGT >mm10_chr3:96576909-96576920(+)::chr3:96576908-96576920(+) CCAGGGAAGTGA >mm10_chr3:96576946-96576957(+)::chr3:96576945-96576957(+) GCGAGGAAGCCT >mm10_chr3:96590393-96590404(-)::chr3:96590392-96590404(-) gggaggaagtgt >mm10_chr3:96601582-96601593(-)::chr3:96601581-96601593(-) AAAAGGAAATGC >mm10_chr3:96602609-96602620(-)::chr3:96602608-96602620(-) AAAAGGAAGCAA >mm10_chr3:96656318-96656329(+)::chr3:96656317-96656329(+) TGAAGGAAGAAA >mm10_chr3:96656650-96656661(+)::chr3:96656649-96656661(+) ACAAGGAAGAGT >mm10_chr3:96656728-96656739(-)::chr3:96656727-96656739(-) AGGAGGAAGGTG >mm10_chr3:96719759-96719770(-)::chr3:96719758-96719770(-) CCAAGGAAGAAG >mm10_chr3:96727461-96727472(+)::chr3:96727460-96727472(+) ACGCGGAAGGGT >mm10_chr3:96736625-96736636(-)::chr3:96736624-96736636(-) AGCAGGAAGCTG >mm10_chr3:96736655-96736666(-)::chr3:96736654-96736666(-) GAAGGGAAGTGG >mm10_chr3:96736660-96736671(-)::chr3:96736659-96736671(-) AGAAGGAAGGGA >mm10_chr3:96737628-96737639(+)::chr3:96737627-96737639(+) ATAAGGAAGAGT >mm10_chr3:96747003-96747014(+)::chr3:96747002-96747014(+) AACAGGAAATCA >mm10_chr3:96747048-96747059(-)::chr3:96747047-96747059(-) AACAGGAAGCAA >mm10_chr3:96770127-96770138(-)::chr3:96770126-96770138(-) aagaggaagagg >mm10_chr3:96770143-96770154(-)::chr3:96770142-96770154(-) aagaggaagaaa >mm10_chr3:96770157-96770168(-)::chr3:96770156-96770168(-) aggaggaaggag >mm10_chr3:96770169-96770180(-)::chr3:96770168-96770180(-) aggaggaagagg >mm10_chr3:96770184-96770195(-)::chr3:96770183-96770195(-) aggaggaagaga >mm10_chr3:96770199-96770210(-)::chr3:96770198-96770210(-) aCAaggaagagg >mm10_chr3:96854651-96854662(+)::chr3:96854650-96854662(+) ATGAGGAAGTGG >mm10_chr3:96935245-96935256(-)::chr3:96935244-96935256(-) aagaggaagaag >mm10_chr3:96935251-96935262(-)::chr3:96935250-96935262(-) aagaggaagagg >mm10_chr3:96935278-96935289(-)::chr3:96935277-96935289(-) gagaggaaggag >mm10_chr3:97124385-97124396(+)::chr3:97124384-97124396(+) AACAGGAAGCCC >mm10_chr3:97158053-97158064(-)::chr3:97158052-97158064(-) AGAGGGAAGATA >mm10_chr3:97158080-97158091(-)::chr3:97158079-97158091(-) ccagggaAGTTA >mm10_chr3:97197204-97197215(-)::chr3:97197203-97197215(-) GCAAGGAAGCAG >mm10_chr3:97202024-97202035(+)::chr3:97202023-97202035(+) ACAAGGAAGGCT >mm10_chr3:97227895-97227906(-)::chr3:97227894-97227906(-) GTGAGGAAGGAA >mm10_chr3:97232533-97232544(-)::chr3:97232532-97232544(-) ATGAGGAAGTAG >mm10_chr3:97232561-97232572(-)::chr3:97232560-97232572(-) AAAGGGAAGTGG >mm10_chr3:97266691-97266702(+)::chr3:97266690-97266702(+) ttgaggaagtca >mm10_chr3:97392422-97392433(+)::chr3:97392421-97392433(+) tgaaggaaaata >mm10_chr3:97413704-97413715(-)::chr3:97413703-97413715(-) AGAAGGAAGACA >mm10_chr3:97413717-97413728(-)::chr3:97413716-97413728(-) AAGAGGAAGAGG >mm10_chr3:97546293-97546304(+)::chr3:97546292-97546304(+) agcaggaagcag >mm10_chr3:97546300-97546311(+)::chr3:97546299-97546311(+) agcaggaagagc >mm10_chr3:97684185-97684196(-)::chr3:97684184-97684196(-) atgaggaagagg >mm10_chr3:97687093-97687104(-)::chr3:97687092-97687104(-) agaaggaaggag >mm10_chr3:97687121-97687132(-)::chr3:97687120-97687132(-) aggaggaagaag >mm10_chr3:97687142-97687153(-)::chr3:97687141-97687153(-) AGAaggaagaag >mm10_chr3:97699698-97699709(+)::chr3:97699697-97699709(+) AGAAGGAACTGA >mm10_chr3:97714347-97714358(-)::chr3:97714346-97714358(-) GACAGGAAGTAG >mm10_chr3:97728848-97728859(-)::chr3:97728847-97728859(-) TCTAGGAAGTCG >mm10_chr3:97737362-97737373(+)::chr3:97737361-97737373(+) ATGAGGAAATGC >mm10_chr3:97743185-97743196(-)::chr3:97743184-97743196(-) GTCAGGAAGTAT >mm10_chr3:97745468-97745479(-)::chr3:97745467-97745479(-) ACGAGGAAGCTA >mm10_chr3:97769002-97769013(-)::chr3:97769001-97769013(-) GCCAGGAAGTGA >mm10_chr3:97795834-97795845(+)::chr3:97795833-97795845(+) gccaggaagcaa >mm10_chr3:97800214-97800225(-)::chr3:97800213-97800225(-) AAGAGGAAGTTC >mm10_chr3:97878593-97878604(-)::chr3:97878592-97878604(-) ACGAGGAAGAGA >mm10_chr3:97901227-97901238(+)::chr3:97901226-97901238(+) AGCGGGAAGCGG >mm10_chr3:97933427-97933438(+)::chr3:97933426-97933438(+) AACAGGAAGTGC >mm10_chr3:97934062-97934073(-)::chr3:97934061-97934073(-) TGGAGGAAGCAC >mm10_chr3:97993708-97993719(+)::chr3:97993707-97993719(+) AGCAGGAAGTAC >mm10_chr3:97993747-97993758(-)::chr3:97993746-97993758(-) AGAAGGATGTTT >mm10_chr3:97994309-97994320(+)::chr3:97994308-97994320(+) ATGAGGAAGTTG >mm10_chr3:97998204-97998215(-)::chr3:97998203-97998215(-) aggaggaagggg >mm10_chr3:97998255-97998266(-)::chr3:97998254-97998266(-) aaaaggaagagg >mm10_chr3:97998279-97998290(-)::chr3:97998278-97998290(-) AGGAGGAAgaag >mm10_chr3:98021006-98021017(-)::chr3:98021005-98021017(-) AGAAGGAACTGA >mm10_chr3:98029005-98029016(+)::chr3:98029004-98029016(+) ACCAGGAAGTTT >mm10_chr3:98031355-98031366(+)::chr3:98031354-98031366(+) ATGAGGAAATAG >mm10_chr3:98031392-98031403(+)::chr3:98031391-98031403(+) ACAAGGAAGTGT >mm10_chr3:98053995-98054006(-)::chr3:98053994-98054006(-) AGCAGGAAGTAG >mm10_chr3:98072769-98072780(-)::chr3:98072768-98072780(-) ACCAGGAAGGTT >mm10_chr3:98075792-98075803(-)::chr3:98075791-98075803(-) ACAAGGAAGGGG >mm10_chr3:98080781-98080792(-)::chr3:98080780-98080792(-) atcagGAAGAGT >mm10_chr3:98081419-98081430(-)::chr3:98081418-98081430(-) ggaaggaagagg >mm10_chr3:98087710-98087721(+)::chr3:98087709-98087721(+) AGGAGGAAGTTG >mm10_chr3:98087728-98087739(+)::chr3:98087727-98087739(+) AGGAGGAAGTTG >mm10_chr3:98087746-98087757(+)::chr3:98087745-98087757(+) AGAAGGAAGCTA >mm10_chr3:98089289-98089300(-)::chr3:98089288-98089300(-) AAAAGGAAGACA >mm10_chr3:98089325-98089336(-)::chr3:98089324-98089336(-) ACAAGGAACTAT >mm10_chr3:98089846-98089857(-)::chr3:98089845-98089857(-) GAGAGGAAGGTA >mm10_chr3:98098865-98098876(-)::chr3:98098864-98098876(-) ATGAGGAAGCCA >mm10_chr3:98249584-98249595(-)::chr3:98249583-98249595(-) ataaggaaatga >mm10_chr3:98254853-98254864(-)::chr3:98254852-98254864(-) GGCAGGAAGGAG >mm10_chr3:98259314-98259325(+)::chr3:98259313-98259325(+) gaaaggaagagg >mm10_chr3:98338766-98338777(+)::chr3:98338765-98338777(+) AGCAGGAAGACT >mm10_chr3:98348352-98348363(+)::chr3:98348351-98348363(+) aagaggaaatga >mm10_chr3:98348363-98348374(+)::chr3:98348362-98348374(+) acaaggaaaagg >mm10_chr3:98394012-98394023(-)::chr3:98394011-98394023(-) agaaggaagcaa >mm10_chr3:98394020-98394031(-)::chr3:98394019-98394031(-) ACaaggaaagaa >mm10_chr3:98394056-98394067(+)::chr3:98394055-98394067(+) TAGAGGAAGTAT >mm10_chr3:98427288-98427299(+)::chr3:98427287-98427299(+) Gagaggaagatg >mm10_chr3:98427303-98427314(+)::chr3:98427302-98427314(+) aggaggaagacg >mm10_chr3:98982609-98982620(-)::chr3:98982608-98982620(-) aggaggaagcaa >mm10_chr3:99052438-99052449(+)::chr3:99052437-99052449(+) CAAAGGAAGCAG >mm10_chr3:99121137-99121148(-)::chr3:99121136-99121148(-) acaaagaagtag >mm10_chr3:99121179-99121190(-)::chr3:99121178-99121190(-) acagggaagtaa >mm10_chr3:99175171-99175182(-)::chr3:99175170-99175182(-) AACAGGAAGGGG >mm10_chr3:99188312-99188323(+)::chr3:99188311-99188323(+) GACAGGAAGAGA >mm10_chr3:99193787-99193798(-)::chr3:99193786-99193798(-) GCCAGGAAGTGG >mm10_chr3:99248547-99248558(-)::chr3:99248546-99248558(-) GCGAGGAAATCC >mm10_chr3:99336994-99337005(+)::chr3:99336993-99337005(+) GAGAGGAAGTTA >mm10_chr3:99402000-99402011(+)::chr3:99401999-99402011(+) agcaggaagtaa >mm10_chr3:99885928-99885939(-)::chr3:99885927-99885939(-) AACAGGAAGGAA >mm10_chr3:99885989-99886000(-)::chr3:99885988-99886000(-) AACAGGAAGGAA >mm10_chr3:100162391-100162402(-)::chr3:100162390-100162402(-) GACCGGAAGTGG >mm10_chr3:100162444-100162455(+)::chr3:100162443-100162455(+) AGGCGGAAGTGA >mm10_chr3:100194394-100194405(+)::chr3:100194393-100194405(+) TCCAGGAAGTTG >mm10_chr3:100242214-100242225(+)::chr3:100242213-100242225(+) GTAAGGAAGTTT >mm10_chr3:100246326-100246337(+)::chr3:100246325-100246337(+) ACCAGGAAGGTT >mm10_chr3:100267575-100267586(+)::chr3:100267574-100267586(+) AGAAGGAAGAAC >mm10_chr3:100267615-100267626(+)::chr3:100267614-100267626(+) AACAGGAAGCGA >mm10_chr3:100272754-100272765(+)::chr3:100272753-100272765(+) AGGAGGAAGCAA >mm10_chr3:100272767-100272778(+)::chr3:100272766-100272778(+) ACAGGGAAGTCA >mm10_chr3:100279279-100279290(-)::chr3:100279278-100279290(-) agcaggaagtgc >mm10_chr3:100304675-100304686(-)::chr3:100304674-100304686(-) taaaggaagtca >mm10_chr3:100304700-100304711(+)::chr3:100304699-100304711(+) gtgaggaagtct >mm10_chr3:100304734-100304745(-)::chr3:100304733-100304745(-) aaaagcaagtta >mm10_chr3:100356640-100356651(+)::chr3:100356639-100356651(+) aaaaggaagagc >mm10_chr3:100364394-100364405(-)::chr3:100364393-100364405(-) gaaaggaagcca >mm10_chr3:100364442-100364453(+)::chr3:100364441-100364453(+) tccaggaagtct >mm10_chr3:100365546-100365557(+)::chr3:100365545-100365557(+) aagaggaagagg >mm10_chr3:100494148-100494159(-)::chr3:100494147-100494159(-) ggaagcaagtag >mm10_chr3:100515133-100515144(-)::chr3:100515132-100515144(-) atgaggaagacc >mm10_chr3:100515154-100515165(-)::chr3:100515153-100515165(-) ACAGGGAagtag >mm10_chr3:100524816-100524827(+)::chr3:100524815-100524827(+) TAGAGGAAGGAA >mm10_chr3:100524820-100524831(+)::chr3:100524819-100524831(+) GGAAGGAAGATG >mm10_chr3:100534645-100534656(+)::chr3:100534644-100534656(+) gtaaggaagagg >mm10_chr3:100534710-100534721(+)::chr3:100534709-100534721(+) AGGAGGAAGAGA >mm10_chr3:100534989-100535000(+)::chr3:100534988-100535000(+) TAGAGGAAGAAC >mm10_chr3:100535024-100535035(-)::chr3:100535023-100535035(-) GGCAGGAAGAGA >mm10_chr3:100555994-100556005(-)::chr3:100555993-100556005(-) AACAGGAAGTCT >mm10_chr3:100618716-100618727(-)::chr3:100618715-100618727(-) TGCAGGAAGTTG >mm10_chr3:100618766-100618777(-)::chr3:100618765-100618777(-) AGAAGGAAGAAG >mm10_chr3:100639263-100639274(+)::chr3:100639262-100639274(+) AGGAGGAAATGG >mm10_chr3:100641282-100641293(-)::chr3:100641281-100641293(-) GTAAGGAAGTTG >mm10_chr3:100641314-100641325(+)::chr3:100641313-100641325(+) AGTAGGAAGAAT >mm10_chr3:100641342-100641353(-)::chr3:100641341-100641353(-) AGAAGGAAGAAA >mm10_chr3:100642111-100642122(-)::chr3:100642110-100642122(-) ATCAGGAAATGC >mm10_chr3:100642699-100642710(-)::chr3:100642698-100642710(-) AGGAGGAAGTTT >mm10_chr3:100655237-100655248(-)::chr3:100655236-100655248(-) ggaaggaagggg >mm10_chr3:100655241-100655252(-)::chr3:100655240-100655252(-) agaaggaaggaa >mm10_chr3:100655254-100655265(-)::chr3:100655253-100655265(-) ggaaggaaggag >mm10_chr3:100655269-100655280(-)::chr3:100655268-100655280(-) Aaaaggaaggga >mm10_chr3:100684526-100684537(+)::chr3:100684525-100684537(+) AAAAGGAAGTAC >mm10_chr3:100685477-100685488(-)::chr3:100685476-100685488(-) AGGAGGAAGTTC >mm10_chr3:100700143-100700154(+)::chr3:100700142-100700154(+) ggaaggaaggag >mm10_chr3:100700150-100700161(+)::chr3:100700149-100700161(+) aggaggaaggaa >mm10_chr3:100700154-100700165(+)::chr3:100700153-100700165(+) ggaaggaaggaa >mm10_chr3:100700158-100700169(+)::chr3:100700157-100700169(+) ggaaggaaggaa >mm10_chr3:100700162-100700173(+)::chr3:100700161-100700173(+) ggaaggaaggaa >mm10_chr3:100700166-100700177(+)::chr3:100700165-100700177(+) ggaaggaaggaa >mm10_chr3:100700294-100700305(+)::chr3:100700293-100700305(+) AGTAGGAAATGA >mm10_chr3:100700299-100700310(+)::chr3:100700298-100700310(+) GAAATGAAGTGA >mm10_chr3:100726072-100726083(+)::chr3:100726071-100726083(+) ataagGAAGAGC >mm10_chr3:100765629-100765640(-)::chr3:100765628-100765640(-) agtaggaagttt >mm10_chr3:100765641-100765652(-)::chr3:100765640-100765652(-) gtaaggaactta >mm10_chr3:100796725-100796736(-)::chr3:100796724-100796736(-) AGGAGGAAGGAG >mm10_chr3:100799468-100799479(+)::chr3:100799467-100799479(+) TCAAGGAAATTG >mm10_chr3:100814480-100814491(+)::chr3:100814479-100814491(+) aggaggaagagg >mm10_chr3:100814486-100814497(+)::chr3:100814485-100814497(+) aagaggaagagg >mm10_chr3:100814530-100814541(+)::chr3:100814529-100814541(+) aagaggaagaag >mm10_chr3:100814542-100814553(+)::chr3:100814541-100814553(+) aggaggaagagg >mm10_chr3:100819190-100819201(+)::chr3:100819189-100819201(+) tggaggaagtag >mm10_chr3:100825375-100825386(-)::chr3:100825374-100825386(-) ATGAGGAAATAG >mm10_chr3:100857397-100857408(+)::chr3:100857396-100857408(+) AACAGGAAATTC >mm10_chr3:100870464-100870475(-)::chr3:100870463-100870475(-) gtaaggaagcta >mm10_chr3:100870474-100870485(-)::chr3:100870473-100870485(-) accaggaagtgt >mm10_chr3:100882107-100882118(-)::chr3:100882106-100882118(-) aagaggaagtat >mm10_chr3:100887663-100887674(-)::chr3:100887662-100887674(-) aggaggaagagg >mm10_chr3:100887678-100887689(-)::chr3:100887677-100887689(-) aggaggaagggg >mm10_chr3:100904698-100904709(-)::chr3:100904697-100904709(-) tgaaggaaatcc >mm10_chr3:100904726-100904737(+)::chr3:100904725-100904737(+) agaaggaaaagg >mm10_chr3:100906252-100906263(+)::chr3:100906251-100906263(+) AAGAGGAAGTTA >mm10_chr3:100992664-100992675(-)::chr3:100992663-100992675(-) aggaggaagagg >mm10_chr3:100992688-100992699(-)::chr3:100992687-100992699(-) aggaggaagaag >mm10_chr3:100993796-100993807(+)::chr3:100993795-100993807(+) GGAAGGACGTGG >mm10_chr3:100993840-100993851(+)::chr3:100993839-100993851(+) ATGAGGAAGTGG >mm10_chr3:100998104-100998115(-)::chr3:100998103-100998115(-) AGAAGGAAGCAA >mm10_chr3:100998132-100998143(+)::chr3:100998131-100998143(+) ACCAGGAAGAGC >mm10_chr3:101006323-101006334(+)::chr3:101006322-101006334(+) aggaggaagaga >mm10_chr3:101006353-101006364(-)::chr3:101006352-101006364(-) agaaggaagtcc >mm10_chr3:101006603-101006614(-)::chr3:101006602-101006614(-) TTAAGGAAGCAA >mm10_chr3:101010736-101010747(+)::chr3:101010735-101010747(+) AAGAGGAAGCAC >mm10_chr3:101023754-101023765(+)::chr3:101023753-101023765(+) acacggaagaag >mm10_chr3:101023761-101023772(+)::chr3:101023760-101023772(+) agaaggaactct >mm10_chr3:101045654-101045665(-)::chr3:101045653-101045665(-) GGAAGGGAGTCG >mm10_chr3:101072664-101072675(-)::chr3:101072663-101072675(-) ATAAGGAAGCCG >mm10_chr3:101089763-101089774(-)::chr3:101089762-101089774(-) ACCAGGAAGATA >mm10_chr3:101245518-101245529(-)::chr3:101245517-101245529(-) AGCAGGAAGTAG >mm10_chr3:101312788-101312799(+)::chr3:101312787-101312799(+) aggaggaagagg >mm10_chr3:101312809-101312820(+)::chr3:101312808-101312820(+) aagaggaagggg >mm10_chr3:101312825-101312836(+)::chr3:101312824-101312836(+) aggaggaagaaa >mm10_chr3:101312835-101312846(+)::chr3:101312834-101312846(+) aaaaggaagaga >mm10_chr3:101318690-101318701(+)::chr3:101318689-101318701(+) AGAAGGAAGGGC >mm10_chr3:101324362-101324373(-)::chr3:101324361-101324373(-) AGGAGGAAGAGA >mm10_chr3:101324369-101324380(-)::chr3:101324368-101324380(-) ACGAGGAAGGAG >mm10_chr3:101336301-101336312(+)::chr3:101336300-101336312(+) tcaaggaaatgc >mm10_chr3:101341672-101341683(-)::chr3:101341671-101341683(-) agaaggaagagg >mm10_chr3:101341687-101341698(-)::chr3:101341686-101341698(-) agaaggaagagg >mm10_chr3:101341702-101341713(-)::chr3:101341701-101341713(-) aggaggaagagg >mm10_chr3:101366011-101366022(-)::chr3:101366010-101366022(-) ATGAGGAAGAAC >mm10_chr3:101377117-101377128(+)::chr3:101377116-101377128(+) AGGAGGAAGCCG >mm10_chr3:101399844-101399855(-)::chr3:101399843-101399855(-) ACAAGGAAAAAG >mm10_chr3:101399997-101400008(-)::chr3:101399996-101400008(-) ggcaggaagact >mm10_chr3:101403861-101403872(-)::chr3:101403860-101403872(-) AGAAGGAAGCGG >mm10_chr3:101403881-101403892(-)::chr3:101403880-101403892(-) GGAAGGAAGAGA >mm10_chr3:101403885-101403896(-)::chr3:101403884-101403896(-) AAGAGGAAGGAA >mm10_chr3:101403891-101403902(-)::chr3:101403890-101403902(-) AGGAGGAAGAGG >mm10_chr3:101407803-101407814(+)::chr3:101407802-101407814(+) TGGAGGAAGTCA >mm10_chr3:101491395-101491406(-)::chr3:101491394-101491406(-) TGAAGGAAGAGG >mm10_chr3:101495613-101495624(+)::chr3:101495612-101495624(+) AGAAGGAAGAGG >mm10_chr3:101495619-101495630(+)::chr3:101495618-101495630(+) AAGAGGAAGAGC >mm10_chr3:101518037-101518048(-)::chr3:101518036-101518048(-) AAAAGGAACTaa >mm10_chr3:101522464-101522475(+)::chr3:101522463-101522475(+) GGGAGGAAGGAA >mm10_chr3:101522468-101522479(+)::chr3:101522467-101522479(+) GGAAGGAAGACG >mm10_chr3:101552680-101552691(-)::chr3:101552679-101552691(-) aggaggaagtga >mm10_chr3:101552692-101552703(-)::chr3:101552691-101552703(-) aagaggaagagg >mm10_chr3:101552698-101552709(-)::chr3:101552697-101552709(-) AACaggaagagg >mm10_chr3:101553164-101553175(-)::chr3:101553163-101553175(-) GGAAGGAAGCCT >mm10_chr3:101553421-101553432(-)::chr3:101553420-101553432(-) ATAAGGAAGTCA >mm10_chr3:101561210-101561221(-)::chr3:101561209-101561221(-) TACAGGAAGCTA >mm10_chr3:101572302-101572313(-)::chr3:101572301-101572313(-) ACCGGGAAGCGT >mm10_chr3:101575826-101575837(+)::chr3:101575825-101575837(+) AGCAGGAAGGAA >mm10_chr3:101575830-101575841(+)::chr3:101575829-101575841(+) GGAAGGAAGGCC >mm10_chr3:101578779-101578790(+)::chr3:101578778-101578790(+) TCAAGGAAGCAG >mm10_chr3:101595003-101595014(-)::chr3:101595002-101595014(-) AGAAGGAAGTGT >mm10_chr3:101595030-101595041(-)::chr3:101595029-101595041(-) AGAAGGAAAGGG >mm10_chr3:101597897-101597908(-)::chr3:101597896-101597908(-) GGAAGGAAGATT >mm10_chr3:101597901-101597912(-)::chr3:101597900-101597912(-) AAGAGGAAGGAA >mm10_chr3:101620370-101620381(-)::chr3:101620369-101620381(-) TCCAGGAAGGAA >mm10_chr3:101638419-101638430(-)::chr3:101638418-101638430(-) GGAAGGAAGCTA >mm10_chr3:101644395-101644406(+)::chr3:101644394-101644406(+) gcagggaagttc >mm10_chr3:101677566-101677577(+)::chr3:101677565-101677577(+) ACAAGGAAGAGG >mm10_chr3:101682552-101682563(-)::chr3:101682551-101682563(-) AGGAGGAAGGGT >mm10_chr3:101682586-101682597(-)::chr3:101682585-101682597(-) AGCAGGAAGTTG >mm10_chr3:101730863-101730874(+)::chr3:101730862-101730874(+) AGAAGGAAGCTT >mm10_chr3:101730933-101730944(-)::chr3:101730932-101730944(-) ACCAGGAAGGAG >mm10_chr3:101738507-101738518(-)::chr3:101738506-101738518(-) accaggaagtgt >mm10_chr3:101779108-101779119(-)::chr3:101779107-101779119(-) aagaggaagtat >mm10_chr3:101779472-101779483(-)::chr3:101779471-101779483(-) AAAAGGAAGTTG >mm10_chr3:101828742-101828753(+)::chr3:101828741-101828753(+) GAGAGGAAGAGG >mm10_chr3:101828774-101828785(+)::chr3:101828773-101828785(+) AGGAGGAAGGTA >mm10_chr3:101876400-101876411(-)::chr3:101876399-101876411(-) ttcaggaagtcc >mm10_chr3:101876412-101876423(+)::chr3:101876411-101876423(+) gtcaggaagcta >mm10_chr3:101924477-101924488(+)::chr3:101924476-101924488(+) AAGAGGAAGTGG >mm10_chr3:102062456-102062467(+)::chr3:102062455-102062467(+) GAGAGGAAGAAC >mm10_chr3:102068416-102068427(-)::chr3:102068415-102068427(-) AGAGGGAAATAG >mm10_chr3:102136118-102136129(+)::chr3:102136117-102136129(+) AAGAGGAAGGTG >mm10_chr3:102142891-102142902(-)::chr3:102142890-102142902(-) ATAAGGAACAAT >mm10_chr3:102142907-102142918(-)::chr3:102142906-102142918(-) ATAAGGAACTTG >mm10_chr3:102195035-102195046(+)::chr3:102195034-102195046(+) AAGAGGAAGTCC >mm10_chr3:102202331-102202342(-)::chr3:102202330-102202342(-) ACGAGGAAGACA >mm10_chr3:102214685-102214696(+)::chr3:102214684-102214696(+) gacaggaagcaa >mm10_chr3:102298359-102298370(-)::chr3:102298358-102298370(-) GGAAGGAAGCCA >mm10_chr3:102316280-102316291(+)::chr3:102316279-102316291(+) ATGAGGAAATGG >mm10_chr3:102360759-102360770(+)::chr3:102360758-102360770(+) ACAAGGAAGAGG >mm10_chr3:102360771-102360782(-)::chr3:102360770-102360782(-) AGAAGGAAAAAA >mm10_chr3:102360821-102360832(+)::chr3:102360820-102360832(+) CGAAGGATGTGT >mm10_chr3:102361071-102361082(+)::chr3:102361070-102361082(+) GGCAGGAAGAGC >mm10_chr3:102361132-102361143(-)::chr3:102361131-102361143(-) CGAAGGAAACGC >mm10_chr3:102366384-102366395(+)::chr3:102366383-102366395(+) AGCAGGAAGCTG >mm10_chr3:102372724-102372735(+)::chr3:102372723-102372735(+) acaaggaaggaa >mm10_chr3:102372728-102372739(+)::chr3:102372727-102372739(+) ggaaggaagagg >mm10_chr3:102428039-102428050(+)::chr3:102428038-102428050(+) TACAGGAAGGAA >mm10_chr3:102428043-102428054(+)::chr3:102428042-102428054(+) GGAAGGAAGTAC >mm10_chr3:102429373-102429384(+)::chr3:102429372-102429384(+) AACAGGAAGGGG >mm10_chr3:102592734-102592745(-)::chr3:102592733-102592745(-) TGCAGGAAGTAA >mm10_chr3:102595844-102595855(-)::chr3:102595843-102595855(-) gtgaggaagtag >mm10_chr3:102661876-102661887(+)::chr3:102661875-102661887(+) aggaggaagagg >mm10_chr3:102661900-102661911(+)::chr3:102661899-102661911(+) agaaggaagagg >mm10_chr3:102661918-102661929(+)::chr3:102661917-102661929(+) agaaggaagaag >mm10_chr3:102661939-102661950(+)::chr3:102661938-102661950(+) aagaggaagagg >mm10_chr3:102661957-102661968(+)::chr3:102661956-102661968(+) aggaggaagaag >mm10_chr3:102696709-102696720(-)::chr3:102696708-102696720(-) AGTAGGAAGCGC >mm10_chr3:102702467-102702478(-)::chr3:102702466-102702478(-) TGCAGGAAGAGG >mm10_chr3:102715255-102715266(+)::chr3:102715254-102715266(+) ACCAGGAAATGA >mm10_chr3:102723196-102723207(+)::chr3:102723195-102723207(+) ACTAGGAAGTTA >mm10_chr3:102738797-102738808(+)::chr3:102738796-102738808(+) AACAGGAAGCAA >mm10_chr3:102744741-102744752(+)::chr3:102744740-102744752(+) ccaaggaagtga >mm10_chr3:102764007-102764018(+)::chr3:102764006-102764018(+) GAGAGGAAGTTG >mm10_chr3:102770741-102770752(-)::chr3:102770740-102770752(-) AACGGGAAATAA >mm10_chr3:102804047-102804058(-)::chr3:102804046-102804058(-) GGGAGGAAGAGA >mm10_chr3:102995715-102995726(+)::chr3:102995714-102995726(+) ACCCGGAAGTGA >mm10_chr3:103017595-103017606(-)::chr3:103017594-103017606(-) AAGAGGAAGTAG >mm10_chr3:103019630-103019641(+)::chr3:103019629-103019641(+) AGCAGGAAATAG >mm10_chr3:103100808-103100819(+)::chr3:103100807-103100819(+) gacaggaagaca >mm10_chr3:103100835-103100846(+)::chr3:103100834-103100846(+) AGAAGGAAagag >mm10_chr3:103100875-103100886(-)::chr3:103100874-103100886(-) AACAGGAAGTAc >mm10_chr3:103123921-103123932(-)::chr3:103123920-103123932(-) gggaggaactaa >mm10_chr3:103130444-103130455(+)::chr3:103130443-103130455(+) GACAGGAAGAGT >mm10_chr3:103130478-103130489(+)::chr3:103130477-103130489(+) TTGAGGAAGCGG >mm10_chr3:103161799-103161810(+)::chr3:103161798-103161810(+) AGGAGGAAGGAG >mm10_chr3:103167731-103167742(+)::chr3:103167730-103167742(+) AAGAGGAAGTAA >mm10_chr3:103167766-103167777(+)::chr3:103167765-103167777(+) TCCAGGAAGTAA >mm10_chr3:103208052-103208063(+)::chr3:103208051-103208063(+) gggaggaaggat >mm10_chr3:103213740-103213751(-)::chr3:103213739-103213751(-) aagaggaaggag >mm10_chr3:103214142-103214153(-)::chr3:103214141-103214153(-) ataaagaagtgg >mm10_chr3:103252619-103252630(+)::chr3:103252618-103252630(+) TACAGGAAGTTT >mm10_chr3:103252633-103252644(-)::chr3:103252632-103252644(-) TGAAGGAAATCG >mm10_chr3:103256337-103256348(+)::chr3:103256336-103256348(+) ctcaggaagtgg >mm10_chr3:103256387-103256398(+)::chr3:103256386-103256398(+) GCCAGGAAGTGA >mm10_chr3:103279048-103279059(-)::chr3:103279047-103279059(-) ATCAGGAAGTTG >mm10_chr3:103294374-103294385(+)::chr3:103294373-103294385(+) accaggaaatta >mm10_chr3:103294385-103294396(+)::chr3:103294384-103294396(+) ataaggaaatgt >mm10_chr3:103302954-103302965(-)::chr3:103302953-103302965(-) aggaggaagTGA >mm10_chr3:103302963-103302974(-)::chr3:103302962-103302974(-) gaaaggaagagg >mm10_chr3:103302971-103302982(-)::chr3:103302970-103302982(-) aggaggaagaaa >mm10_chr3:103305655-103305666(+)::chr3:103305654-103305666(+) GGAAGGAAGAGC >mm10_chr3:103526302-103526313(-)::chr3:103526301-103526313(-) AGAAGGAAGAGA >mm10_chr3:103526325-103526336(-)::chr3:103526324-103526336(-) ACCAGGAAGAGC >mm10_chr3:103567540-103567551(-)::chr3:103567539-103567551(-) AGCAGGAAGCCA >mm10_chr3:103581971-103581982(-)::chr3:103581970-103581982(-) accaggaagcaa >mm10_chr3:103587900-103587911(-)::chr3:103587899-103587911(-) ACGAGGAAGTTC >mm10_chr3:103606289-103606300(+)::chr3:103606288-103606300(+) TAGAGGAAGAGT >mm10_chr3:103606613-103606624(+)::chr3:103606612-103606624(+) AGAAGGAAAGAT >mm10_chr3:103606745-103606756(-)::chr3:103606744-103606756(-) AGGAGGAAGGGA >mm10_chr3:103606808-103606819(+)::chr3:103606807-103606819(+) AGAAGGAAATAA >mm10_chr3:103624740-103624751(+)::chr3:103624739-103624751(+) ACCAGGAAATGG >mm10_chr3:103624776-103624787(-)::chr3:103624775-103624787(-) CCAGGGAAGTGG >mm10_chr3:103718076-103718087(-)::chr3:103718075-103718087(-) gggaggaagggg >mm10_chr3:103724442-103724453(-)::chr3:103724441-103724453(-) TCAAGGAACTAA >mm10_chr3:103731253-103731264(-)::chr3:103731252-103731264(-) ATAAGGAAAGAG >mm10_chr3:103731271-103731282(-)::chr3:103731270-103731282(-) CCCAGGAAGTTT >mm10_chr3:103731289-103731300(+)::chr3:103731288-103731300(+) TCAAGGAAGACT >mm10_chr3:103734361-103734372(-)::chr3:103734360-103734372(-) GTAAGGAAGAGG >mm10_chr3:103744442-103744453(+)::chr3:103744441-103744453(+) AGGAGGAAGAAG >mm10_chr3:103766878-103766889(-)::chr3:103766877-103766889(-) AGGAGGAAGTAG >mm10_chr3:103772168-103772179(+)::chr3:103772167-103772179(+) TCTAGGAAGTGA >mm10_chr3:103772176-103772187(+)::chr3:103772175-103772187(+) GTGAGGAAGGAG >mm10_chr3:103773145-103773156(-)::chr3:103773144-103773156(-) TGCAGGAAGTCG >mm10_chr3:103787370-103787381(-)::chr3:103787369-103787381(-) GGAAGGAAATAC >mm10_chr3:103787374-103787385(-)::chr3:103787373-103787385(-) GGAAGGAAGGAA >mm10_chr3:103787378-103787389(-)::chr3:103787377-103787389(-) TGCAGGAAGGAA >mm10_chr3:103787930-103787941(+)::chr3:103787929-103787941(+) TAAAGGAAGGAA >mm10_chr3:103787934-103787945(+)::chr3:103787933-103787945(+) GGAAGGAAGTGT >mm10_chr3:103791395-103791406(+)::chr3:103791394-103791406(+) AGGCGGAAGTGC >mm10_chr3:103801971-103801982(-)::chr3:103801970-103801982(-) ATGAGGAAATGC >mm10_chr3:103802381-103802392(+)::chr3:103802380-103802392(+) AGAAGGAAGGTG >mm10_chr3:103802454-103802465(+)::chr3:103802453-103802465(+) gacaggaagttc >mm10_chr3:103803030-103803041(-)::chr3:103803029-103803041(-) ACAAGCAAGTGG >mm10_chr3:103809295-103809306(+)::chr3:103809294-103809306(+) ACGCGGAAGAAG >mm10_chr3:103832806-103832817(-)::chr3:103832805-103832817(-) AGCAGGAAGTTA >mm10_chr3:103896632-103896643(-)::chr3:103896631-103896643(-) ttgaggaagttt >mm10_chr3:103927794-103927805(-)::chr3:103927793-103927805(-) TGGAGGAAGAGG >mm10_chr3:103944897-103944908(-)::chr3:103944896-103944908(-) AGCAGGAACTAA >mm10_chr3:103944926-103944937(-)::chr3:103944925-103944937(-) ATAAGGAAGGGA >mm10_chr3:103968281-103968292(-)::chr3:103968280-103968292(-) CGGAGGAAGAAG >mm10_chr3:103968305-103968316(+)::chr3:103968304-103968316(+) GGCGGGAAGGCG >mm10_chr3:103968328-103968339(+)::chr3:103968327-103968339(+) AGCCGGAAGGCG >mm10_chr3:103968335-103968346(+)::chr3:103968334-103968346(+) AGGCGGAAGCGG >mm10_chr3:103979453-103979464(+)::chr3:103979452-103979464(+) GTAAGGAAGTGT >mm10_chr3:103997025-103997036(-)::chr3:103997024-103997036(-) taagggaagtca >mm10_chr3:104059663-104059674(-)::chr3:104059662-104059674(-) TGAAGGAAGAAA >mm10_chr3:104061289-104061300(-)::chr3:104061288-104061300(-) ACAAGGAAGAGT >mm10_chr3:104092973-104092984(+)::chr3:104092972-104092984(+) GGCAGGAAGGAA >mm10_chr3:104092977-104092988(+)::chr3:104092976-104092988(+) GGAAGGAAATGA >mm10_chr3:104093017-104093028(+)::chr3:104093016-104093028(+) GATCGGAAGTAT >mm10_chr3:104112914-104112925(+)::chr3:104112913-104112925(+) ggaaagaagtgg >mm10_chr3:104112933-104112944(+)::chr3:104112932-104112944(+) gcaaggaagttg >mm10_chr3:104142375-104142386(+)::chr3:104142374-104142386(+) AAAAGGAAGAAG >mm10_chr3:104142382-104142393(+)::chr3:104142381-104142393(+) AGAAGGAAAAGA >mm10_chr3:104165640-104165651(+)::chr3:104165639-104165651(+) ttaaggaactac >mm10_chr3:104182164-104182175(+)::chr3:104182163-104182175(+) AGGAGGAAGCTA >mm10_chr3:104206309-104206320(+)::chr3:104206308-104206320(+) TTAAGGAAGACG >mm10_chr3:104214427-104214438(+)::chr3:104214426-104214438(+) GAAAGGAAGAGA >mm10_chr3:104214443-104214454(+)::chr3:104214442-104214454(+) AAGAGGAAGAGG >mm10_chr3:104218575-104218586(+)::chr3:104218574-104218586(+) atgaggaagagg >mm10_chr3:104218602-104218613(+)::chr3:104218601-104218613(+) aggaggaagaag >mm10_chr3:104227748-104227759(+)::chr3:104227747-104227759(+) aggaggaagttg >mm10_chr3:104238004-104238015(+)::chr3:104238003-104238015(+) AAAAGGAAATGG >mm10_chr3:104238056-104238067(+)::chr3:104238055-104238067(+) ACGAGGAAGCAG >mm10_chr3:104244116-104244127(-)::chr3:104244115-104244127(-) AGAAGGAACTTC >mm10_chr3:104279150-104279161(+)::chr3:104279149-104279161(+) GCCAGGAAGAGT >mm10_chr3:104279168-104279179(-)::chr3:104279167-104279179(-) ATGAGGAAGGTT >mm10_chr3:104279762-104279773(+)::chr3:104279761-104279773(+) AGAAAGAAGTTA >mm10_chr3:104316020-104316031(-)::chr3:104316019-104316031(-) AGCAGGAAGGGC >mm10_chr3:104350099-104350110(+)::chr3:104350098-104350110(+) TTGAGGAAGTTT >mm10_chr3:104356635-104356646(-)::chr3:104356634-104356646(-) AGAAGGAAGAGG >mm10_chr3:104356666-104356677(-)::chr3:104356665-104356677(-) GCAAGGAAGCCA >mm10_chr3:104362003-104362014(+)::chr3:104362002-104362014(+) atgaggacgtag >mm10_chr3:104384215-104384226(+)::chr3:104384214-104384226(+) AGAAGGAATCGA >mm10_chr3:104431673-104431684(-)::chr3:104431672-104431684(-) ACCAGGAAGAGG >mm10_chr3:104484583-104484594(+)::chr3:104484582-104484594(+) agcaggaaatgg >mm10_chr3:104484601-104484612(-)::chr3:104484600-104484612(-) gtaaggaagttc >mm10_chr3:104520629-104520640(+)::chr3:104520628-104520640(+) AAGAGGAAGAAA >mm10_chr3:104540853-104540864(+)::chr3:104540852-104540864(+) TGGAGGAAATGG >mm10_chr3:104541485-104541496(-)::chr3:104541484-104541496(-) AAGAGGAAGAGC >mm10_chr3:104597223-104597234(+)::chr3:104597222-104597234(+) TGGAGGAAGATC >mm10_chr3:104598824-104598835(-)::chr3:104598823-104598835(-) agaaggaagtta >mm10_chr3:104600846-104600857(-)::chr3:104600845-104600857(-) AAAAGGAAATGA >mm10_chr3:104602039-104602050(+)::chr3:104602038-104602050(+) AGTAGGAAGAGG >mm10_chr3:104662134-104662145(+)::chr3:104662133-104662145(+) aagaggaaatgg >mm10_chr3:104671934-104671945(+)::chr3:104671933-104671945(+) ATGAGGAAGTGC >mm10_chr3:104672172-104672183(-)::chr3:104672171-104672183(-) ATCAGGAAGAGG >mm10_chr3:104672248-104672259(-)::chr3:104672247-104672259(-) TTGAGGAAGTGA >mm10_chr3:104698302-104698313(+)::chr3:104698301-104698313(+) CCAAGGAAGTTC >mm10_chr3:104737293-104737304(-)::chr3:104737292-104737304(-) ACAAGGAAGATG >mm10_chr3:104737340-104737351(-)::chr3:104737339-104737351(-) aagaggaagggg >mm10_chr3:104789699-104789710(-)::chr3:104789698-104789710(-) TCAAGGAAGAGC >mm10_chr3:104863863-104863874(-)::chr3:104863862-104863874(-) CTCAGGAAGTGA >mm10_chr3:104863887-104863898(+)::chr3:104863886-104863898(+) AAAGGGAAGTTA >mm10_chr3:104863913-104863924(+)::chr3:104863912-104863924(+) ATCAGGAAGTGA >mm10_chr3:104864184-104864195(+)::chr3:104864183-104864195(+) TTAAGGAAGGAA >mm10_chr3:104864188-104864199(+)::chr3:104864187-104864199(+) GGAAGGAAGCGT >mm10_chr3:104864541-104864552(+)::chr3:104864540-104864552(+) TCTAGGAAGTCG >mm10_chr3:104890148-104890159(-)::chr3:104890147-104890159(-) ggaaggaaggaG >mm10_chr3:104890152-104890163(-)::chr3:104890151-104890163(-) agaaggaaggaa >mm10_chr3:104890183-104890194(-)::chr3:104890182-104890194(-) ggaaggaagggg >mm10_chr3:104890187-104890198(-)::chr3:104890186-104890198(-) agaaggaaggaa >mm10_chr3:104890194-104890205(-)::chr3:104890193-104890205(-) ggaaggaagaag >mm10_chr3:104890198-104890209(-)::chr3:104890197-104890209(-) ggcaggaaggaa >mm10_chr3:104890206-104890217(-)::chr3:104890205-104890217(-) gaaaggaaggca >mm10_chr3:104897332-104897343(-)::chr3:104897331-104897343(-) GGAAGTAAGTAC >mm10_chr3:104897336-104897347(-)::chr3:104897335-104897347(-) AGGAGGAAGTAA >mm10_chr3:104897343-104897354(-)::chr3:104897342-104897354(-) TACAGGAAGGAG >mm10_chr3:104923781-104923792(+)::chr3:104923780-104923792(+) CTAAGGAACTAA >mm10_chr3:104994011-104994022(+)::chr3:104994010-104994022(+) AGAAGGAAGCTG >mm10_chr3:105036478-105036489(-)::chr3:105036477-105036489(-) AAGAGGAAGTCT >mm10_chr3:105047725-105047736(-)::chr3:105047724-105047736(-) gccaggaagttc >mm10_chr3:105053156-105053167(-)::chr3:105053155-105053167(-) TCCCGGAAGTGA >mm10_chr3:105053164-105053175(+)::chr3:105053163-105053175(+) GGGAGGAAGTAC >mm10_chr3:105054937-105054948(-)::chr3:105054936-105054948(-) AGGAGGAAATGG >mm10_chr3:105054947-105054958(-)::chr3:105054946-105054958(-) CAAAGGAAGGAG >mm10_chr3:105054978-105054989(+)::chr3:105054977-105054989(+) CGGAGGAAGTGT >mm10_chr3:105087618-105087629(-)::chr3:105087617-105087629(-) tggaggaagtga >mm10_chr3:105087626-105087637(+)::chr3:105087625-105087637(+) tccaggaagtct >mm10_chr3:105393024-105393035(+)::chr3:105393023-105393035(+) TACAGGAAGGAC >mm10_chr3:105432072-105432083(+)::chr3:105432071-105432083(+) AGCAGGAAATAT >mm10_chr3:105552943-105552954(-)::chr3:105552942-105552954(-) GTAAGGAAATAT >mm10_chr3:105710270-105710281(-)::chr3:105710269-105710281(-) AACAGGAAGGTA >mm10_chr3:105710777-105710788(+)::chr3:105710776-105710788(+) AGTAGGAAGGAA >mm10_chr3:105710832-105710843(-)::chr3:105710831-105710843(-) ATGAGGAAGAAT >mm10_chr3:105712108-105712119(+)::chr3:105712107-105712119(+) AGGAGGAAGCTG >mm10_chr3:105712126-105712137(-)::chr3:105712125-105712137(-) GGGAGGAAGGGG >mm10_chr3:105712134-105712145(-)::chr3:105712133-105712145(-) TAGAGGAAGGGA >mm10_chr3:105779638-105779649(+)::chr3:105779637-105779649(+) aggaggaagttt >mm10_chr3:105790014-105790025(-)::chr3:105790013-105790025(-) agaaggaagtca >mm10_chr3:105818574-105818585(-)::chr3:105818573-105818585(-) agaaggaagcag >mm10_chr3:105833239-105833250(+)::chr3:105833238-105833250(+) AGCAGGAAGTAA >mm10_chr3:105840048-105840059(-)::chr3:105840047-105840059(-) ACCAGGAAGTGG >mm10_chr3:105861927-105861938(-)::chr3:105861926-105861938(-) AGGAGGAAGGGC >mm10_chr3:105892086-105892097(-)::chr3:105892085-105892097(-) AAAAGGAAGTCA >mm10_chr3:105892110-105892121(-)::chr3:105892109-105892121(-) CCAAGGAAGCAG >mm10_chr3:105895415-105895426(+)::chr3:105895414-105895426(+) AGAAGGACGTGG >mm10_chr3:105910711-105910722(+)::chr3:105910710-105910722(+) AGGGGGAAGTGC >mm10_chr3:105932719-105932730(-)::chr3:105932718-105932730(-) AAGAGGAAGTCT >mm10_chr3:105948549-105948560(-)::chr3:105948548-105948560(-) aaaaggaagagg >mm10_chr3:105948604-105948615(-)::chr3:105948603-105948615(-) tcaaggaagtca >mm10_chr3:105981065-105981076(+)::chr3:105981064-105981076(+) TAGAGGAAGTCC >mm10_chr3:105981084-105981095(-)::chr3:105981083-105981095(-) AAAAGGAACTGT >mm10_chr3:106061276-106061287(-)::chr3:106061275-106061287(-) acaaggaaggta >mm10_chr3:106234038-106234049(-)::chr3:106234037-106234049(-) ACCAGGAAGACT >mm10_chr3:106426846-106426857(+)::chr3:106426845-106426857(+) tcgaggaagatc >mm10_chr3:106453711-106453722(-)::chr3:106453710-106453722(-) acaaggaaataa >mm10_chr3:106453731-106453742(-)::chr3:106453730-106453742(-) acaaggaaacaa >mm10_chr3:106471355-106471366(-)::chr3:106471354-106471366(-) gggaggaagagA >mm10_chr3:106471364-106471375(-)::chr3:106471363-106471375(-) aggaggaagggg >mm10_chr3:106471373-106471384(-)::chr3:106471372-106471384(-) tagaggaagagg >mm10_chr3:106472779-106472790(+)::chr3:106472778-106472790(+) AAAAGGAAGACA >mm10_chr3:106483912-106483923(+)::chr3:106483911-106483923(+) AACAGGAAGGCA >mm10_chr3:106484206-106484217(-)::chr3:106484205-106484217(-) TGAAGGAAGAGA >mm10_chr3:106484232-106484243(-)::chr3:106484231-106484243(-) AACAGGAAGCCC >mm10_chr3:106484606-106484617(+)::chr3:106484605-106484617(+) ACCAGGAAGATG >mm10_chr3:106485173-106485184(-)::chr3:106485172-106485184(-) AAGAGGAAGGAG >mm10_chr3:106485192-106485203(-)::chr3:106485191-106485203(-) ATAAGGAAATGT >mm10_chr3:106494038-106494049(+)::chr3:106494037-106494049(+) CTCAGGAAGTGC >mm10_chr3:106568655-106568666(-)::chr3:106568654-106568666(-) aagaggaagaaa >mm10_chr3:106721519-106721530(+)::chr3:106721518-106721530(+) CACCGGAAGTAT >mm10_chr3:106721566-106721577(-)::chr3:106721565-106721577(-) AGGAGGAACTAA >mm10_chr3:107084305-107084316(-)::chr3:107084304-107084316(-) AGAAGGAAATGT >mm10_chr3:107084336-107084347(-)::chr3:107084335-107084347(-) AACAGGAAGGAG >mm10_chr3:107207675-107207686(-)::chr3:107207674-107207686(-) AGAAGGAACTTG >mm10_chr3:107241792-107241803(-)::chr3:107241791-107241803(-) AAGAGGAAGGAG >mm10_chr3:107243370-107243381(+)::chr3:107243369-107243381(+) AGAAGGAAAGAG >mm10_chr3:107246942-107246953(+)::chr3:107246941-107246953(+) TACCGGAAGTGT >mm10_chr3:107252260-107252271(+)::chr3:107252259-107252271(+) agcaggaagcag >mm10_chr3:107279027-107279038(+)::chr3:107279026-107279038(+) AGGAGGAAGTGT >mm10_chr3:107280220-107280231(-)::chr3:107280219-107280231(-) TTAAGGAAATAG >mm10_chr3:107296672-107296683(+)::chr3:107296671-107296683(+) ATCAGGAAGAGA >mm10_chr3:107296684-107296695(+)::chr3:107296683-107296695(+) ACAAGGAAAAGT >mm10_chr3:107296684-107296695(+)::chr3:107296683-107296695(+) ACAAGGAAAAGT >mm10_chr3:107330458-107330469(+)::chr3:107330457-107330469(+) AAGAGGAAGATC >mm10_chr3:107360382-107360393(+)::chr3:107360381-107360393(+) AAGAGGAAGCGC >mm10_chr3:107360411-107360422(-)::chr3:107360410-107360422(-) AGCAGGAAGCAG >mm10_chr3:107376460-107376471(+)::chr3:107376459-107376471(+) ccaaGGAAGCTG >mm10_chr3:107376477-107376488(+)::chr3:107376476-107376488(+) ATGAGGAAATTG >mm10_chr3:107376513-107376524(+)::chr3:107376512-107376524(+) TCAAGGAAGCAA >mm10_chr3:107376525-107376536(+)::chr3:107376524-107376536(+) TCAAGGAAGACA >mm10_chr3:107386666-107386677(-)::chr3:107386665-107386677(-) GAGAGGAAGTAG >mm10_chr3:107400203-107400214(-)::chr3:107400202-107400214(-) AGAAGGAACGTG >mm10_chr3:107407912-107407923(-)::chr3:107407911-107407923(-) cgcaggaagtag >mm10_chr3:107408498-107408509(-)::chr3:107408497-107408509(-) AGAAGGAAGCTC >mm10_chr3:107501519-107501530(+)::chr3:107501518-107501530(+) acgaggaagtaa >mm10_chr3:107618375-107618386(-)::chr3:107618374-107618386(-) AAGAGGAAGTTG >mm10_chr3:107630794-107630805(+)::chr3:107630793-107630805(+) AAACGGAAGCAG >mm10_chr3:107636013-107636024(+)::chr3:107636012-107636024(+) CGATGgaagttc >mm10_chr3:107685868-107685879(-)::chr3:107685867-107685879(-) agaaggaaaatg >mm10_chr3:107750990-107751001(+)::chr3:107750989-107751001(+) GGAAGAAAGTGG >mm10_chr3:107751368-107751379(-)::chr3:107751367-107751379(-) agaaggaactct >mm10_chr3:107758777-107758788(-)::chr3:107758776-107758788(-) GCCAGGAAGAGC >mm10_chr3:107758792-107758803(-)::chr3:107758791-107758803(-) GGGAGGAAGAAG >mm10_chr3:107760406-107760417(-)::chr3:107760405-107760417(-) GGAAGGGAGTCG >mm10_chr3:107781443-107781454(-)::chr3:107781442-107781454(-) AAGAGGAAATGA >mm10_chr3:107781498-107781509(-)::chr3:107781497-107781509(-) AGAAGGAAGTAC >mm10_chr3:107805057-107805068(-)::chr3:107805056-107805068(-) TGGAGGAAGGTA >mm10_chr3:107805068-107805079(-)::chr3:107805067-107805079(-) TGAAGGAAGTGT >mm10_chr3:107805115-107805126(-)::chr3:107805114-107805126(-) AGTAGGAAGAAG >mm10_chr3:107807671-107807682(+)::chr3:107807670-107807682(+) AGCAGGAACTCG >mm10_chr3:107807689-107807700(+)::chr3:107807688-107807700(+) AGAAGGAAGGGT >mm10_chr3:107808042-107808053(+)::chr3:107808041-107808053(+) GGAAGGCAGTAA >mm10_chr3:107808064-107808075(+)::chr3:107808063-107808075(+) AGAGGGAAGACG >mm10_chr3:107808444-107808455(+)::chr3:107808443-107808455(+) tggaggaagtcc >mm10_chr3:107838107-107838118(+)::chr3:107838106-107838118(+) TGAAGGAAGTCG >mm10_chr3:107844650-107844661(+)::chr3:107844649-107844661(+) AGCAGGAAGCAG >mm10_chr3:107844896-107844907(+)::chr3:107844895-107844907(+) TGAAGGAAGTGT >mm10_chr3:107874159-107874170(-)::chr3:107874158-107874170(-) GGAAGGAAGCCC >mm10_chr3:107950771-107950782(+)::chr3:107950770-107950782(+) AAGAGGAAGCAG >mm10_chr3:107953703-107953714(+)::chr3:107953702-107953714(+) CTCAGGAAGTTG >mm10_chr3:107964836-107964847(+)::chr3:107964835-107964847(+) ACCAGGAAGACA >mm10_chr3:107986459-107986470(+)::chr3:107986458-107986470(+) GCAAGGAAGAGG >mm10_chr3:107999624-107999635(-)::chr3:107999623-107999635(-) AGCAGGAAGCAG >mm10_chr3:108032326-108032337(+)::chr3:108032325-108032337(+) CAAAGGAAGGGC >mm10_chr3:108032371-108032382(-)::chr3:108032370-108032382(-) ACCAGGAAGCCA >mm10_chr3:108045042-108045053(+)::chr3:108045041-108045053(+) ACCCGGAAGTGC >mm10_chr3:108061715-108061726(+)::chr3:108061714-108061726(+) ACAAGGCAGTGG >mm10_chr3:108083403-108083414(+)::chr3:108083402-108083414(+) AGGAGGAAGAAG >mm10_chr3:108085435-108085446(+)::chr3:108085434-108085446(+) TGCAGGAAGCAG >mm10_chr3:108087005-108087016(-)::chr3:108087004-108087016(-) AGAAGGAAATAG >mm10_chr3:108097169-108097180(-)::chr3:108097168-108097180(-) acaaggaagccc >mm10_chr3:108113758-108113769(-)::chr3:108113757-108113769(-) GTCAGGAAGAAA >mm10_chr3:108113773-108113784(-)::chr3:108113772-108113784(-) GAAAGGAAGGGT >mm10_chr3:108257015-108257026(+)::chr3:108257014-108257026(+) AAGCGGAAGTGC >mm10_chr3:108289019-108289030(-)::chr3:108289018-108289030(-) AAGAGGAAATGT >mm10_chr3:108289025-108289036(-)::chr3:108289024-108289036(-) AGGAGGAAGAGG >mm10_chr3:108295492-108295503(+)::chr3:108295491-108295503(+) gcgaggaagagc >mm10_chr3:108298432-108298443(-)::chr3:108298431-108298443(-) AGCAGGAAGTCA >mm10_chr3:108305173-108305184(+)::chr3:108305172-108305184(+) aggaggaaggaa >mm10_chr3:108305177-108305188(+)::chr3:108305176-108305188(+) ggaaggaagggt >mm10_chr3:108314489-108314500(+)::chr3:108314488-108314500(+) ACCAGGAAATTG >mm10_chr3:108314528-108314539(+)::chr3:108314527-108314539(+) ACCAGGAAGGAA >mm10_chr3:108314532-108314543(+)::chr3:108314531-108314543(+) GGAAGGAAATTA >mm10_chr3:108319871-108319882(+)::chr3:108319870-108319882(+) agatggaagtca >mm10_chr3:108319885-108319896(+)::chr3:108319884-108319896(+) aagaggaagaaa >mm10_chr3:108319895-108319906(+)::chr3:108319894-108319906(+) aacaggaaatac >mm10_chr3:108322575-108322586(-)::chr3:108322574-108322586(-) aggaggaagagg >mm10_chr3:108322612-108322623(-)::chr3:108322611-108322623(-) aaaaggaaggag >mm10_chr3:108322618-108322629(-)::chr3:108322617-108322629(-) agaaggaaaagg >mm10_chr3:108340190-108340201(+)::chr3:108340189-108340201(+) AGGAGGAAGGAA >mm10_chr3:108386179-108386190(-)::chr3:108386178-108386190(-) ATAAGGAAGATA >mm10_chr3:108406272-108406283(-)::chr3:108406271-108406283(-) TTGAGGAAGTCC >mm10_chr3:108408003-108408014(+)::chr3:108408002-108408014(+) aggaggaagaag >mm10_chr3:108425308-108425319(+)::chr3:108425307-108425319(+) TACAGGAAGGCA >mm10_chr3:108449871-108449882(-)::chr3:108449870-108449882(-) agaaggaaaagc >mm10_chr3:108458567-108458578(-)::chr3:108458566-108458578(-) AGCAGGAAGTCC >mm10_chr3:108458943-108458954(-)::chr3:108458942-108458954(-) ACAAGGGAGTAT >mm10_chr3:108458984-108458995(+)::chr3:108458983-108458995(+) TCGAGGAAGCTG >mm10_chr3:108466503-108466514(-)::chr3:108466502-108466514(-) GGAAGGAAGTTT >mm10_chr3:108466507-108466518(-)::chr3:108466506-108466518(-) AAAAGGAAGGAA >mm10_chr3:108466549-108466560(+)::chr3:108466548-108466560(+) GGAAGGAACTTT >mm10_chr3:108476806-108476817(+)::chr3:108476805-108476817(+) AGAAGGAAGTGT >mm10_chr3:108479138-108479149(-)::chr3:108479137-108479149(-) GAGAGGAAGTTT >mm10_chr3:108481996-108482007(+)::chr3:108481995-108482007(+) ACAAGGAAGCTG >mm10_chr3:108499421-108499432(+)::chr3:108499420-108499432(+) aggaggaagagg >mm10_chr3:108503025-108503036(-)::chr3:108503024-108503036(-) ACAAGGATGTAG >mm10_chr3:108503048-108503059(-)::chr3:108503047-108503059(-) AACGGGAAGTAT >mm10_chr3:108533340-108533351(-)::chr3:108533339-108533351(-) AGCAGGAAGAAG >mm10_chr3:108544959-108544970(+)::chr3:108544958-108544970(+) AACAGGAAATAG >mm10_chr3:108571732-108571743(+)::chr3:108571731-108571743(+) AGGAGGAAGACC >mm10_chr3:108609851-108609862(-)::chr3:108609850-108609862(-) ACAAGGAAGAAC >mm10_chr3:108654703-108654714(-)::chr3:108654702-108654714(-) AGCGGGAAGTCG >mm10_chr3:108654734-108654745(-)::chr3:108654733-108654745(-) TAAGGGAAGTCA >mm10_chr3:108658558-108658569(-)::chr3:108658557-108658569(-) ATACGGAAGAGC >mm10_chr3:108712702-108712713(+)::chr3:108712701-108712713(+) AGTAGGAAGGAG >mm10_chr3:108712747-108712758(+)::chr3:108712746-108712758(+) AGAAGGAAAGAG >mm10_chr3:108713336-108713347(-)::chr3:108713335-108713347(-) AAGAGGAAGAAG >mm10_chr3:108713342-108713353(-)::chr3:108713341-108713353(-) AGAAGGAAGAGG >mm10_chr3:108713363-108713374(+)::chr3:108713362-108713374(+) CAAAGGAAGCAA >mm10_chr3:108730454-108730465(+)::chr3:108730453-108730465(+) GACAGGAAGGCA >mm10_chr3:108736276-108736287(-)::chr3:108736275-108736287(-) tccgggaagtgg >mm10_chr3:108738505-108738516(+)::chr3:108738504-108738516(+) GGCAGGAAGTCC >mm10_chr3:108738562-108738573(-)::chr3:108738561-108738573(-) TTAAGGAAGTAT >mm10_chr3:108765154-108765165(+)::chr3:108765153-108765165(+) CCGAGGAAGTGA >mm10_chr3:108839639-108839650(+)::chr3:108839638-108839650(+) ACAAGGAAGGTC >mm10_chr3:108850795-108850806(+)::chr3:108850794-108850806(+) ATGAGGAAGTTG >mm10_chr3:108851852-108851863(-)::chr3:108851851-108851863(-) AGAAGGAAGAAT >mm10_chr3:108865358-108865369(+)::chr3:108865357-108865369(+) AAGAGGAAGTCT >mm10_chr3:108881013-108881024(+)::chr3:108881012-108881024(+) ACCAGGAAGGTA >mm10_chr3:108881024-108881035(+)::chr3:108881023-108881035(+) ACGAGGAAGGCT >mm10_chr3:108889990-108890001(+)::chr3:108889989-108890001(+) TCAAGGAAGTAT >mm10_chr3:108893127-108893138(+)::chr3:108893126-108893138(+) agcaggaactga >mm10_chr3:108911451-108911462(+)::chr3:108911450-108911462(+) GGCAGGAAGGAA >mm10_chr3:108911455-108911466(+)::chr3:108911454-108911466(+) GGAAGGAAGGAG >mm10_chr3:108911815-108911826(-)::chr3:108911814-108911826(-) ACCAGGAAGAGG >mm10_chr3:108920924-108920935(-)::chr3:108920923-108920935(-) acaaggaagagc >mm10_chr3:108953480-108953491(+)::chr3:108953479-108953491(+) GGAAGGAAGTTG >mm10_chr3:109027845-109027856(-)::chr3:109027844-109027856(-) ACAAGGAAGCTT >mm10_chr3:109045144-109045155(+)::chr3:109045143-109045155(+) TGAAGGAAGTGT >mm10_chr3:109045169-109045180(+)::chr3:109045168-109045180(+) GCAAGGAAGGAA >mm10_chr3:109045173-109045184(+)::chr3:109045172-109045184(+) GGAAGGAAGCAA >mm10_chr3:109079850-109079861(-)::chr3:109079849-109079861(-) AGAAGGAAGAGA >mm10_chr3:109196233-109196244(-)::chr3:109196232-109196244(-) TGCAGGAAGTTT >mm10_chr3:109224470-109224481(-)::chr3:109224469-109224481(-) AGAAGGAAGGCC >mm10_chr3:109311633-109311644(-)::chr3:109311632-109311644(-) TTGAGGAAGTGC >mm10_chr3:109323351-109323362(-)::chr3:109323350-109323362(-) AAGAGGAAGAAG >mm10_chr3:109323357-109323368(-)::chr3:109323356-109323368(-) TGCAGGAAGAGG >mm10_chr3:109323596-109323607(-)::chr3:109323595-109323607(-) AGAAGGAAGTAT >mm10_chr3:109323820-109323831(+)::chr3:109323819-109323831(+) gaaaggaaggag >mm10_chr3:109352489-109352500(+)::chr3:109352488-109352500(+) gacaggaagtgg >mm10_chr3:109352832-109352843(+)::chr3:109352831-109352843(+) AAAGGGAAGTAA >mm10_chr3:109467282-109467293(-)::chr3:109467281-109467293(-) AACAGGAAATGC >mm10_chr3:109474821-109474832(-)::chr3:109474820-109474832(-) AGCAGGAAGGAG >mm10_chr3:109480843-109480854(-)::chr3:109480842-109480854(-) ATAAGGAAGAAC >mm10_chr3:109480881-109480892(+)::chr3:109480880-109480892(+) AGCAGGAAGGCC >mm10_chr3:109537947-109537958(+)::chr3:109537946-109537958(+) AGGAGGAAGAAG >mm10_chr3:109591221-109591232(+)::chr3:109591220-109591232(+) AACAGGAAGTAA >mm10_chr3:109601604-109601615(-)::chr3:109601603-109601615(-) AGAAGGATGTCT >mm10_chr3:109840362-109840373(-)::chr3:109840361-109840373(-) ACCAGGAAATAG >mm10_chr3:109840386-109840397(-)::chr3:109840385-109840397(-) AGGAGGAAATGA >mm10_chr3:109994076-109994087(+)::chr3:109994075-109994087(+) CTAAGGAAGCTG >mm10_chr3:110251058-110251069(-)::chr3:110251057-110251069(-) TGCAGGAAGCGG >mm10_chr3:110251072-110251083(-)::chr3:110251071-110251083(-) CTCAGGAAGCGG >mm10_chr3:110251450-110251461(-)::chr3:110251449-110251461(-) atccggaagtgg >mm10_chr3:110251465-110251476(-)::chr3:110251464-110251476(-) aaaaggaagtcc >mm10_chr3:110303379-110303390(+)::chr3:110303378-110303390(+) GGGAGGAAGATT >mm10_chr3:110303624-110303635(-)::chr3:110303623-110303635(-) AGGAGGAAGGCA >mm10_chr3:110467690-110467701(+)::chr3:110467689-110467701(+) ATACGGAAGCCA >mm10_chr3:110511096-110511107(-)::chr3:110511095-110511107(-) GCAAGGAACTAG >mm10_chr3:110511146-110511157(-)::chr3:110511145-110511157(-) TGAAGGAAGTGG >mm10_chr3:110511155-110511166(+)::chr3:110511154-110511166(+) TCAAGGAAGCTG >mm10_chr3:111072066-111072077(-)::chr3:111072065-111072077(-) tcaaggaagtga >mm10_chr3:111094012-111094023(+)::chr3:111094011-111094023(+) atgaggaagtca >mm10_chr3:113579765-113579776(-)::chr3:113579764-113579776(-) gggaggaaggat >mm10_chr3:113632980-113632991(-)::chr3:113632979-113632991(-) agaaggaagcgt >mm10_chr3:113632987-113632998(-)::chr3:113632986-113632998(-) aaacggaagaag >mm10_chr3:113930378-113930389(+)::chr3:113930377-113930389(+) atgaggaaatac >mm10_chr3:114031818-114031829(+)::chr3:114031817-114031829(+) GGGAGGAAGAGG >mm10_chr3:114037188-114037199(-)::chr3:114037187-114037199(-) TTCAGGAAGTTG >mm10_chr3:114041088-114041099(+)::chr3:114041087-114041099(+) AGGGGGAAGTGA >mm10_chr3:114041112-114041123(+)::chr3:114041111-114041123(+) TTGAGGAAGTAT >mm10_chr3:114099541-114099552(-)::chr3:114099540-114099552(-) AACAGGAAGGAA >mm10_chr3:114204633-114204644(+)::chr3:114204632-114204644(+) aacaggaagcaa >mm10_chr3:114204644-114204655(+)::chr3:114204643-114204655(+) aacaggaagtcc >mm10_chr3:114217102-114217113(+)::chr3:114217101-114217113(+) ATCAGGAAGTTA >mm10_chr3:114217146-114217157(+)::chr3:114217145-114217157(+) ATGAGGAAATGT >mm10_chr3:114331262-114331273(-)::chr3:114331261-114331273(-) tcaaggatGTAT >mm10_chr3:114564869-114564880(+)::chr3:114564868-114564880(+) gtaaggatgtga >mm10_chr3:115095645-115095656(-)::chr3:115095644-115095656(-) AGTAGGAAATGG >mm10_chr3:115179630-115179641(-)::chr3:115179629-115179641(-) ccaaggaagcaa >mm10_chr3:115179685-115179696(-)::chr3:115179684-115179696(-) acatggaagtag >mm10_chr3:115386604-115386615(-)::chr3:115386603-115386615(-) aggaggaagtgg >mm10_chr3:115386611-115386622(-)::chr3:115386610-115386622(-) agcaggaaggag >mm10_chr3:115470768-115470779(-)::chr3:115470767-115470779(-) ggaaggaaatgc >mm10_chr3:115489250-115489261(+)::chr3:115489249-115489261(+) gtaagcaagtaa >mm10_chr3:115649830-115649841(+)::chr3:115649829-115649841(+) AGAAGGAAGGAA >mm10_chr3:115649834-115649845(+)::chr3:115649833-115649845(+) GGAAGGAAATGT >mm10_chr3:115649885-115649896(+)::chr3:115649884-115649896(+) GCCAGGAAATGT >mm10_chr3:115816014-115816025(-)::chr3:115816013-115816025(-) GTGAGGAAGGAA >mm10_chr3:115833264-115833275(+)::chr3:115833263-115833275(+) acaaggaaatgg >mm10_chr3:115833307-115833318(+)::chr3:115833306-115833318(+) acaaggaagtaa >mm10_chr3:115833349-115833360(+)::chr3:115833348-115833360(+) acagggaagtaa >mm10_chr3:115879194-115879205(-)::chr3:115879193-115879205(-) actcggaagtaa >mm10_chr3:115879237-115879248(-)::chr3:115879236-115879248(-) acaaggaagtag >mm10_chr3:115902485-115902496(-)::chr3:115902484-115902496(-) ATGAGGAAGTTA >mm10_chr3:115985771-115985782(+)::chr3:115985770-115985782(+) agcaggaaatca >mm10_chr3:115992239-115992250(+)::chr3:115992238-115992250(+) ATCAGGAAGTCT >mm10_chr3:115992291-115992302(+)::chr3:115992290-115992302(+) GGCAGGAAGCCA >mm10_chr3:115992746-115992757(+)::chr3:115992745-115992757(+) CCCAGGAAGTTA >mm10_chr3:116003635-116003646(-)::chr3:116003634-116003646(-) GGAGGGAAGCGC >mm10_chr3:116008294-116008305(+)::chr3:116008293-116008305(+) AACAGGAAGTGG >mm10_chr3:116033855-116033866(-)::chr3:116033854-116033866(-) GTAAGAAAGTTA >mm10_chr3:116195040-116195051(-)::chr3:116195039-116195051(-) TCCAGGAAATAG >mm10_chr3:116395976-116395987(-)::chr3:116395975-116395987(-) agaaggaaggag >mm10_chr3:116395988-116395999(-)::chr3:116395987-116395999(-) aggaggaaggga >mm10_chr3:116395995-116396006(-)::chr3:116395994-116396006(-) gggaggaaggag >mm10_chr3:116396014-116396025(-)::chr3:116396013-116396025(-) agaaggaaggag >mm10_chr3:116396021-116396032(-)::chr3:116396020-116396032(-) ggaaggaagaag >mm10_chr3:116396025-116396036(-)::chr3:116396024-116396036(-) agaaggaaggaa >mm10_chr3:116396045-116396056(-)::chr3:116396044-116396056(-) agaaggaagtaa >mm10_chr3:116396057-116396068(-)::chr3:116396056-116396068(-) gggaggaaggaa >mm10_chr3:116414981-116414992(-)::chr3:116414980-116414992(-) accaggaaatca >mm10_chr3:116414998-116415009(+)::chr3:116414997-116415009(+) aagaGGAAGAGG >mm10_chr3:116415004-116415015(+)::chr3:116415003-116415015(+) AAGAGGAAGAAA >mm10_chr3:116513027-116513038(-)::chr3:116513026-116513038(-) AGAAGGAACGAG >mm10_chr3:116595373-116595384(+)::chr3:116595372-116595384(+) ATGAGGAAATGG >mm10_chr3:116595398-116595409(-)::chr3:116595397-116595409(-) ACACGGACGTGA >mm10_chr3:116635602-116635613(+)::chr3:116635601-116635613(+) AGGGGGAAGTAA >mm10_chr3:116638068-116638079(-)::chr3:116638067-116638079(-) ATAAGGAAGTGG >mm10_chr3:116695735-116695746(+)::chr3:116695734-116695746(+) aaaaggaaGAAG >mm10_chr3:116695742-116695753(+)::chr3:116695741-116695753(+) aGAAGGAAGAGA >mm10_chr3:116740314-116740325(+)::chr3:116740313-116740325(+) AGAAGGAAGTAA >mm10_chr3:116740354-116740365(+)::chr3:116740353-116740365(+) AGAAGGAAGTAA >mm10_chr3:116808270-116808281(-)::chr3:116808269-116808281(-) TCCCGGAAGTGG >mm10_chr3:116808799-116808810(-)::chr3:116808798-116808810(-) tggaggaagtta >mm10_chr3:116808824-116808835(+)::chr3:116808823-116808835(+) accaggaagtgt >mm10_chr3:116829689-116829700(-)::chr3:116829688-116829700(-) agcaggaagtgt >mm10_chr3:116836159-116836170(+)::chr3:116836158-116836170(+) AAAAGGAAATGA >mm10_chr3:116836175-116836186(-)::chr3:116836174-116836186(-) CCGAGGAAGAAC >mm10_chr3:116847833-116847844(-)::chr3:116847832-116847844(-) TCCAGGAAATAC >mm10_chr3:116847867-116847878(+)::chr3:116847866-116847878(+) TAAAGGAACTGG >mm10_chr3:116856008-116856019(+)::chr3:116856007-116856019(+) tgaaggaaggca >mm10_chr3:116861674-116861685(+)::chr3:116861673-116861685(+) AGCCGGAAGTTG >mm10_chr3:116907083-116907094(-)::chr3:116907082-116907094(-) ACCAGGAAGATG >mm10_chr3:116951548-116951559(+)::chr3:116951547-116951559(+) GAAGGGAAGTGG >mm10_chr3:116951871-116951882(-)::chr3:116951870-116951882(-) GGAAGGAAGTTT >mm10_chr3:116975594-116975605(+)::chr3:116975593-116975605(+) AGGAGGAAGGAA >mm10_chr3:117024757-117024768(+)::chr3:117024756-117024768(+) GGAAGGAAGTAG >mm10_chr3:117024774-117024785(-)::chr3:117024773-117024785(-) ACAAGGAAGAGT >mm10_chr3:117360485-117360496(+)::chr3:117360484-117360496(+) ATAGGGAAGAGT >mm10_chr3:117821158-117821169(+)::chr3:117821157-117821169(+) AAGAGGAAATGG >mm10_chr3:117821738-117821749(+)::chr3:117821737-117821749(+) GTAAGGAACTTG >mm10_chr3:117849469-117849480(-)::chr3:117849468-117849480(-) AAGAGGAAGAGG >mm10_chr3:117849475-117849486(-)::chr3:117849474-117849486(-) ACAAGGAAGAGG >mm10_chr3:117869274-117869285(-)::chr3:117869273-117869285(-) AACAGGAAATCA >mm10_chr3:117928234-117928245(-)::chr3:117928233-117928245(-) ataaggaaggaa >mm10_chr3:117928250-117928261(-)::chr3:117928249-117928261(-) agaaggaaggaa >mm10_chr3:118127003-118127014(-)::chr3:118127002-118127014(-) AGAAGGGAGTGT >mm10_chr3:118493350-118493361(-)::chr3:118493349-118493361(-) CAAAGGAAGAAG >mm10_chr3:119467874-119467885(-)::chr3:119467873-119467885(-) AGAAGCAAGTGT >mm10_chr3:119480356-119480367(+)::chr3:119480355-119480367(+) agcaggaagacg >mm10_chr3:119480389-119480400(+)::chr3:119480388-119480400(+) ttaaggaagcag >mm10_chr3:119480407-119480418(+)::chr3:119480406-119480418(+) agcaggaagtgg >mm10_chr3:119480420-119480431(+)::chr3:119480419-119480431(+) acaaggatataa >mm10_chr3:119498372-119498383(+)::chr3:119498371-119498383(+) TCGAGGAAATGC >mm10_chr3:119498964-119498975(+)::chr3:119498963-119498975(+) GGGAGGAAGCGC >mm10_chr3:119505104-119505115(+)::chr3:119505103-119505115(+) attaggAAGTTC >mm10_chr3:119760201-119760212(-)::chr3:119760200-119760212(-) ACAGGGAAGTGG >mm10_chr3:119825496-119825507(+)::chr3:119825495-119825507(+) aggaggaaggag >mm10_chr3:119955634-119955645(+)::chr3:119955633-119955645(+) ATCAGGAAATAG >mm10_chr3:119955641-119955652(+)::chr3:119955640-119955652(+) AATAGGAAGTAT >mm10_chr3:119959190-119959201(+)::chr3:119959189-119959201(+) AGGAGGAAGCAT >mm10_chr3:120021477-120021488(+)::chr3:120021476-120021488(+) ATagggaagttt >mm10_chr3:120021505-120021516(-)::chr3:120021504-120021516(-) acaaggaacttc >mm10_chr3:120064797-120064808(-)::chr3:120064796-120064808(-) TTGAGGAAGTAT >mm10_chr3:120065063-120065074(+)::chr3:120065062-120065074(+) TACAGGAAGAAG >mm10_chr3:120065270-120065281(+)::chr3:120065269-120065281(+) ATAAGGAAGGGG >mm10_chr3:120088462-120088473(-)::chr3:120088461-120088473(-) ATGAGGAAGAGA >mm10_chr3:120141582-120141593(-)::chr3:120141581-120141593(-) acaaggaagaga >mm10_chr3:120371738-120371749(+)::chr3:120371737-120371749(+) agaaggaaaaTA >mm10_chr3:120371747-120371758(+)::chr3:120371746-120371758(+) aTAaggaagaga >mm10_chr3:120780059-120780070(+)::chr3:120780058-120780070(+) tggaggaagtat >mm10_chr3:121192029-121192040(-)::chr3:121192028-121192040(-) gggaggaaggga >mm10_chr3:121192040-121192051(-)::chr3:121192039-121192051(-) aggaggaaggag >mm10_chr3:121192049-121192060(-)::chr3:121192048-121192060(-) gagaggaagagg >mm10_chr3:121251291-121251302(+)::chr3:121251290-121251302(+) CCCAGGAAGTCA >mm10_chr3:121251364-121251375(-)::chr3:121251363-121251375(-) CCAAGGACGTGC >mm10_chr3:121259213-121259224(+)::chr3:121259212-121259224(+) ACCAGGAAGCGG >mm10_chr3:121291378-121291389(+)::chr3:121291377-121291389(+) GTAAGGAAGAAA >mm10_chr3:121291386-121291397(+)::chr3:121291385-121291397(+) GAAAGGAAGTAG >mm10_chr3:121291591-121291602(-)::chr3:121291590-121291602(-) CCCAGGAAGCGC >mm10_chr3:121333794-121333805(+)::chr3:121333793-121333805(+) AGGAGGAAATGC >mm10_chr3:121345143-121345154(+)::chr3:121345142-121345154(+) GACAGGAAGTAG >mm10_chr3:121388973-121388984(+)::chr3:121388972-121388984(+) AGCAGGAACTGA >mm10_chr3:121434046-121434057(-)::chr3:121434045-121434057(-) AGAAGGAAGATG >mm10_chr3:121467366-121467377(-)::chr3:121467365-121467377(-) AATAGGAAGCGC >mm10_chr3:121467373-121467384(-)::chr3:121467372-121467384(-) GATAGGAAATAG >mm10_chr3:121475704-121475715(-)::chr3:121475703-121475715(-) GGCAGgaaggag >mm10_chr3:121475728-121475739(-)::chr3:121475727-121475739(-) TCCAGGAAGTCT >mm10_chr3:121521829-121521840(-)::chr3:121521828-121521840(-) ACAAGGAAGCGT >mm10_chr3:121521846-121521857(+)::chr3:121521845-121521857(+) AGAAGGAAACGG >mm10_chr3:121532408-121532419(+)::chr3:121532407-121532419(+) CCCAGGAAGTGC >mm10_chr3:121545637-121545648(-)::chr3:121545636-121545648(-) ATAAGGAACAAC >mm10_chr3:121547724-121547735(-)::chr3:121547723-121547735(-) AGAAGGAAGTGG >mm10_chr3:121547754-121547765(-)::chr3:121547753-121547765(-) AGAAGGAAAACA >mm10_chr3:121547989-121548000(-)::chr3:121547988-121548000(-) ACGACGAAGTGT >mm10_chr3:121559055-121559066(+)::chr3:121559054-121559066(+) AACAGGAAGAGG >mm10_chr3:121559374-121559385(-)::chr3:121559373-121559385(-) ACAAGGAAGTCA >mm10_chr3:121599653-121599664(+)::chr3:121599652-121599664(+) AACAGGAAGATG >mm10_chr3:121630862-121630873(+)::chr3:121630861-121630873(+) GGCAGGAAGGAC >mm10_chr3:121630880-121630891(+)::chr3:121630879-121630891(+) GGGAGGAAGTGA >mm10_chr3:121637020-121637031(-)::chr3:121637019-121637031(-) AGGAGGAAGTAC >mm10_chr3:121656651-121656662(+)::chr3:121656650-121656662(+) accaggaagcca >mm10_chr3:121693488-121693499(+)::chr3:121693487-121693499(+) GGAAGGAAATGA >mm10_chr3:121697277-121697288(-)::chr3:121697276-121697288(-) AGGAGGAAGAGG >mm10_chr3:121706762-121706773(-)::chr3:121706761-121706773(-) TGTAGGAAATAA >mm10_chr3:121719811-121719822(-)::chr3:121719810-121719822(-) aggaggaaggag >mm10_chr3:121719848-121719859(-)::chr3:121719847-121719859(-) agaaggaaagta >mm10_chr3:121773283-121773294(+)::chr3:121773282-121773294(+) AAAGGGAAGTGA >mm10_chr3:121773317-121773328(+)::chr3:121773316-121773328(+) TGAAGGAAGATG >mm10_chr3:121809975-121809986(+)::chr3:121809974-121809986(+) gggaggaagtaa >mm10_chr3:121817204-121817215(+)::chr3:121817203-121817215(+) ggaaggaaggaa >mm10_chr3:121817208-121817219(+)::chr3:121817207-121817219(+) ggaaggaaggaa >mm10_chr3:121817212-121817223(+)::chr3:121817211-121817223(+) ggaaggaaggga >mm10_chr3:121819316-121819327(+)::chr3:121819315-121819327(+) AGCAGGAagaat >mm10_chr3:121819335-121819346(+)::chr3:121819334-121819346(+) aggaggaactat >mm10_chr3:121841695-121841706(+)::chr3:121841694-121841706(+) TAGAGGAAGTGA >mm10_chr3:121842446-121842457(+)::chr3:121842445-121842457(+) ATGAGGAAGTTG >mm10_chr3:121847760-121847771(+)::chr3:121847759-121847771(+) aagaggaagaag >mm10_chr3:121869513-121869524(+)::chr3:121869512-121869524(+) AACAGGAAGGTT >mm10_chr3:121870478-121870489(+)::chr3:121870477-121870489(+) TGGAGGAAGTGA >mm10_chr3:121890747-121890758(-)::chr3:121890746-121890758(-) AGGAGGAAATTA >mm10_chr3:121890771-121890782(-)::chr3:121890770-121890782(-) CTGAGGAAGTGT >mm10_chr3:121900612-121900623(-)::chr3:121900611-121900623(-) AATAGGAAGAGG >mm10_chr3:121923261-121923272(+)::chr3:121923260-121923272(+) GGAAGGAAGGGG >mm10_chr3:121936203-121936214(+)::chr3:121936202-121936214(+) TAAAGGAAGGAA >mm10_chr3:121936207-121936218(+)::chr3:121936206-121936218(+) GGAAGGAACTTG >mm10_chr3:121948499-121948510(+)::chr3:121948498-121948510(+) TTAAGGAAGAAG >mm10_chr3:121948506-121948517(+)::chr3:121948505-121948517(+) AGAAGGAAATCA >mm10_chr3:121986197-121986208(+)::chr3:121986196-121986208(+) AGAAGGAAGGAG >mm10_chr3:121986204-121986215(+)::chr3:121986203-121986215(+) AGGAGGAAGGAA >mm10_chr3:122016769-122016780(-)::chr3:122016768-122016780(-) gtgaggaagtag >mm10_chr3:122041360-122041371(+)::chr3:122041359-122041371(+) accaggaagtgg >mm10_chr3:122102149-122102160(-)::chr3:122102148-122102160(-) ACCAGGAAGAGG >mm10_chr3:122113637-122113648(-)::chr3:122113636-122113648(-) atgaggaaggga >mm10_chr3:122119038-122119049(-)::chr3:122119037-122119049(-) AGCAGGAAGAAA >mm10_chr3:122119045-122119056(-)::chr3:122119044-122119056(-) TAAAGGAAGCAG >mm10_chr3:122119076-122119087(-)::chr3:122119075-122119087(-) AAAAGGAAGAGG >mm10_chr3:122158854-122158865(+)::chr3:122158853-122158865(+) ACAAGGAAAACA >mm10_chr3:122209520-122209531(+)::chr3:122209519-122209531(+) AGAAGGAAGGGA >mm10_chr3:122209593-122209604(+)::chr3:122209592-122209604(+) ACAAGGAAACGA >mm10_chr3:122228296-122228307(+)::chr3:122228295-122228307(+) aagaggaagagg >mm10_chr3:122228302-122228313(+)::chr3:122228301-122228313(+) aagaggaagagg >mm10_chr3:122228317-122228328(+)::chr3:122228316-122228328(+) aggaggaagagg >mm10_chr3:122228323-122228334(+)::chr3:122228322-122228334(+) aagaggaagaag >mm10_chr3:122243576-122243587(+)::chr3:122243575-122243587(+) AGGAGGAAGCAA >mm10_chr3:122250831-122250842(-)::chr3:122250830-122250842(-) aagaggaagaag >mm10_chr3:122250837-122250848(-)::chr3:122250836-122250848(-) aggaggaagagg >mm10_chr3:122250849-122250860(-)::chr3:122250848-122250860(-) aggaggaagaaa >mm10_chr3:122250873-122250884(-)::chr3:122250872-122250884(-) aggaggaagagg >mm10_chr3:122250885-122250896(-)::chr3:122250884-122250896(-) aagaggaagagg >mm10_chr3:122250891-122250902(-)::chr3:122250890-122250902(-) aggaggaagagg >mm10_chr3:122250909-122250920(-)::chr3:122250908-122250920(-) aagaggaagagg >mm10_chr3:122250915-122250926(-)::chr3:122250914-122250926(-) aagaggaagagg >mm10_chr3:122274339-122274350(-)::chr3:122274338-122274350(-) AACAGGAACTCG >mm10_chr3:122290868-122290879(-)::chr3:122290867-122290879(-) ATGAGGAAGTCA >mm10_chr3:122301605-122301616(+)::chr3:122301604-122301616(+) GGCAGGAAGGAG >mm10_chr3:122340460-122340471(+)::chr3:122340459-122340471(+) TTTAGGAAGTTA >mm10_chr3:122350505-122350516(-)::chr3:122350504-122350516(-) ggaaggaagaaa >mm10_chr3:122394092-122394103(-)::chr3:122394091-122394103(-) ATCAGGAAGTTA >mm10_chr3:122419780-122419791(+)::chr3:122419779-122419791(+) GTAAGGAAGAGG >mm10_chr3:122430878-122430889(+)::chr3:122430877-122430889(+) AAAAGGAAGTTG >mm10_chr3:122462754-122462765(+)::chr3:122462753-122462765(+) TGACGGAAGGGA >mm10_chr3:122474359-122474370(+)::chr3:122474358-122474370(+) GACAGGAAGTTC >mm10_chr3:122481946-122481957(+)::chr3:122481945-122481957(+) CCCAGGAAGTAT >mm10_chr3:122489522-122489533(+)::chr3:122489521-122489533(+) GAAAGGAAGAGG >mm10_chr3:122504644-122504655(+)::chr3:122504643-122504655(+) ACAAGGAAGCTC >mm10_chr3:122515717-122515728(-)::chr3:122515716-122515728(-) TGAAGGATGTCA >mm10_chr3:122521060-122521071(-)::chr3:122521059-122521071(-) ATGAGGAAGTGA >mm10_chr3:122521376-122521387(-)::chr3:122521375-122521387(-) ACAAGGAAATGA >mm10_chr3:122545530-122545541(-)::chr3:122545529-122545541(-) GGAAGGAAGAGA >mm10_chr3:122545534-122545545(-)::chr3:122545533-122545545(-) TCCAGGAAGGAA >mm10_chr3:122545605-122545616(-)::chr3:122545604-122545616(-) TGAAGGAAGTGG >mm10_chr3:122566278-122566289(+)::chr3:122566277-122566289(+) AAAAGGAAGCAG >mm10_chr3:122620868-122620879(-)::chr3:122620867-122620879(-) AACAGGAAGGCT >mm10_chr3:122624914-122624925(+)::chr3:122624913-122624925(+) ACCAGGAAGAAG >mm10_chr3:122629670-122629681(+)::chr3:122629669-122629681(+) AGCAGGAAGGGC >mm10_chr3:122629708-122629719(-)::chr3:122629707-122629719(-) GCCAGGAAGTTC >mm10_chr3:122834712-122834723(+)::chr3:122834711-122834723(+) AGCAGGAAGTCT >mm10_chr3:122834792-122834803(-)::chr3:122834791-122834803(-) AAGAGGAAGATG >mm10_chr3:122915244-122915255(+)::chr3:122915243-122915255(+) TTCAGGAAGTAA >mm10_chr3:122944472-122944483(-)::chr3:122944471-122944483(-) ATAAGGAAGAGC >mm10_chr3:122963715-122963726(-)::chr3:122963714-122963726(-) cccaggaagtat >mm10_chr3:122963739-122963750(-)::chr3:122963738-122963750(-) aggaggaaatat >mm10_chr3:122983909-122983920(-)::chr3:122983908-122983920(-) AACAGGAAGTGC >mm10_chr3:122993139-122993150(+)::chr3:122993138-122993150(+) CAAAGGAAGTTG >mm10_chr3:123019919-123019930(+)::chr3:123019918-123019930(+) ACCCGGAAGTCT >mm10_chr3:123035843-123035854(+)::chr3:123035842-123035854(+) GGAAGGAAGGAC >mm10_chr3:123035862-123035873(+)::chr3:123035861-123035873(+) TCAAGGAATTAT >mm10_chr3:123042990-123043001(+)::chr3:123042989-123043001(+) GAAGGGAAGTGG >mm10_chr3:123053404-123053415(+)::chr3:123053403-123053415(+) GTAAGGAAGTTT >mm10_chr3:123086042-123086053(-)::chr3:123086041-123086053(-) ACCAGGAAGTTT >mm10_chr3:123086625-123086636(-)::chr3:123086624-123086636(-) GGAAGGAAATTA >mm10_chr3:123086662-123086673(-)::chr3:123086661-123086673(-) AGGAGGAAGTCC >mm10_chr3:123121081-123121092(+)::chr3:123121080-123121092(+) TCAAGGAAGAAA >mm10_chr3:123138854-123138865(+)::chr3:123138853-123138865(+) AATAGGAAGCTA >mm10_chr3:123171668-123171679(-)::chr3:123171667-123171679(-) AGAAGGAAGCAG >mm10_chr3:123265767-123265778(+)::chr3:123265766-123265778(+) aggaggaagaag >mm10_chr3:123267110-123267121(-)::chr3:123267109-123267121(-) GGAAGGAAGGCC >mm10_chr3:123267114-123267125(-)::chr3:123267113-123267125(-) AGAAGGAAGGAA >mm10_chr3:123267912-123267923(+)::chr3:123267911-123267923(+) GTGAGGAAGTTT >mm10_chr3:123267940-123267951(+)::chr3:123267939-123267951(+) GTGAGGAAGCGA >mm10_chr3:123274623-123274634(+)::chr3:123274622-123274634(+) AAGAGGAAGATG >mm10_chr3:123274635-123274646(+)::chr3:123274634-123274646(+) AGAAGGAAGTCA >mm10_chr3:123332567-123332578(+)::chr3:123332566-123332578(+) ACCAGGAAGTGT >mm10_chr3:123335060-123335071(+)::chr3:123335059-123335071(+) AGGAGGAAGTAG >mm10_chr3:123385956-123385967(+)::chr3:123385955-123385967(+) AGGAGGAAGTTT >mm10_chr3:123414096-123414107(+)::chr3:123414095-123414107(+) TGAAGGAAGCTG >mm10_chr3:123701393-123701404(-)::chr3:123701392-123701404(-) AAGAGGAAATGT >mm10_chr3:124329968-124329979(-)::chr3:124329967-124329979(-) GAAAGGAAATTC >mm10_chr3:124403635-124403646(-)::chr3:124403634-124403646(-) agcaggaagctg >mm10_chr3:124586220-124586231(-)::chr3:124586219-124586231(-) TAAAGGAAGTTA >mm10_chr3:125251299-125251310(-)::chr3:125251298-125251310(-) tcaaggaagcta >mm10_chr3:125251355-125251366(-)::chr3:125251354-125251366(-) atcaggaagtgc >mm10_chr3:125251370-125251381(+)::chr3:125251369-125251381(+) tgtaggaagtct >mm10_chr3:125601597-125601608(+)::chr3:125601596-125601608(+) ACAAGGAAAAAT >mm10_chr3:125780453-125780464(+)::chr3:125780452-125780464(+) TTAAGGAAGTGT >mm10_chr3:125780468-125780479(-)::chr3:125780467-125780479(-) TTAAGGAACTGA >mm10_chr3:125997478-125997489(+)::chr3:125997477-125997489(+) aacaggaagggg >mm10_chr3:125997488-125997499(+)::chr3:125997487-125997499(+) ggaaggaagcca >mm10_chr3:125997536-125997547(-)::chr3:125997535-125997547(-) acccggaagtgg >mm10_chr3:125998759-125998770(-)::chr3:125998758-125998770(-) agaaggaagcct >mm10_chr3:125998795-125998806(+)::chr3:125998794-125998806(+) tgaatgaagtat >mm10_chr3:126355398-126355409(+)::chr3:126355397-126355409(+) CTAAGGAAGTAA >mm10_chr3:126385089-126385100(+)::chr3:126385088-126385100(+) ACCAGGAAGTTA >mm10_chr3:126385114-126385125(-)::chr3:126385113-126385125(-) TGAGGGAAGTAT >mm10_chr3:126437385-126437396(+)::chr3:126437384-126437396(+) TGGAGGAAGGGG >mm10_chr3:126437433-126437444(-)::chr3:126437432-126437444(-) CACAGGAAGTAA >mm10_chr3:126463297-126463308(-)::chr3:126463296-126463308(-) TTGAGGAAGCGT >mm10_chr3:126500402-126500413(+)::chr3:126500401-126500413(+) AAGAGGAAGTAG >mm10_chr3:126515981-126515992(-)::chr3:126515980-126515992(-) AGGAGGAAGAGA >mm10_chr3:126536230-126536241(+)::chr3:126536229-126536241(+) AGCAGGATGTAT >mm10_chr3:126536269-126536280(+)::chr3:126536268-126536280(+) CAAAGGAAGGTA >mm10_chr3:126561209-126561220(+)::chr3:126561208-126561220(+) TGTAGGAAGTAG >mm10_chr3:126564119-126564130(+)::chr3:126564118-126564130(+) GAGAGGAAATAA >mm10_chr3:126599628-126599639(+)::chr3:126599627-126599639(+) AGTAGGAAGAGA >mm10_chr3:126599652-126599663(+)::chr3:126599651-126599663(+) TCTAGGAAGTTA >mm10_chr3:126612789-126612800(-)::chr3:126612788-126612800(-) aagaggaagagg >mm10_chr3:126612795-126612806(-)::chr3:126612794-126612806(-) aagaggaagagg >mm10_chr3:126612801-126612812(-)::chr3:126612800-126612812(-) aggaggaagagg >mm10_chr3:126650606-126650617(-)::chr3:126650605-126650617(-) AAGAGGAAGCAG >mm10_chr3:126693678-126693689(+)::chr3:126693677-126693689(+) tggaggaaggcg >mm10_chr3:126702710-126702721(-)::chr3:126702709-126702721(-) AGAAGGAAGCAG >mm10_chr3:126702752-126702763(-)::chr3:126702751-126702763(-) AGAAGGAAAAGA >mm10_chr3:126730754-126730765(-)::chr3:126730753-126730765(-) AGCAGGAAGCTG >mm10_chr3:126730761-126730772(-)::chr3:126730760-126730772(-) AGGAGGAAGCAG >mm10_chr3:126792852-126792863(-)::chr3:126792851-126792863(-) CCCAGGAAGttc >mm10_chr3:126794219-126794230(+)::chr3:126794218-126794230(+) TTAAGGAAGCAA >mm10_chr3:126822497-126822508(+)::chr3:126822496-126822508(+) AAGAGGAAGGAA >mm10_chr3:126822501-126822512(+)::chr3:126822500-126822512(+) GGAAGGAAGCTT >mm10_chr3:126829952-126829963(+)::chr3:126829951-126829963(+) GGAAGGAAGACC >mm10_chr3:126829970-126829981(+)::chr3:126829969-126829981(+) ACCAGGAAGTGA >mm10_chr3:126924023-126924034(-)::chr3:126924022-126924034(-) AACAGGAAGTTC >mm10_chr3:127040353-127040364(-)::chr3:127040352-127040364(-) acagggaagtag >mm10_chr3:127040395-127040406(-)::chr3:127040394-127040406(-) ggaagtaagtta >mm10_chr3:127040399-127040410(-)::chr3:127040398-127040410(-) ataaggaagtaa >mm10_chr3:127227925-127227936(+)::chr3:127227924-127227936(+) AGGAGGAAGAGG >mm10_chr3:127227931-127227942(+)::chr3:127227930-127227942(+) AAGAGGAAGAGG >mm10_chr3:127227937-127227948(+)::chr3:127227936-127227948(+) AAGAGGAAGAGA >mm10_chr3:127257906-127257917(+)::chr3:127257905-127257917(+) AGAAGGAAGAGC >mm10_chr3:127283795-127283806(-)::chr3:127283794-127283806(-) ACAAGGAAAGGA >mm10_chr3:127283832-127283843(-)::chr3:127283831-127283843(-) ACTAGGAAGAGG >mm10_chr3:127300077-127300088(-)::chr3:127300076-127300088(-) GGAAGGAACTAG >mm10_chr3:127300081-127300092(-)::chr3:127300080-127300092(-) ACAAGGAAGGAA >mm10_chr3:127324260-127324271(+)::chr3:127324259-127324271(+) ACAAGGAAGACG >mm10_chr3:127324660-127324671(-)::chr3:127324659-127324671(-) TCCAGGAAGTGT >mm10_chr3:127367819-127367830(+)::chr3:127367818-127367830(+) TCAAGGAAGTAA >mm10_chr3:127446473-127446484(-)::chr3:127446472-127446484(-) GACAGGAAGAAA >mm10_chr3:127458483-127458494(-)::chr3:127458482-127458494(-) AACAGGAAGGAG >mm10_chr3:127501240-127501251(-)::chr3:127501239-127501251(-) ccaaggaagagg >mm10_chr3:127553228-127553239(-)::chr3:127553227-127553239(-) AGAAGGAAAGCG >mm10_chr3:127571923-127571934(-)::chr3:127571922-127571934(-) AAACGGAAGTGC >mm10_chr3:127571982-127571993(-)::chr3:127571981-127571993(-) GGAAGTAAGTTG >mm10_chr3:127571986-127571997(-)::chr3:127571985-127571997(-) CACAGGAAGTAA >mm10_chr3:127579585-127579596(+)::chr3:127579584-127579596(+) gaagggaagtga >mm10_chr3:127763257-127763268(-)::chr3:127763256-127763268(-) AACAGGAAGACC >mm10_chr3:127780287-127780298(-)::chr3:127780286-127780298(-) GCAAGGAAGGAC >mm10_chr3:127842075-127842086(-)::chr3:127842074-127842086(-) AGAGGGAAGCGA >mm10_chr3:127853626-127853637(+)::chr3:127853625-127853637(+) ACGAGGAACGGC >mm10_chr3:127854360-127854371(-)::chr3:127854359-127854371(-) aagaggaagagA >mm10_chr3:127854366-127854377(-)::chr3:127854365-127854377(-) aagaggaagagg >mm10_chr3:127854372-127854383(-)::chr3:127854371-127854383(-) acgaggaagagg >mm10_chr3:127889489-127889500(-)::chr3:127889488-127889500(-) AAGAGGAAATGA >mm10_chr3:127926804-127926815(+)::chr3:127926803-127926815(+) ACCAGGAAGTCA >mm10_chr3:127981998-127982009(+)::chr3:127981997-127982009(+) AGGAGGATGTAC >mm10_chr3:128126891-128126902(+)::chr3:128126890-128126902(+) ATGAGGAAGTTT >mm10_chr3:128186468-128186479(+)::chr3:128186467-128186479(+) GTAAGGAAGTGT >mm10_chr3:128190316-128190327(-)::chr3:128190315-128190327(-) AGAAGGAAATAA >mm10_chr3:128190359-128190370(-)::chr3:128190358-128190370(-) AGAAGGAAGCAG >mm10_chr3:128345599-128345610(+)::chr3:128345598-128345610(+) TAGAGGAAGTGT >mm10_chr3:128345646-128345657(-)::chr3:128345645-128345657(-) AGTAGGAAGTGG >mm10_chr3:128345659-128345670(+)::chr3:128345658-128345670(+) AAGAGGAAATAA >mm10_chr3:128987263-128987274(-)::chr3:128987262-128987274(-) GGGAGGAAGTCG >mm10_chr3:128987271-128987282(-)::chr3:128987270-128987282(-) TGGAGGAAGGGA >mm10_chr3:128987285-128987296(-)::chr3:128987284-128987296(-) AGAAGGAAGTCA >mm10_chr3:128987332-128987343(-)::chr3:128987331-128987343(-) GTGAGGAAGAAC >mm10_chr3:129069813-129069824(+)::chr3:129069812-129069824(+) AGAAGGAAGCCG >mm10_chr3:129069844-129069855(+)::chr3:129069843-129069855(+) AGAAGGAAGGAG >mm10_chr3:129069848-129069859(+)::chr3:129069847-129069859(+) GGAAGGAGGTAA >mm10_chr3:129183078-129183089(-)::chr3:129183077-129183089(-) AGAAGGAAAGGA >mm10_chr3:129273376-129273387(-)::chr3:129273375-129273387(-) TGAAGGAAGGGC >mm10_chr3:129273397-129273408(-)::chr3:129273396-129273408(-) CTAAGGAAGTTC >mm10_chr3:129294249-129294260(-)::chr3:129294248-129294260(-) CGAAGGAAGAAG >mm10_chr3:129338389-129338400(-)::chr3:129338388-129338400(-) AAGAGGAAGAAG >mm10_chr3:129362087-129362098(-)::chr3:129362086-129362098(-) AACAGGAAGTGT >mm10_chr3:129362110-129362121(-)::chr3:129362109-129362121(-) AATAGGAAGTGT >mm10_chr3:129362130-129362141(-)::chr3:129362129-129362141(-) ATCAGGAAGTGT >mm10_chr3:129446925-129446936(-)::chr3:129446924-129446936(-) ggagggaagtaa >mm10_chr3:129509952-129509963(+)::chr3:129509951-129509963(+) ACAAGGAAAGAA >mm10_chr3:129534389-129534400(-)::chr3:129534388-129534400(-) GGCAGGAAGGCA >mm10_chr3:129534397-129534408(-)::chr3:129534396-129534408(-) AGGAGGAAGGCA >mm10_chr3:129566128-129566139(+)::chr3:129566127-129566139(+) AGAAGGAAGGCA >mm10_chr3:129612530-129612541(+)::chr3:129612529-129612541(+) ACCaggaaggaa >mm10_chr3:129614491-129614502(-)::chr3:129614490-129614502(-) ACCAGGAAGCTG >mm10_chr3:129626674-129626685(+)::chr3:129626673-129626685(+) ATCAGGAAGTCA >mm10_chr3:129626689-129626700(-)::chr3:129626688-129626700(-) TTAAGGAATTAA >mm10_chr3:129777834-129777845(+)::chr3:129777833-129777845(+) AGGAGGAAGGAG >mm10_chr3:129777894-129777905(+)::chr3:129777893-129777905(+) TTAAGGAAGCTA >mm10_chr3:129778958-129778969(+)::chr3:129778957-129778969(+) acaaggaagagg >mm10_chr3:129778997-129779008(+)::chr3:129778996-129779008(+) ccaaggaagaag >mm10_chr3:129820957-129820968(+)::chr3:129820956-129820968(+) TGCAGGAAGAGC >mm10_chr3:129820975-129820986(-)::chr3:129820974-129820986(-) TGAAGGAAGTGG >mm10_chr3:129831165-129831176(-)::chr3:129831164-129831176(-) CGCCGGAAGTGT >mm10_chr3:129831478-129831489(-)::chr3:129831477-129831489(-) GCACGGAAGGAC >mm10_chr3:129835643-129835654(+)::chr3:129835642-129835654(+) tgaagggagtat >mm10_chr3:129844529-129844540(+)::chr3:129844528-129844540(+) ATAAGGAAGTTC >mm10_chr3:129861307-129861318(-)::chr3:129861306-129861318(-) AGGAGGAAGTCG >mm10_chr3:129876333-129876344(-)::chr3:129876332-129876344(-) AAAAGGAAGAAA >mm10_chr3:129876389-129876400(+)::chr3:129876388-129876400(+) AGAAGGAAGAAG >mm10_chr3:129903895-129903906(-)::chr3:129903894-129903906(-) TCCAGGAAGGGA >mm10_chr3:129943988-129943999(+)::chr3:129943987-129943999(+) AAGAGGAAATGA >mm10_chr3:129948643-129948654(-)::chr3:129948642-129948654(-) AAGAGGAAGAGA >mm10_chr3:130024812-130024823(+)::chr3:130024811-130024823(+) aggaggaagctg >mm10_chr3:130024829-130024840(+)::chr3:130024828-130024840(+) gggaggaagaag >mm10_chr3:130055082-130055093(+)::chr3:130055081-130055093(+) AGAAGGAAGTAT >mm10_chr3:130055148-130055159(+)::chr3:130055147-130055159(+) taaaggaaatgc >mm10_chr3:130060719-130060730(+)::chr3:130060718-130060730(+) GGAAGGAAGGCG >mm10_chr3:130060737-130060748(+)::chr3:130060736-130060748(+) CGGAGGAAGAGG >mm10_chr3:130060743-130060754(+)::chr3:130060742-130060754(+) AAGAGGAAGAGG >mm10_chr3:130060749-130060760(+)::chr3:130060748-130060760(+) AAGAGGAAGGAA >mm10_chr3:130060753-130060764(+)::chr3:130060752-130060764(+) GGAAGGAACGCG >mm10_chr3:130137767-130137778(+)::chr3:130137766-130137778(+) TTCAGGAAGTGT >mm10_chr3:130137783-130137794(-)::chr3:130137782-130137794(-) AGAAGGAAGGGG >mm10_chr3:130137790-130137801(-)::chr3:130137789-130137801(-) ATCAGGAAGAAG >mm10_chr3:130145168-130145179(+)::chr3:130145167-130145179(+) TCCAGGAAGGAA >mm10_chr3:130145190-130145201(+)::chr3:130145189-130145201(+) TGGAGGAAGTAG >mm10_chr3:130152513-130152524(-)::chr3:130152512-130152524(-) gagaggaaatag >mm10_chr3:130152530-130152541(+)::chr3:130152529-130152541(+) GGGAGGAAGAAC >mm10_chr3:130152564-130152575(-)::chr3:130152563-130152575(-) GGAAGAAAGTGA >mm10_chr3:130155775-130155786(+)::chr3:130155774-130155786(+) aggaggaagggg >mm10_chr3:130155800-130155811(+)::chr3:130155799-130155811(+) gagaggaagagg >mm10_chr3:130155806-130155817(+)::chr3:130155805-130155817(+) aagaggaagaag >mm10_chr3:130201513-130201524(+)::chr3:130201512-130201524(+) TTCAGGAAGTGA >mm10_chr3:130268516-130268527(+)::chr3:130268515-130268527(+) GGAAGGGAGTAA >mm10_chr3:130268541-130268552(-)::chr3:130268540-130268552(-) AAGAGGAAATGT >mm10_chr3:130284680-130284691(+)::chr3:130284679-130284691(+) AATAGGAAGTCT >mm10_chr3:130380464-130380475(-)::chr3:130380463-130380475(-) gtaaggaagtct >mm10_chr3:130380489-130380500(+)::chr3:130380488-130380500(+) ataaggaagtaa >mm10_chr3:130400933-130400944(-)::chr3:130400932-130400944(-) AGGAGGAAGTGG >mm10_chr3:130429447-130429458(+)::chr3:130429446-130429458(+) gagaggaagagg >mm10_chr3:130429453-130429464(+)::chr3:130429452-130429464(+) aagaggaagagg >mm10_chr3:130429459-130429470(+)::chr3:130429458-130429470(+) aagaggaagagg >mm10_chr3:130429465-130429476(+)::chr3:130429464-130429476(+) aagaggaagagg >mm10_chr3:130671444-130671455(+)::chr3:130671443-130671455(+) CGGAGGAAGTAC >mm10_chr3:130707826-130707837(-)::chr3:130707825-130707837(-) AGAAGGTAGTGG >mm10_chr3:130730343-130730354(+)::chr3:130730342-130730354(+) AAAAGGAAGGGG >mm10_chr3:130761265-130761276(-)::chr3:130761264-130761276(-) AAGAGGAAGCGA >mm10_chr3:130800295-130800306(-)::chr3:130800294-130800306(-) TCAAGGAAGTTG >mm10_chr3:130800316-130800327(+)::chr3:130800315-130800327(+) AAAAGGAACTAC >mm10_chr3:130892191-130892202(+)::chr3:130892190-130892202(+) AGAAGGAAACGT >mm10_chr3:130900240-130900251(-)::chr3:130900239-130900251(-) AAGAGGAAGCAG >mm10_chr3:130903307-130903318(-)::chr3:130903306-130903318(-) ACAAGGAAGCTA >mm10_chr3:130925167-130925178(-)::chr3:130925166-130925178(-) GACAGGAAGGTC >mm10_chr3:130925828-130925839(+)::chr3:130925827-130925839(+) aagaggaagagg >mm10_chr3:130925834-130925845(+)::chr3:130925833-130925845(+) aagaggaagaag >mm10_chr3:130925858-130925869(+)::chr3:130925857-130925869(+) aggaggaagggg >mm10_chr3:130925868-130925879(+)::chr3:130925867-130925879(+) ggaaggaagaag >mm10_chr3:130940924-130940935(-)::chr3:130940923-130940935(-) AGAAGGAAGGGG >mm10_chr3:130945419-130945430(-)::chr3:130945418-130945430(-) AAGAGGAAATAT >mm10_chr3:130945447-130945458(-)::chr3:130945446-130945458(-) GGAAGGAAGTGT >mm10_chr3:130945484-130945495(-)::chr3:130945483-130945495(-) ACCAGGAAGTAA >mm10_chr3:130956260-130956271(+)::chr3:130956259-130956271(+) CCAAGGAAGTCT >mm10_chr3:131046538-131046549(-)::chr3:131046537-131046549(-) ATCAGGAAGTGG >mm10_chr3:131106184-131106195(-)::chr3:131106183-131106195(-) ACACGGAAGAAC >mm10_chr3:131208673-131208684(-)::chr3:131208672-131208684(-) CACAGGAAGTGA >mm10_chr3:131253678-131253689(-)::chr3:131253677-131253689(-) ggcaggaagggg >mm10_chr3:131269559-131269570(-)::chr3:131269558-131269570(-) GGTAGGAAATAT >mm10_chr3:131300137-131300148(-)::chr3:131300136-131300148(-) tcacggaagtgt >mm10_chr3:131306237-131306248(+)::chr3:131306236-131306248(+) TACAGGAAGAGA >mm10_chr3:131306266-131306277(+)::chr3:131306265-131306277(+) GTAAGGAAGGAA >mm10_chr3:131306270-131306281(+)::chr3:131306269-131306281(+) GGAAGGAAGAAA >mm10_chr3:131342415-131342426(+)::chr3:131342414-131342426(+) ACAAGGAAGCTG >mm10_chr3:131364346-131364357(-)::chr3:131364345-131364357(-) ATCAGGAAGTGC >mm10_chr3:131502171-131502182(-)::chr3:131502170-131502182(-) ACTAGGAAGTTG >mm10_chr3:131522441-131522452(-)::chr3:131522440-131522452(-) GGAAGGAAATGA >mm10_chr3:131522445-131522456(-)::chr3:131522444-131522456(-) TGGAGGAAGGAA >mm10_chr3:131582011-131582022(-)::chr3:131582010-131582022(-) AAAAGGAAGGCG >mm10_chr3:131582022-131582033(-)::chr3:131582021-131582033(-) ACAAGGAAAGGA >mm10_chr3:131613073-131613084(+)::chr3:131613072-131613084(+) GGCAGGAAGTTC >mm10_chr3:131613103-131613114(+)::chr3:131613102-131613114(+) AGCGGGAAGCGA >mm10_chr3:131645142-131645153(+)::chr3:131645141-131645153(+) agaaggaagagg >mm10_chr3:131654634-131654645(-)::chr3:131654633-131654645(-) GAAAGGAAGCGA >mm10_chr3:131662761-131662772(+)::chr3:131662760-131662772(+) AAGAGGAAGTGT >mm10_chr3:131691882-131691893(-)::chr3:131691881-131691893(-) ACAGGGAAGTAG >mm10_chr3:131775667-131775678(-)::chr3:131775666-131775678(-) CCCAGGAAGTGT >mm10_chr3:131776934-131776945(+)::chr3:131776933-131776945(+) CAAAGGAAATAA >mm10_chr3:131794770-131794781(+)::chr3:131794769-131794781(+) ATCAGGAAGTTT >mm10_chr3:131794802-131794813(-)::chr3:131794801-131794813(-) TGGAGGAAATGA >mm10_chr3:132037457-132037468(+)::chr3:132037456-132037468(+) AACAGGAAGGGA >mm10_chr3:132110563-132110574(+)::chr3:132110562-132110574(+) TGAAGGCAGTCG >mm10_chr3:132141212-132141223(+)::chr3:132141211-132141223(+) AAAAGGAAGAAG >mm10_chr3:132141219-132141230(+)::chr3:132141218-132141230(+) AGAAGGAAACAT >mm10_chr3:132141258-132141269(-)::chr3:132141257-132141269(-) GGAATGAAGTGC >mm10_chr3:132141271-132141282(+)::chr3:132141270-132141282(+) GAAAGGAACTGG >mm10_chr3:132141282-132141293(-)::chr3:132141281-132141293(-) CTAAGGAAGTCC >mm10_chr3:132154226-132154237(+)::chr3:132154225-132154237(+) AAGAGGAAATGG >mm10_chr3:132154245-132154256(+)::chr3:132154244-132154256(+) TAAAGGAAATCT >mm10_chr3:132155079-132155090(+)::chr3:132155078-132155090(+) AGAAGGAAATCT >mm10_chr3:132155098-132155109(+)::chr3:132155097-132155109(+) CAAAGGAAGAAA >mm10_chr3:132684025-132684036(-)::chr3:132684024-132684036(-) AGAAAGAAGTGA >mm10_chr3:132746823-132746834(-)::chr3:132746822-132746834(-) AGCAGGAAGTGT >mm10_chr3:132746853-132746864(+)::chr3:132746852-132746864(+) ACAAGGAAGTTC >mm10_chr3:132781694-132781705(-)::chr3:132781693-132781705(-) AGCAGGAACTAA >mm10_chr3:132853744-132853755(-)::chr3:132853743-132853755(-) accaggaagaga >mm10_chr3:132871651-132871662(+)::chr3:132871650-132871662(+) AGCAGGAAGAAC >mm10_chr3:132946216-132946227(-)::chr3:132946215-132946227(-) TGCAGGAAGATG >mm10_chr3:132946273-132946284(-)::chr3:132946272-132946284(-) ACACGGAAGGAC >mm10_chr3:132947581-132947592(+)::chr3:132947580-132947592(+) GGAAGGAACTGA >mm10_chr3:133091836-133091847(-)::chr3:133091835-133091847(-) AGCAGGAAGTGA >mm10_chr3:133131581-133131592(-)::chr3:133131580-133131592(-) GGTAGGAAGTTA >mm10_chr3:133165424-133165435(+)::chr3:133165423-133165435(+) TTAAGGAAGCTA >mm10_chr3:133167880-133167891(+)::chr3:133167879-133167891(+) ACAAGGAAGTAG >mm10_chr3:133189253-133189264(+)::chr3:133189252-133189264(+) AGGAGGAAGCAT >mm10_chr3:133215277-133215288(+)::chr3:133215276-133215288(+) GACAGGAAGTAC >mm10_chr3:133234273-133234284(+)::chr3:133234272-133234284(+) ACAAggaaggca >mm10_chr3:133310645-133310656(+)::chr3:133310644-133310656(+) GGGAGGAAGTGG >mm10_chr3:133347766-133347777(-)::chr3:133347765-133347777(-) AGAAGGAAGCTA >mm10_chr3:133348510-133348521(-)::chr3:133348509-133348521(-) gaaaggaagagg >mm10_chr3:133348524-133348535(-)::chr3:133348523-133348535(-) TGAAggaaggga >mm10_chr3:133348550-133348561(-)::chr3:133348549-133348561(-) AGGAGGAAGCAG >mm10_chr3:133394629-133394640(+)::chr3:133394628-133394640(+) tggaggaagtgt >mm10_chr3:133394679-133394690(-)::chr3:133394678-133394690(-) aacaggaagtgg >mm10_chr3:133418564-133418575(-)::chr3:133418563-133418575(-) GAAAGGAAGTTG >mm10_chr3:133516268-133516279(+)::chr3:133516267-133516279(+) GGACGGAAGAGC >mm10_chr3:133518300-133518311(+)::chr3:133518299-133518311(+) AGCAGGAAGGTC >mm10_chr3:133595132-133595143(+)::chr3:133595131-133595143(+) ccaaggaagtga >mm10_chr3:133752056-133752067(-)::chr3:133752055-133752067(-) AGAAGGAAGACC >mm10_chr3:133755171-133755182(-)::chr3:133755170-133755182(-) CTGAGGAAGTGG >mm10_chr3:133758009-133758020(+)::chr3:133758008-133758020(+) ATCAGGAAGTTG >mm10_chr3:134196384-134196395(-)::chr3:134196383-134196395(-) GGAAGGAAGCCA >mm10_chr3:134196388-134196399(-)::chr3:134196387-134196399(-) AGGAGGAAGGAA >mm10_chr3:134223299-134223310(-)::chr3:134223298-134223310(-) AGAAGGAAGTGG >mm10_chr3:134223313-134223324(+)::chr3:134223312-134223324(+) TTAAGGAAGCTG >mm10_chr3:134345134-134345145(+)::chr3:134345133-134345145(+) GGCAGGAAGGAA >mm10_chr3:134345138-134345149(+)::chr3:134345137-134345149(+) GGAAGGAAATGA >mm10_chr3:134383330-134383341(-)::chr3:134383329-134383341(-) agagggaaataa >mm10_chr3:134383337-134383348(-)::chr3:134383336-134383348(-) acaaggaagagg >mm10_chr3:134393122-134393133(-)::chr3:134393121-134393133(-) TCAAGGAAATCT >mm10_chr3:134393195-134393206(+)::chr3:134393194-134393206(+) ATAAGGAACTTG >mm10_chr3:134464801-134464812(+)::chr3:134464800-134464812(+) atcaggaagtct >mm10_chr3:134611142-134611153(+)::chr3:134611141-134611153(+) TAGAGGAAGAAG >mm10_chr3:134761987-134761998(+)::chr3:134761986-134761998(+) agcaggaagaga >mm10_chr3:134828613-134828624(+)::chr3:134828612-134828624(+) ACACGGAAGTAT >mm10_chr3:134847278-134847289(-)::chr3:134847277-134847289(-) GGGAGGATGTAT >mm10_chr3:135332895-135332906(+)::chr3:135332894-135332906(+) aagaggaaggga >mm10_chr3:135332918-135332929(+)::chr3:135332917-135332929(+) aaaaggaattag >mm10_chr3:135348270-135348281(-)::chr3:135348269-135348281(-) ACCAGGAAGAGA >mm10_chr3:135438119-135438130(-)::chr3:135438118-135438130(-) GGGAGGAAATGG >mm10_chr3:135438418-135438429(-)::chr3:135438417-135438429(-) AGGAGGAAGGTA >mm10_chr3:135439398-135439409(-)::chr3:135439397-135439409(-) gagaggaagagg >mm10_chr3:135439422-135439433(-)::chr3:135439421-135439433(-) GAAAGGAAGACG >mm10_chr3:135439439-135439450(+)::chr3:135439438-135439450(+) GCCGGGAAGTTA >mm10_chr3:135462475-135462486(+)::chr3:135462474-135462486(+) TCCAGGAAATAA >mm10_chr3:135521978-135521989(-)::chr3:135521977-135521989(-) ggaaggaaatag >mm10_chr3:135521988-135521999(-)::chr3:135521987-135521999(-) ggaaggaagggg >mm10_chr3:135521992-135522003(-)::chr3:135521991-135522003(-) agaaggaaggaa >mm10_chr3:135522028-135522039(-)::chr3:135522027-135522039(-) gaaaggaaggag >mm10_chr3:135540014-135540025(+)::chr3:135540013-135540025(+) ataaggatgtat >mm10_chr3:135552378-135552389(-)::chr3:135552377-135552389(-) aagaggaagaag >mm10_chr3:135552384-135552395(-)::chr3:135552383-135552395(-) aagaggaagagg >mm10_chr3:135579260-135579271(+)::chr3:135579259-135579271(+) gaaaggaaacgg >mm10_chr3:135579293-135579304(+)::chr3:135579292-135579304(+) agaaggaaggag >mm10_chr3:135593152-135593163(+)::chr3:135593151-135593163(+) TACAGGAAGGAC >mm10_chr3:135651323-135651334(+)::chr3:135651322-135651334(+) TCAAGGAAGACA >mm10_chr3:135658884-135658895(+)::chr3:135658883-135658895(+) CACAGGAAGTTA >mm10_chr3:135676511-135676522(+)::chr3:135676510-135676522(+) cgtaggaagagg >mm10_chr3:135681536-135681547(+)::chr3:135681535-135681547(+) AGGAGGAAGGAA >mm10_chr3:135688422-135688433(-)::chr3:135688421-135688433(-) ATAAGGTAGTGT >mm10_chr3:135691494-135691505(-)::chr3:135691493-135691505(-) GCCAGGAAGTGA >mm10_chr3:135699674-135699685(-)::chr3:135699673-135699685(-) GGAAGGAAGTGC >mm10_chr3:135699678-135699689(-)::chr3:135699677-135699689(-) AGCAGGAAGGAA >mm10_chr3:135733286-135733297(-)::chr3:135733285-135733297(-) CTCAGGAAGTAT >mm10_chr3:135750865-135750876(+)::chr3:135750864-135750876(+) CTAAGGAAGGTA >mm10_chr3:135824621-135824632(-)::chr3:135824620-135824632(-) AGGAGGAAGTTT >mm10_chr3:135842294-135842305(+)::chr3:135842293-135842305(+) GAGAGGAAGTAG >mm10_chr3:135851727-135851738(+)::chr3:135851726-135851738(+) AACAGGAAGTAG >mm10_chr3:135851734-135851745(+)::chr3:135851733-135851745(+) AGTAGGAAGCAG >mm10_chr3:135851741-135851752(+)::chr3:135851740-135851752(+) AGCAGGAAGTGA >mm10_chr3:135876648-135876659(-)::chr3:135876647-135876659(-) aggaggaagaca >mm10_chr3:135876673-135876684(-)::chr3:135876672-135876684(-) gtgaggaagaaa >mm10_chr3:136078437-136078448(-)::chr3:136078436-136078448(-) GCCAGGAAGAAG >mm10_chr3:136078728-136078739(-)::chr3:136078727-136078739(-) ACCAGGAAGTTA >mm10_chr3:136113120-136113131(-)::chr3:136113119-136113131(-) ACAGGGAAGTAA >mm10_chr3:136113180-136113191(+)::chr3:136113179-136113191(+) ACAAGGAAAAAC >mm10_chr3:136251568-136251579(-)::chr3:136251567-136251579(-) GTAAGGATGTCT >mm10_chr3:136331451-136331462(-)::chr3:136331450-136331462(-) AGAAGGAAAAAT >mm10_chr3:136331490-136331501(+)::chr3:136331489-136331501(+) CCGAGGAAGTGT >mm10_chr3:136331508-136331519(+)::chr3:136331507-136331519(+) TAGAGGAAGAGG >mm10_chr3:136332959-136332970(+)::chr3:136332958-136332970(+) ATGAGGATGTAT >mm10_chr3:136422250-136422261(-)::chr3:136422249-136422261(-) gccaggaagaaa >mm10_chr3:136496591-136496602(-)::chr3:136496590-136496602(-) GCAAGGATGTGC >mm10_chr3:136609982-136609993(+)::chr3:136609981-136609993(+) AGAAGGAAGCAG >mm10_chr3:136655140-136655151(+)::chr3:136655139-136655151(+) CAAAGGAAGGAA >mm10_chr3:136655144-136655155(+)::chr3:136655143-136655155(+) GGAAGGAAGCCA >mm10_chr3:136707559-136707570(-)::chr3:136707558-136707570(-) AGCAGGAAGTAA >mm10_chr3:136716385-136716396(+)::chr3:136716384-136716396(+) TAGAGGAAGTGA >mm10_chr3:136718597-136718608(-)::chr3:136718596-136718608(-) AGCAGGAAGAAA >mm10_chr3:136725729-136725740(-)::chr3:136725728-136725740(-) ACCAGGAAGAAG >mm10_chr3:136771345-136771356(+)::chr3:136771344-136771356(+) aggaggaagagg >mm10_chr3:136771354-136771365(+)::chr3:136771353-136771365(+) aggaggaagaag >mm10_chr3:136771366-136771377(+)::chr3:136771365-136771377(+) aagaggaaggaa >mm10_chr3:136771370-136771381(+)::chr3:136771369-136771381(+) ggaaggaagagg >mm10_chr3:136985833-136985844(+)::chr3:136985832-136985844(+) GAGAGGAAGTGG >mm10_chr3:137005111-137005122(-)::chr3:137005110-137005122(-) aagaggaagaag >mm10_chr3:137005123-137005134(-)::chr3:137005122-137005134(-) aagaggaagaag >mm10_chr3:137005129-137005140(-)::chr3:137005128-137005140(-) aggaggaagagg >mm10_chr3:137016007-137016018(-)::chr3:137016006-137016018(-) AGGAGGAAGTTG >mm10_chr3:137072194-137072205(+)::chr3:137072193-137072205(+) ATCAGGAAATGT >mm10_chr3:137078102-137078113(-)::chr3:137078101-137078113(-) CTAAGGAAGCAT >mm10_chr3:137179478-137179489(-)::chr3:137179477-137179489(-) accaggaagaga >mm10_chr3:137194031-137194042(-)::chr3:137194030-137194042(-) atgaggaagttt >mm10_chr3:137216274-137216285(+)::chr3:137216273-137216285(+) aaaaggaaggac >mm10_chr3:137228477-137228488(+)::chr3:137228476-137228488(+) CTAAGGAAATGA >mm10_chr3:137330772-137330783(+)::chr3:137330771-137330783(+) AGCAGGAAATGC >mm10_chr3:137366093-137366104(-)::chr3:137366092-137366104(-) agaaggaaggca >mm10_chr3:137366161-137366172(-)::chr3:137366160-137366172(-) gtcaggaaatga >mm10_chr3:137418721-137418732(-)::chr3:137418720-137418732(-) ATAAGGAAAGAG >mm10_chr3:137468965-137468976(-)::chr3:137468964-137468976(-) GGAAGGAAGCAG >mm10_chr3:137513142-137513153(+)::chr3:137513141-137513153(+) aaaaggaagaca >mm10_chr3:137527981-137527992(+)::chr3:137527980-137527992(+) TCGAGGAAGGGT >mm10_chr3:137554671-137554682(-)::chr3:137554670-137554682(-) tccaggaagtct >mm10_chr3:137607175-137607186(-)::chr3:137607174-137607186(-) GCCAGGAAGATC >mm10_chr3:137607188-137607199(-)::chr3:137607187-137607199(-) TGCAGGAAGGAC >mm10_chr3:137607225-137607236(-)::chr3:137607224-137607236(-) GGAAGAAAGTAC >mm10_chr3:137758028-137758039(+)::chr3:137758027-137758039(+) AAAAGGAAGCAT >mm10_chr3:137827957-137827968(+)::chr3:137827956-137827968(+) TCCAGGAAGGAA >mm10_chr3:137827961-137827972(+)::chr3:137827960-137827972(+) GGAAGGAAGAAT >mm10_chr3:137833211-137833222(+)::chr3:137833210-137833222(+) AGCAGGAAGGGG >mm10_chr3:137867587-137867598(+)::chr3:137867586-137867598(+) GCGCGGAAGGCG >mm10_chr3:137955642-137955653(-)::chr3:137955641-137955653(-) atgaggaaataa >mm10_chr3:137969408-137969419(+)::chr3:137969407-137969419(+) ATCCGGAAGTTC >mm10_chr3:137969413-137969424(-)::chr3:137969412-137969424(-) AGAAGGAACTTC >mm10_chr3:137975054-137975065(+)::chr3:137975053-137975065(+) GGAAGGAAGTCA >mm10_chr3:137981631-137981642(+)::chr3:137981630-137981642(+) GTCAGGAAGTGT >mm10_chr3:137998734-137998745(+)::chr3:137998733-137998745(+) AAAAGGAAGTTC >mm10_chr3:138118569-138118580(+)::chr3:138118568-138118580(+) AAAAGGAAGCAG >mm10_chr3:138118589-138118600(+)::chr3:138118588-138118600(+) TCTAGGAAGTGA >mm10_chr3:138118605-138118616(+)::chr3:138118604-138118616(+) ATCAGGAAATGG >mm10_chr3:138160601-138160612(+)::chr3:138160600-138160612(+) agcaggaagtca >mm10_chr3:138161840-138161851(-)::chr3:138161839-138161851(-) gggaggaaggga >mm10_chr3:138185286-138185297(-)::chr3:138185285-138185297(-) ACAAGGAAGAGC >mm10_chr3:138302808-138302819(-)::chr3:138302807-138302819(-) TGTAGGAAGTCC >mm10_chr3:138304405-138304416(-)::chr3:138304404-138304416(-) AGCAGGAAGCTA >mm10_chr3:138352142-138352153(-)::chr3:138352141-138352153(-) TAAAGAAAGTTA >mm10_chr3:138439991-138440002(+)::chr3:138439990-138440002(+) aggaggaagaaa >mm10_chr3:138458097-138458108(+)::chr3:138458096-138458108(+) aggaggaagcca >mm10_chr3:138458124-138458135(-)::chr3:138458123-138458135(-) agtaggaagacg >mm10_chr3:138458131-138458142(-)::chr3:138458130-138458142(-) cccaggaagtag >mm10_chr3:138466370-138466381(+)::chr3:138466369-138466381(+) GAAAGGAAGAGG >mm10_chr3:138466376-138466387(+)::chr3:138466375-138466387(+) AAGAGGAAATAC >mm10_chr3:138466418-138466429(-)::chr3:138466417-138466429(-) ACAAGGAAGCCA >mm10_chr3:138479049-138479060(+)::chr3:138479048-138479060(+) GAGAGGAAGTTT >mm10_chr3:138481686-138481697(+)::chr3:138481685-138481697(+) AGGAGGAAGAAG >mm10_chr3:138526992-138527003(+)::chr3:138526991-138527003(+) GGCAGGAAGCGC >mm10_chr3:138549183-138549194(+)::chr3:138549182-138549194(+) AAAAGGAAGGGC >mm10_chr3:138678354-138678365(-)::chr3:138678353-138678365(-) tggaggaagatg >mm10_chr3:138818775-138818786(-)::chr3:138818774-138818786(-) agcaggaagaca >mm10_chr3:138818824-138818835(-)::chr3:138818823-138818835(-) aggaggaagtga >mm10_chr3:138877915-138877926(-)::chr3:138877914-138877926(-) agcaggaagcca >mm10_chr3:138877922-138877933(-)::chr3:138877921-138877933(-) gcaaggaagcag >mm10_chr3:138877930-138877941(-)::chr3:138877929-138877941(-) gcaaggaagcaa >mm10_chr3:138916194-138916205(+)::chr3:138916193-138916205(+) ACAGGGAAGTGT >mm10_chr3:139003768-139003779(-)::chr3:139003767-139003779(-) agcaggaagtcc >mm10_chr3:139003780-139003791(-)::chr3:139003779-139003791(-) aggaggaaggaa >mm10_chr3:139049039-139049050(+)::chr3:139049038-139049050(+) AGCAGGAAGCTC >mm10_chr3:139049096-139049107(-)::chr3:139049095-139049107(-) AGGAGGAAGGAG >mm10_chr3:139049419-139049430(-)::chr3:139049418-139049430(-) gacaggaaggca >mm10_chr3:139049432-139049443(-)::chr3:139049431-139049443(-) accaggaagtag >mm10_chr3:139073948-139073959(-)::chr3:139073947-139073959(-) TCCAGGAAGTAT >mm10_chr3:139205568-139205579(+)::chr3:139205567-139205579(+) TGCCGGAAGTGC >mm10_chr3:139205652-139205663(+)::chr3:139205651-139205663(+) AGCCGGAAGTTC >mm10_chr3:139240649-139240660(-)::chr3:139240648-139240660(-) ACCAGGAAGGGG >mm10_chr3:139240708-139240719(-)::chr3:139240707-139240719(-) AAGAGGAAGAAA >mm10_chr3:139306750-139306761(+)::chr3:139306749-139306761(+) TATAGGAAATAC >mm10_chr3:139806113-139806124(-)::chr3:139806112-139806124(-) AACGGGAAGTGG >mm10_chr3:139806139-139806150(-)::chr3:139806138-139806150(-) AACAGGAAGTGG >mm10_chr3:139931129-139931140(+)::chr3:139931128-139931140(+) agaaggaaggag >mm10_chr3:140570034-140570045(-)::chr3:140570033-140570045(-) gcaaggaaataa >mm10_chr3:140845122-140845133(-)::chr3:140845121-140845133(-) atgaggaagggg >mm10_chr3:140969925-140969936(+)::chr3:140969924-140969936(+) ataaggaagtaa >mm10_chr3:141239332-141239343(-)::chr3:141239331-141239343(-) GGAAGGAAGACC >mm10_chr3:141239336-141239347(-)::chr3:141239335-141239347(-) GAAAGGAAGGAA >mm10_chr3:141438953-141438964(+)::chr3:141438952-141438964(+) AGTGGGAAGTAA >mm10_chr3:141529778-141529789(-)::chr3:141529777-141529789(-) AGTAGGAAGTAC >mm10_chr3:142098477-142098488(-)::chr3:142098476-142098488(-) AGAAGGAAGTTA >mm10_chr3:142126478-142126489(+)::chr3:142126477-142126489(+) atcaggatgtac >mm10_chr3:142126520-142126531(-)::chr3:142126519-142126531(-) ataaggaagtca >mm10_chr3:142278270-142278281(+)::chr3:142278269-142278281(+) ATAAGGAAGTCT >mm10_chr3:142278280-142278291(-)::chr3:142278279-142278291(-) GTAAGGAAGCAG >mm10_chr3:142278290-142278301(+)::chr3:142278289-142278301(+) ACAGGGAAGTAA >mm10_chr3:142278328-142278339(-)::chr3:142278327-142278339(-) AAAGGGAAGTCC >mm10_chr3:142311690-142311701(-)::chr3:142311689-142311701(-) TCCAGGAAGTAG >mm10_chr3:142346467-142346478(-)::chr3:142346466-142346478(-) ATCAGGAAATTG >mm10_chr3:142353390-142353401(-)::chr3:142353389-142353401(-) AGCAGGAAGCAC >mm10_chr3:142366104-142366115(-)::chr3:142366103-142366115(-) agcaggaagttt >mm10_chr3:142372862-142372873(-)::chr3:142372861-142372873(-) TGCAGGAAGAAC >mm10_chr3:142372923-142372934(-)::chr3:142372922-142372934(-) TACAGGAAGAGT >mm10_chr3:142394983-142394994(-)::chr3:142394982-142394994(-) AAGAGGAAGGGC >mm10_chr3:142454415-142454426(-)::chr3:142454414-142454426(-) GGAAGGAACTGG >mm10_chr3:142474557-142474568(+)::chr3:142474556-142474568(+) ACACGGAAGAAT >mm10_chr3:142494076-142494087(-)::chr3:142494075-142494087(-) TTCAGGAAGTGC >mm10_chr3:142550368-142550379(+)::chr3:142550367-142550379(+) TCAAGGAAGCAG >mm10_chr3:142555540-142555551(-)::chr3:142555539-142555551(-) AAAAGGAAGGGC >mm10_chr3:142555585-142555596(+)::chr3:142555584-142555596(+) GGTAGGAAGTCC >mm10_chr3:142559702-142559713(-)::chr3:142559701-142559713(-) GACAGGAAGTTC >mm10_chr3:142620220-142620231(-)::chr3:142620219-142620231(-) gaaaggaaggag >mm10_chr3:142700667-142700678(-)::chr3:142700666-142700678(-) CGGAGGAAGTGA >mm10_chr3:142700709-142700720(+)::chr3:142700708-142700720(+) CGAAGGAAAGAT >mm10_chr3:142765099-142765110(+)::chr3:142765098-142765110(+) ACCAGGAAGTAC >mm10_chr3:142856097-142856108(-)::chr3:142856096-142856108(-) ATAAGGAACTTG >mm10_chr3:142863367-142863378(-)::chr3:142863366-142863378(-) ATAAGGAAATGA >mm10_chr3:142863393-142863404(+)::chr3:142863392-142863404(+) TACAGGAAGTAC >mm10_chr3:142887114-142887125(+)::chr3:142887113-142887125(+) TCCAGGAAGTCC >mm10_chr3:142899160-142899171(+)::chr3:142899159-142899171(+) AGCAGGAAGCAC >mm10_chr3:142915118-142915129(-)::chr3:142915117-142915129(-) aacaggaagtaa >mm10_chr3:142920454-142920465(-)::chr3:142920453-142920465(-) TCCAGGAAGTAG >mm10_chr3:142956680-142956691(-)::chr3:142956679-142956691(-) GGAAGAAAGTGA >mm10_chr3:142956684-142956695(-)::chr3:142956683-142956695(-) AGGAGGAAGAAA >mm10_chr3:142988157-142988168(-)::chr3:142988156-142988168(-) agcaggaagtaa >mm10_chr3:143188173-143188184(-)::chr3:143188172-143188184(-) ggaaggaagACG >mm10_chr3:143188186-143188197(-)::chr3:143188185-143188197(-) gggaggaagaca >mm10_chr3:143188196-143188207(-)::chr3:143188195-143188207(-) aggaggaagggg >mm10_chr3:143188232-143188243(-)::chr3:143188231-143188243(-) gaaaggaagcaa >mm10_chr3:143188237-143188248(-)::chr3:143188236-143188248(-) agaaggaaagga >mm10_chr3:143188244-143188255(-)::chr3:143188243-143188255(-) agaaggaagaag >mm10_chr3:143199620-143199631(+)::chr3:143199619-143199631(+) AGAGGGAAGTAG >mm10_chr3:143199826-143199837(-)::chr3:143199825-143199837(-) GAAAGGAAATAA >mm10_chr3:143235670-143235681(-)::chr3:143235669-143235681(-) GGATGGAAGTGA >mm10_chr3:143305827-143305838(+)::chr3:143305826-143305838(+) AATAGGAAGAGA >mm10_chr3:143507137-143507148(-)::chr3:143507136-143507148(-) TCCCGGAAGTAA >mm10_chr3:143509611-143509622(+)::chr3:143509610-143509622(+) AACAGGAAGAGG >mm10_chr3:143510355-143510366(+)::chr3:143510354-143510366(+) acaaggaagagg >mm10_chr3:143548584-143548595(-)::chr3:143548583-143548595(-) AGAAGGAAGAAA >mm10_chr3:143577187-143577198(+)::chr3:143577186-143577198(+) acaaggaagttg >mm10_chr3:143584541-143584552(-)::chr3:143584540-143584552(-) ATATGGAAGTAG >mm10_chr3:143585720-143585731(+)::chr3:143585719-143585731(+) AATAGGAAGGAA >mm10_chr3:143585724-143585735(+)::chr3:143585723-143585735(+) GGAAGGAAGTCA >mm10_chr3:143644713-143644724(-)::chr3:143644712-143644724(-) AGAAGGCAGTCA >mm10_chr3:143650333-143650344(+)::chr3:143650332-143650344(+) ATGAGGAAGAGA >mm10_chr3:143650357-143650368(+)::chr3:143650356-143650368(+) ACAAGGAAGAAA >mm10_chr3:143661013-143661024(+)::chr3:143661012-143661024(+) GGAAGGAAATAC >mm10_chr3:143661021-143661032(-)::chr3:143661020-143661032(-) AGGAGGAAGTAT >mm10_chr3:143722820-143722831(-)::chr3:143722819-143722831(-) ACCAGGAAATGA >mm10_chr3:143736625-143736636(+)::chr3:143736624-143736636(+) AGAAGGAACTTG >mm10_chr3:143736663-143736674(-)::chr3:143736662-143736674(-) GAAAGGAAGTTA >mm10_chr3:143760907-143760918(+)::chr3:143760906-143760918(+) AGGAGGAAATCC >mm10_chr3:143760919-143760930(+)::chr3:143760918-143760930(+) TGGAGGAAGTTT >mm10_chr3:143834710-143834721(+)::chr3:143834709-143834721(+) AGCAGGAAGGGA >mm10_chr3:143834744-143834755(-)::chr3:143834743-143834755(-) TCAAGGAAGAGG >mm10_chr3:143834770-143834781(-)::chr3:143834769-143834781(-) ACCAGGAAGCCA >mm10_chr3:143914745-143914756(+)::chr3:143914744-143914756(+) AGCAGGAAGTCA >mm10_chr3:143925002-143925013(+)::chr3:143925001-143925013(+) AACAGGAAGGAA >mm10_chr3:143949739-143949750(+)::chr3:143949738-143949750(+) TGCAGGAAGACA >mm10_chr3:143950098-143950109(-)::chr3:143950097-143950109(-) AACAGGAAGCTG >mm10_chr3:143955240-143955251(-)::chr3:143955239-143955251(-) TGAAGGAAGGGT >mm10_chr3:143983752-143983763(-)::chr3:143983751-143983763(-) AGGAGGAAGCAA >mm10_chr3:143983765-143983776(-)::chr3:143983764-143983776(-) AGCAGGAAGTAG >mm10_chr3:144004747-144004758(+)::chr3:144004746-144004758(+) aggaggaagaga >mm10_chr3:144004756-144004767(+)::chr3:144004755-144004767(+) agaaggaagagg >mm10_chr3:144004768-144004779(+)::chr3:144004767-144004779(+) tggaggaagggg >mm10_chr3:144004780-144004791(+)::chr3:144004779-144004791(+) aggaggaagaag >mm10_chr3:144004792-144004803(+)::chr3:144004791-144004803(+) aggaggaagagg >mm10_chr3:144048083-144048094(+)::chr3:144048082-144048094(+) AAGAGGAAGAAT >mm10_chr3:144054584-144054595(-)::chr3:144054583-144054595(-) TGGAGGAAGTGA >mm10_chr3:144054592-144054603(+)::chr3:144054591-144054603(+) TCCAGGAAGTAG >mm10_chr3:144110881-144110892(+)::chr3:144110880-144110892(+) ACTAGGAAGAAC >mm10_chr3:144127027-144127038(+)::chr3:144127026-144127038(+) CTCAGGAAGTGC >mm10_chr3:144127046-144127057(+)::chr3:144127045-144127057(+) AAAAGGAAGGAG >mm10_chr3:144127053-144127064(+)::chr3:144127052-144127064(+) AGGAGGAAATAA >mm10_chr3:144155897-144155908(-)::chr3:144155896-144155908(-) tacaggaagaac >mm10_chr3:144191362-144191373(-)::chr3:144191361-144191373(-) TTAAGGAAATAA >mm10_chr3:144191377-144191388(-)::chr3:144191376-144191388(-) ATAAGGAAATAA >mm10_chr3:144195481-144195492(+)::chr3:144195480-144195492(+) TCAAGGAAGTGC >mm10_chr3:144195549-144195560(+)::chr3:144195548-144195560(+) ACAAGGAAACGT >mm10_chr3:144214050-144214061(+)::chr3:144214049-144214061(+) ATAAGGAACTCA >mm10_chr3:144214100-144214111(+)::chr3:144214099-144214111(+) ATCAGGAAGATG >mm10_chr3:144232118-144232129(-)::chr3:144232117-144232129(-) ACCAGGAAGTGC >mm10_chr3:144262079-144262090(+)::chr3:144262078-144262090(+) AGAAGGAAGACT >mm10_chr3:144262089-144262100(-)::chr3:144262088-144262100(-) TGAAGGAAGAAG >mm10_chr3:144262101-144262112(+)::chr3:144262100-144262112(+) GTCAGGAAGGGT >mm10_chr3:144262129-144262140(+)::chr3:144262128-144262140(+) ACAAGGAAGAAG >mm10_chr3:144340157-144340168(-)::chr3:144340156-144340168(-) ataaggaacaag >mm10_chr3:144345410-144345421(+)::chr3:144345409-144345421(+) AACAGGAAGGGG >mm10_chr3:144380974-144380985(+)::chr3:144380973-144380985(+) ATCAGGACGTGG >mm10_chr3:144438616-144438627(+)::chr3:144438615-144438627(+) ACACGGAAGTGC >mm10_chr3:144531296-144531307(+)::chr3:144531295-144531307(+) GCCAGGAAATGT >mm10_chr3:144572963-144572974(-)::chr3:144572962-144572974(-) AAAAGGAAGTCT >mm10_chr3:144610579-144610590(+)::chr3:144610578-144610590(+) AAAAGGAAGACT >mm10_chr3:144610611-144610622(+)::chr3:144610610-144610622(+) aggaggaagagg >mm10_chr3:144610634-144610645(+)::chr3:144610633-144610645(+) aggaggaagaat >mm10_chr3:144692233-144692244(-)::chr3:144692232-144692244(-) ATGAGGAAGTTG >mm10_chr3:144692262-144692273(+)::chr3:144692261-144692273(+) GTGAGGAAGTAT >mm10_chr3:144719302-144719313(-)::chr3:144719301-144719313(-) TTAAGGAAGTGT >mm10_chr3:144822251-144822262(-)::chr3:144822250-144822262(-) CGGAGGAAGCGT >mm10_chr3:144901594-144901605(+)::chr3:144901593-144901605(+) aggaggaaataa >mm10_chr3:144901668-144901679(-)::chr3:144901667-144901679(-) agaaggaagaga >mm10_chr3:145099250-145099261(+)::chr3:145099249-145099261(+) AAAGGGAAGTGA >mm10_chr3:145099262-145099273(+)::chr3:145099261-145099273(+) AGCAGGAAGGAT >mm10_chr3:145102436-145102447(-)::chr3:145102435-145102447(-) GAAAGGAAGTGC >mm10_chr3:145105646-145105657(-)::chr3:145105645-145105657(-) TGCAGGAAATGA >mm10_chr3:145118340-145118351(-)::chr3:145118339-145118351(-) GGAAGGAAGGTC >mm10_chr3:145158036-145158047(-)::chr3:145158035-145158047(-) GTGAGGAAGAGG >mm10_chr3:145158087-145158098(-)::chr3:145158086-145158098(-) GGAAGGAAGGAG >mm10_chr3:145191810-145191821(+)::chr3:145191809-145191821(+) ATCAGGAAGGAC >mm10_chr3:145293350-145293361(+)::chr3:145293349-145293361(+) GAAAGGAAGCAA >mm10_chr3:145412138-145412149(+)::chr3:145412137-145412149(+) TCTAGGAAGTTT >mm10_chr3:145417727-145417738(+)::chr3:145417726-145417738(+) GTGAGGAAGTTA >mm10_chr3:145576520-145576531(+)::chr3:145576519-145576531(+) GGCAGGAACTAA >mm10_chr3:145576579-145576590(+)::chr3:145576578-145576590(+) AGCAGGAAGTAG >mm10_chr3:145610126-145610137(+)::chr3:145610125-145610137(+) GAGAGGAAGGAA >mm10_chr3:145610130-145610141(+)::chr3:145610129-145610141(+) GGAAGGAAATAG >mm10_chr3:145614644-145614655(-)::chr3:145614643-145614655(-) AAGAGGAAATGC >mm10_chr3:145614896-145614907(-)::chr3:145614895-145614907(-) AAGAGGAAATGC >mm10_chr3:145633041-145633052(+)::chr3:145633040-145633052(+) TCAAGGAAGAGT >mm10_chr3:145650787-145650798(-)::chr3:145650786-145650798(-) GTGAGGAAGTGG >mm10_chr3:145670944-145670955(+)::chr3:145670943-145670955(+) aacaggaaatga >mm10_chr3:145670986-145670997(+)::chr3:145670985-145670997(+) CTCAGGAAGTCA >mm10_chr3:145692198-145692209(+)::chr3:145692197-145692209(+) AAAAGGAAGTTA >mm10_chr3:145703448-145703459(-)::chr3:145703447-145703459(-) TGGAGGAAGTCA >mm10_chr3:145713753-145713764(+)::chr3:145713752-145713764(+) ATAAGGAAAATG >mm10_chr3:145750427-145750438(+)::chr3:145750426-145750438(+) gcaaggaagtag >mm10_chr3:145750469-145750480(+)::chr3:145750468-145750480(+) atgaggaagtaa >mm10_chr3:145750586-145750597(+)::chr3:145750585-145750597(+) acgaggaagtgg >mm10_chr3:145750663-145750674(-)::chr3:145750662-145750674(-) caaaggaagtcc >mm10_chr3:145751885-145751896(-)::chr3:145751884-145751896(-) ACGGGGAAGTGA >mm10_chr3:145751895-145751906(-)::chr3:145751894-145751906(-) AAAAGGAAGGAC >mm10_chr3:145751924-145751935(-)::chr3:145751923-145751935(-) TCCAGGAAATAG >mm10_chr3:145761843-145761854(-)::chr3:145761842-145761854(-) ggaaggaaggag >mm10_chr3:145761847-145761858(-)::chr3:145761846-145761858(-) gagaggaaggaa >mm10_chr3:145761857-145761868(-)::chr3:145761856-145761868(-) aggaggaagaga >mm10_chr3:145773963-145773974(-)::chr3:145773962-145773974(-) TTAAGGAAGCTG >mm10_chr3:145778762-145778773(+)::chr3:145778761-145778773(+) TTAAGGTAGTAC >mm10_chr3:145779612-145779623(-)::chr3:145779611-145779623(-) TCCGGGAAGTGG >mm10_chr3:145779649-145779660(+)::chr3:145779648-145779660(+) CTAAGGAAGTCA >mm10_chr3:145842769-145842780(+)::chr3:145842768-145842780(+) TCAGGGAAGTGC >mm10_chr3:145886229-145886240(+)::chr3:145886228-145886240(+) AGCAGGAAGTTC >mm10_chr3:145886288-145886299(-)::chr3:145886287-145886299(-) TGGAGGAAGTGG >mm10_chr3:145894363-145894374(-)::chr3:145894362-145894374(-) CAAAGGAAGAAA >mm10_chr3:145913461-145913472(+)::chr3:145913460-145913472(+) ATCGGGAAGTCA >mm10_chr3:145923921-145923932(+)::chr3:145923920-145923932(+) AACAGGAAATTG >mm10_chr3:145951511-145951522(+)::chr3:145951510-145951522(+) accaggaagtgg >mm10_chr3:145970775-145970786(-)::chr3:145970774-145970786(-) atgaggaagtct >mm10_chr3:145989559-145989570(-)::chr3:145989558-145989570(-) AGAAGGAAAAAG >mm10_chr3:146030405-146030416(-)::chr3:146030404-146030416(-) AGGAGGAAGCAA >mm10_chr3:146047220-146047231(-)::chr3:146047219-146047231(-) ATAAGGAAGTTT >mm10_chr3:146185558-146185569(+)::chr3:146185557-146185569(+) ataaggaaagag >mm10_chr3:146185573-146185584(+)::chr3:146185572-146185584(+) agcaggaagggt >mm10_chr3:146200855-146200866(-)::chr3:146200854-146200866(-) AGAAGGAACATA >mm10_chr3:146246813-146246824(-)::chr3:146246812-146246824(-) AACAGGAAGTCT >mm10_chr3:146253982-146253993(-)::chr3:146253981-146253993(-) AACAGGAAGGAT >mm10_chr3:146260442-146260453(-)::chr3:146260441-146260453(-) AAAAGGAAGTTG >mm10_chr3:146281444-146281455(+)::chr3:146281443-146281455(+) ATCAGGAAGTGA >mm10_chr3:146288412-146288423(+)::chr3:146288411-146288423(+) TAAAGGAAGAGG >mm10_chr3:146288418-146288429(+)::chr3:146288417-146288429(+) AAGAGGAAGTGC >mm10_chr3:146316507-146316518(-)::chr3:146316506-146316518(-) tgaaggaagaga >mm10_chr3:146371288-146371299(-)::chr3:146371287-146371299(-) AGAAGAAAGTAC >mm10_chr3:146379739-146379750(-)::chr3:146379738-146379750(-) agcaggaagtac >mm10_chr3:146379769-146379780(-)::chr3:146379768-146379780(-) TGGAGGAAGATT >mm10_chr3:146380005-146380016(-)::chr3:146380004-146380016(-) TCGAGGAAGATC >mm10_chr3:146414191-146414202(-)::chr3:146414190-146414202(-) aacaggaagcag >mm10_chr3:146465228-146465239(-)::chr3:146465227-146465239(-) tgcaggaagagt >mm10_chr3:146500612-146500623(-)::chr3:146500611-146500623(-) ACCGGGAAGTGT >mm10_chr3:146500992-146501003(+)::chr3:146500991-146501003(+) GGGAGGAAATAA >mm10_chr3:146521416-146521427(+)::chr3:146521415-146521427(+) AAACGGAAGTGC >mm10_chr3:146521457-146521468(-)::chr3:146521456-146521468(-) CCCCGGAAGTAA >mm10_chr3:146535686-146535697(+)::chr3:146535685-146535697(+) AAGAGGAAGTGT >mm10_chr3:146535733-146535744(+)::chr3:146535732-146535744(+) ACAGGGAAGTGC >mm10_chr3:146535766-146535777(+)::chr3:146535765-146535777(+) ACAAGGAAAGAT >mm10_chr3:146551984-146551995(-)::chr3:146551983-146551995(-) AGAAGGAAGAGG >mm10_chr3:146552005-146552016(-)::chr3:146552004-146552016(-) AGAAGGAAATGG >mm10_chr3:146567884-146567895(+)::chr3:146567883-146567895(+) ACCAGGAAGGGA >mm10_chr3:146567916-146567927(-)::chr3:146567915-146567927(-) TCCAGGAAGTCA >mm10_chr3:146604457-146604468(+)::chr3:146604456-146604468(+) TCCAGGAAGAGG >mm10_chr3:146628382-146628393(-)::chr3:146628381-146628393(-) AAAAGGAAGTTG >mm10_chr3:146642058-146642069(-)::chr3:146642057-146642069(-) AGAAGGAAGTAT >mm10_chr3:146645574-146645585(+)::chr3:146645573-146645585(+) AGCAGGAAATAT >mm10_chr3:146645852-146645863(-)::chr3:146645851-146645863(-) aggaggaagaaa >mm10_chr3:146645859-146645870(-)::chr3:146645858-146645870(-) gaaaggaaggag >mm10_chr3:146645867-146645878(-)::chr3:146645866-146645878(-) gggaggaagaaa >mm10_chr3:146645882-146645893(-)::chr3:146645881-146645893(-) gggaggaaggga >mm10_chr3:146653790-146653801(-)::chr3:146653789-146653801(-) AGAAGGAAACTA >mm10_chr3:146657650-146657661(-)::chr3:146657649-146657661(-) GGAAGGAAGACA >mm10_chr3:146682681-146682692(-)::chr3:146682680-146682692(-) GGAAGGAAGCAG >mm10_chr3:146756594-146756605(+)::chr3:146756593-146756605(+) AACAGGAAGGGA >mm10_chr3:146772034-146772045(+)::chr3:146772033-146772045(+) agaaggaaaatg >mm10_chr3:146781384-146781395(-)::chr3:146781383-146781395(-) GGTAGGAAGTTG >mm10_chr3:146781422-146781433(-)::chr3:146781421-146781433(-) GACAGGAAGTTT >mm10_chr3:146846409-146846420(-)::chr3:146846408-146846420(-) AACAGGAAGTAG >mm10_chr3:146848276-146848287(+)::chr3:146848275-146848287(+) GGAAGGAAATGC >mm10_chr3:147031288-147031299(+)::chr3:147031287-147031299(+) AGGAGGAAGAAA >mm10_chr3:147031331-147031342(+)::chr3:147031330-147031342(+) ATAAGGAAGGAA >mm10_chr3:147071153-147071164(+)::chr3:147071152-147071164(+) Accaggaagtga >mm10_chr3:147122010-147122021(-)::chr3:147122009-147122021(-) ATGAGGAAGTCC >mm10_chr3:147163549-147163560(-)::chr3:147163548-147163560(-) ATAGGGAAGTCC >mm10_chr3:147163574-147163585(+)::chr3:147163573-147163585(+) ACGGGGAAGTCA >mm10_chr3:147173143-147173154(-)::chr3:147173142-147173154(-) aagaggaagaag >mm10_chr3:147173157-147173168(-)::chr3:147173156-147173168(-) tggaggaaggca >mm10_chr3:147173166-147173177(-)::chr3:147173165-147173177(-) agaaggaagtgg >mm10_chr3:147173187-147173198(-)::chr3:147173186-147173198(-) aggaggaagagg >mm10_chr3:147184918-147184929(+)::chr3:147184917-147184929(+) aaaaggaaggaG >mm10_chr3:147228637-147228648(-)::chr3:147228636-147228648(-) AGAAGGAAAACA >mm10_chr3:147554120-147554131(-)::chr3:147554119-147554131(-) GGCAGGAAGGAC >mm10_chr3:147892994-147893005(+)::chr3:147892993-147893005(+) TGTAGGAAGTGT >mm10_chr3:147893006-147893017(+)::chr3:147893005-147893017(+) GCCCGGAAGTGT >mm10_chr3:148058471-148058482(-)::chr3:148058470-148058482(-) agaaggaaaaac >mm10_chr3:148220652-148220663(+)::chr3:148220651-148220663(+) AAAAGGAACTTT >mm10_chr3:148221003-148221014(-)::chr3:148221002-148221014(-) ATGAGGAAGCTA >mm10_chr3:148221019-148221030(-)::chr3:148221018-148221030(-) ATGAGGAAGCAT >mm10_chr3:148227069-148227080(-)::chr3:148227068-148227080(-) AGGAGGAAATAT >mm10_chr3:148247000-148247011(+)::chr3:148246999-148247011(+) ttgaggaagtta >mm10_chr3:148248807-148248818(+)::chr3:148248806-148248818(+) gtgaggaagtga >mm10_chr3:148336450-148336461(+)::chr3:148336449-148336461(+) GGGAGGAAGGGC >mm10_chr3:148343888-148343899(-)::chr3:148343887-148343899(-) AAAAGGAAATAG >mm10_chr3:148803976-148803987(-)::chr3:148803975-148803987(-) agcaggaactta >mm10_chr3:148804001-148804012(-)::chr3:148804000-148804012(-) tgagggaagttg >mm10_chr3:148886467-148886478(-)::chr3:148886466-148886478(-) GGGAGGAAGTGG >mm10_chr3:148907223-148907234(-)::chr3:148907222-148907234(-) GGAAGGAAGGAG >mm10_chr3:148907227-148907238(-)::chr3:148907226-148907238(-) GGAAGGAAGGAA >mm10_chr3:148907231-148907242(-)::chr3:148907230-148907242(-) GAAAGGAAGGAA >mm10_chr3:148918555-148918566(-)::chr3:148918554-148918566(-) aggaggaagtgt >mm10_chr3:148939594-148939605(+)::chr3:148939593-148939605(+) AGAAGGAAAAAA >mm10_chr3:148957101-148957112(+)::chr3:148957100-148957112(+) AAAAGGAAGGAA >mm10_chr3:148978235-148978246(+)::chr3:148978234-148978246(+) CTGAGGAAGTGC >mm10_chr3:148978272-148978283(-)::chr3:148978271-148978283(-) AGCACGAAGTAG >mm10_chr3:148981989-148982000(+)::chr3:148981988-148982000(+) TCCAGGAAGTTG >mm10_chr3:148990854-148990865(-)::chr3:148990853-148990865(-) ATAAGGAAAAGA >mm10_chr3:149006276-149006287(+)::chr3:149006275-149006287(+) aggaggaagaca >mm10_chr3:149006285-149006296(+)::chr3:149006284-149006296(+) acagggaagata >mm10_chr3:149006330-149006341(-)::chr3:149006329-149006341(-) TGAAGGAAGGAT >mm10_chr3:149038879-149038890(-)::chr3:149038878-149038890(-) GTAAGGAAGTTG >mm10_chr3:149070288-149070299(+)::chr3:149070287-149070299(+) AGGAGGAAGTGC >mm10_chr3:149070375-149070386(+)::chr3:149070374-149070386(+) TAGAGGAAGTGT >mm10_chr3:149072454-149072465(+)::chr3:149072453-149072465(+) AGGAGGAAGGCA >mm10_chr3:149072472-149072483(+)::chr3:149072471-149072483(+) CGAAGGAAGAGC >mm10_chr3:149072490-149072501(-)::chr3:149072489-149072501(-) TACAGGAAGTCT >mm10_chr3:149075082-149075093(+)::chr3:149075081-149075093(+) ACAAGGAAGTGA >mm10_chr3:149086297-149086308(-)::chr3:149086296-149086308(-) AACAggaagaat >mm10_chr3:149086924-149086935(+)::chr3:149086923-149086935(+) TTAAGGAAACGT >mm10_chr3:149117542-149117553(-)::chr3:149117541-149117553(-) CTCAGGAAGTTT >mm10_chr3:149185423-149185434(+)::chr3:149185422-149185434(+) ACAAGGAAGAAG >mm10_chr3:149191370-149191381(-)::chr3:149191369-149191381(-) AGAAGGAAGGCA >mm10_chr3:149191420-149191431(-)::chr3:149191419-149191431(-) GGCAGGAAGGGG >mm10_chr3:149219598-149219609(+)::chr3:149219597-149219609(+) TGGAGGAAATGA >mm10_chr3:149221405-149221416(+)::chr3:149221404-149221416(+) ATAGGGAAGTTT >mm10_chr3:149251656-149251667(+)::chr3:149251655-149251667(+) ACAAGGAAGCTC >mm10_chr3:149258401-149258412(+)::chr3:149258400-149258412(+) AGAAGGAAACGA >mm10_chr3:149258426-149258437(+)::chr3:149258425-149258437(+) ACAAGGAAAAGG >mm10_chr3:149258432-149258443(+)::chr3:149258431-149258443(+) AAAAGGAAATAG >mm10_chr3:149281512-149281523(+)::chr3:149281511-149281523(+) TGGAGGAAGAAC >mm10_chr3:149305299-149305310(-)::chr3:149305298-149305310(-) TGCAGGAAGAGG >mm10_chr3:149378358-149378369(-)::chr3:149378357-149378369(-) ATAAGGTAGACG >mm10_chr3:149382288-149382299(+)::chr3:149382287-149382299(+) AGAAGGAAGGAA >mm10_chr3:149382292-149382303(+)::chr3:149382291-149382303(+) GGAAGGAAGCAG >mm10_chr3:149382335-149382346(+)::chr3:149382334-149382346(+) AGCAGGAAGCTG >mm10_chr3:149395294-149395305(-)::chr3:149395293-149395305(-) ATGAGGAAATAC >mm10_chr3:149426459-149426470(+)::chr3:149426458-149426470(+) ATAAGGAAAAAG >mm10_chr3:149426491-149426502(+)::chr3:149426490-149426502(+) GGGAGGAAGTGT >mm10_chr3:149475661-149475672(+)::chr3:149475660-149475672(+) ACAAGGAAACAC >mm10_chr3:149496512-149496523(-)::chr3:149496511-149496523(-) AGAAGGAAGAAA >mm10_chr3:149497429-149497440(-)::chr3:149497428-149497440(-) AGCAGGAAGCAC >mm10_chr3:149497478-149497489(-)::chr3:149497477-149497489(-) TGAAGGAAGCGG >mm10_chr3:149524871-149524882(+)::chr3:149524870-149524882(+) TGAAGGAAGTGT >mm10_chr3:149534881-149534892(+)::chr3:149534880-149534892(+) ACAAGGAAGGCA >mm10_chr3:149534981-149534992(-)::chr3:149534980-149534992(-) TCAAGGAAATGA >mm10_chr3:149544500-149544511(-)::chr3:149544499-149544511(-) ACAGGGAAGTGT >mm10_chr3:149557735-149557746(+)::chr3:149557734-149557746(+) ATGAGGAAGGTA >mm10_chr3:149635138-149635149(-)::chr3:149635137-149635149(-) AAAAGGAAGCTA >mm10_chr3:149635156-149635167(-)::chr3:149635155-149635167(-) TTAAGGAAATGC >mm10_chr3:149668205-149668216(-)::chr3:149668204-149668216(-) agaaggaaagga >mm10_chr3:149668212-149668223(-)::chr3:149668211-149668223(-) gtcaggaagaag >mm10_chr3:149696835-149696846(-)::chr3:149696834-149696846(-) accaggaagtct >mm10_chr3:149704878-149704889(-)::chr3:149704877-149704889(-) AGAAGGAAACTA >mm10_chr3:149704900-149704911(+)::chr3:149704899-149704911(+) GTGAGGAAGTCC >mm10_chr3:149808153-149808164(+)::chr3:149808152-149808164(+) atagggaaggag >mm10_chr3:149845697-149845708(-)::chr3:149845696-149845708(-) AAGAGGAAATAA >mm10_chr3:149845928-149845939(-)::chr3:149845927-149845939(-) AGAAGGAAGTGG >mm10_chr3:149862408-149862419(+)::chr3:149862407-149862419(+) TCCAGGAAGTAA >mm10_chr3:150585596-150585607(+)::chr3:150585595-150585607(+) agagggaagaaa >mm10_chr3:150585631-150585642(+)::chr3:150585630-150585642(+) aggaggaaggga >mm10_chr3:150968736-150968747(+)::chr3:150968735-150968747(+) GAAAGGAAGGAG >mm10_chr3:151055245-151055256(-)::chr3:151055244-151055256(-) GAAGGGAAGTCC >mm10_chr3:151295318-151295329(+)::chr3:151295317-151295329(+) CTAAGGAAATCG >mm10_chr3:151306313-151306324(-)::chr3:151306312-151306324(-) ATAAGGAAGAGT >mm10_chr3:151749635-151749646(+)::chr3:151749634-151749646(+) ACACGGAAGTCT >mm10_chr3:151834196-151834207(-)::chr3:151834195-151834207(-) GTAGGGAAGTGG >mm10_chr3:151846895-151846906(-)::chr3:151846894-151846906(-) AAGAGGAAGAGG >mm10_chr3:151846901-151846912(-)::chr3:151846900-151846912(-) AGGAGGAAGAGG >mm10_chr3:152149399-152149410(-)::chr3:152149398-152149410(-) AACAGGAAGAGT >mm10_chr3:152149420-152149431(-)::chr3:152149419-152149431(-) GAAGGGAAGTAC >mm10_chr3:152160885-152160896(-)::chr3:152160884-152160896(-) AAGAGGAAGAAG >mm10_chr3:152210515-152210526(-)::chr3:152210514-152210526(-) GAAAGGAAGAAG >mm10_chr3:152210905-152210916(-)::chr3:152210904-152210916(-) gggcggAAGTGG >mm10_chr3:152262152-152262163(+)::chr3:152262151-152262163(+) AGCAGGAAGTAA >mm10_chr3:152262215-152262226(+)::chr3:152262214-152262226(+) GTCGGGAAGTTC >mm10_chr3:152287203-152287214(-)::chr3:152287202-152287214(-) ACAAGGAAGTTA >mm10_chr3:152400543-152400554(-)::chr3:152400542-152400554(-) TACAGGAAGGAG >mm10_chr3:152434046-152434057(-)::chr3:152434045-152434057(-) ACTAGGAAGAAC >mm10_chr3:152478147-152478158(-)::chr3:152478146-152478158(-) GCGAGGAAGCAG >mm10_chr3:152556551-152556562(-)::chr3:152556550-152556562(-) ggaaggaaggga >mm10_chr3:152557375-152557386(-)::chr3:152557374-152557386(-) ACGAGGAAATAC >mm10_chr3:152557395-152557406(-)::chr3:152557394-152557406(-) ATAAGGAAAGAG >mm10_chr3:152557414-152557425(-)::chr3:152557413-152557425(-) ACAAGGAAGAAT >mm10_chr3:152995877-152995888(-)::chr3:152995876-152995888(-) TGCAGGAAGAAG >mm10_chr3:152995889-152995900(-)::chr3:152995888-152995900(-) CCAAGGAAGAGT >mm10_chr3:152995901-152995912(-)::chr3:152995900-152995912(-) TCAAGGAAGCAT >mm10_chr3:152995927-152995938(+)::chr3:152995926-152995938(+) acaaggaaacta >mm10_chr3:153016789-153016800(-)::chr3:153016788-153016800(-) GCTAGGAAGTCA >mm10_chr3:153016808-153016819(-)::chr3:153016807-153016819(-) AACAGGAAGTTC >mm10_chr3:153208355-153208366(-)::chr3:153208354-153208366(-) TGCAGGAAGAGG >mm10_chr3:153250719-153250730(-)::chr3:153250718-153250730(-) ATCAGGAAGCAG >mm10_chr3:153293028-153293039(-)::chr3:153293027-153293039(-) ACCAGGAAGCCC >mm10_chr3:153313879-153313890(+)::chr3:153313878-153313890(+) GGAAGGAAGTGC >mm10_chr3:153341459-153341470(-)::chr3:153341458-153341470(-) ggcaggaactat >mm10_chr3:153341495-153341506(-)::chr3:153341494-153341506(-) ggaaggaagcca >mm10_chr3:153394366-153394377(+)::chr3:153394365-153394377(+) agcaggaagtac >mm10_chr3:153394391-153394402(+)::chr3:153394390-153394402(+) atcaggaaggtg >mm10_chr3:153399897-153399908(+)::chr3:153399896-153399908(+) TAAAGGAACTTC >mm10_chr3:153401061-153401072(+)::chr3:153401060-153401072(+) AGAAGGAAACGT >mm10_chr3:153408095-153408106(-)::chr3:153408094-153408106(-) TCAAGGAAGTAC >mm10_chr3:153457452-153457463(-)::chr3:153457451-153457463(-) agcaggaagcca >mm10_chr3:153468814-153468825(+)::chr3:153468813-153468825(+) ACAAGGAACTGT >mm10_chr3:153491322-153491333(+)::chr3:153491321-153491333(+) TCAGGGAAGTGG >mm10_chr3:153564074-153564085(-)::chr3:153564073-153564085(-) ggaaggaagagg >mm10_chr3:153564078-153564089(-)::chr3:153564077-153564089(-) aggaggaaggaa >mm10_chr3:153660582-153660593(+)::chr3:153660581-153660593(+) aggaggaagagg >mm10_chr3:153660588-153660599(+)::chr3:153660587-153660599(+) aagaggaagaac >mm10_chr3:153660600-153660611(+)::chr3:153660599-153660611(+) aggaggaagagg >mm10_chr3:153660617-153660628(+)::chr3:153660616-153660628(+) aggaggaagagg >mm10_chr3:153660623-153660634(+)::chr3:153660622-153660634(+) aagaggaagaag >mm10_chr3:153660635-153660646(+)::chr3:153660634-153660646(+) aggaggaaatga >mm10_chr3:153674408-153674419(+)::chr3:153674407-153674419(+) aagaggaagaga >mm10_chr3:153674423-153674434(+)::chr3:153674422-153674434(+) aagaggaagagg >mm10_chr3:153674442-153674453(+)::chr3:153674441-153674453(+) agacggaaggga >mm10_chr3:153674472-153674483(+)::chr3:153674471-153674483(+) gggaggaagggg >mm10_chr3:153710720-153710731(-)::chr3:153710719-153710731(-) caaaggaagtca >mm10_chr3:153710745-153710756(+)::chr3:153710744-153710756(+) ataaggaagctt >mm10_chr3:153711491-153711502(-)::chr3:153711490-153711502(-) ggaaggaaggga >mm10_chr3:153711495-153711506(-)::chr3:153711494-153711506(-) ggaaggaaggaa >mm10_chr3:153711499-153711510(-)::chr3:153711498-153711510(-) ggaaggaaggaa >mm10_chr3:153711503-153711514(-)::chr3:153711502-153711514(-) gggaggaaggaa >mm10_chr3:153711511-153711522(-)::chr3:153711510-153711522(-) ggaaggaaggga >mm10_chr3:153711515-153711526(-)::chr3:153711514-153711526(-) ggaaggaaggaa >mm10_chr3:153719850-153719861(-)::chr3:153719849-153719861(-) TGCAGGAAATAC >mm10_chr3:153723811-153723822(+)::chr3:153723810-153723822(+) TAGAGGAAGACA >mm10_chr3:153723852-153723863(-)::chr3:153723851-153723863(-) AAAAGGAAATCA >mm10_chr3:153775153-153775164(-)::chr3:153775152-153775164(-) aggaggaagttg >mm10_chr3:153889422-153889433(+)::chr3:153889421-153889433(+) aggaggaagaga >mm10_chr3:153889437-153889448(+)::chr3:153889436-153889448(+) agaaggaagggg >mm10_chr3:153889447-153889458(+)::chr3:153889446-153889458(+) gggaggaagaga >mm10_chr3:153889459-153889470(+)::chr3:153889458-153889470(+) aagaggaagaAC >mm10_chr3:153889479-153889490(-)::chr3:153889478-153889490(-) TTAAGGAAGAAA >mm10_chr3:153917407-153917418(-)::chr3:153917406-153917418(-) agtaggaagttg >mm10_chr3:153984624-153984635(-)::chr3:153984623-153984635(-) aagaggaagttt >mm10_chr3:154092273-154092284(-)::chr3:154092272-154092284(-) AAAAGGAAGAGA >mm10_chr3:154290569-154290580(-)::chr3:154290568-154290580(-) ACCAGGAAATAA >mm10_chr3:154402715-154402726(-)::chr3:154402714-154402726(-) AGACGGAAATTA >mm10_chr3:154428429-154428440(+)::chr3:154428428-154428440(+) agcaggaagaga >mm10_chr3:154428441-154428452(+)::chr3:154428440-154428452(+) ataaggaagtgg >mm10_chr3:154596936-154596947(-)::chr3:154596935-154596947(-) ACGAGGACGCGG >mm10_chr3:154678414-154678425(-)::chr3:154678413-154678425(-) AGAAGGAAGCTT >mm10_chr3:154692433-154692444(+)::chr3:154692432-154692444(+) TGAAGGAAGCGG >mm10_chr3:154723364-154723375(+)::chr3:154723363-154723375(+) atccggaagttg >mm10_chr3:154730248-154730259(-)::chr3:154730247-154730259(-) aacaggaagtga >mm10_chr3:154881539-154881550(+)::chr3:154881538-154881550(+) tgcaggaagtcc >mm10_chr3:154881696-154881707(-)::chr3:154881695-154881707(-) tgaaggaagaga >mm10_chr3:155093206-155093217(+)::chr3:155093205-155093217(+) GACAGGAAGGGG >mm10_chr3:155839209-155839220(-)::chr3:155839208-155839220(-) ataaggacgtaa >mm10_chr3:156141273-156141284(+)::chr3:156141272-156141284(+) GAAAGGAAGCAG >mm10_chr3:156141301-156141312(+)::chr3:156141300-156141312(+) GAAAGGAAGGAG >mm10_chr3:156300214-156300225(-)::chr3:156300213-156300225(-) AGCAGGAAATTC >mm10_chr3:156341725-156341736(-)::chr3:156341724-156341736(-) GGAAGGAAGGGA >mm10_chr3:156341729-156341740(-)::chr3:156341728-156341740(-) GGAAGGAAGGAA >mm10_chr3:156341733-156341744(-)::chr3:156341732-156341744(-) AGAAGGAAGGAA >mm10_chr3:156341782-156341793(+)::chr3:156341781-156341793(+) TCAAGGAAGAGA >mm10_chr3:156341795-156341806(-)::chr3:156341794-156341806(-) TTGAGGAAGTAA >mm10_chr3:156376879-156376890(+)::chr3:156376878-156376890(+) agaaggaagtag >mm10_chr3:156410262-156410273(+)::chr3:156410261-156410273(+) ACCAGGAAGCCC >mm10_chr3:156410308-156410319(+)::chr3:156410307-156410319(+) AACAGGAAATGG >mm10_chr3:157409773-157409784(+)::chr3:157409772-157409784(+) acaaggatgtta >mm10_chr3:157488248-157488259(+)::chr3:157488247-157488259(+) TCAAGGAAATAT >mm10_chr3:157492042-157492053(-)::chr3:157492041-157492053(-) TAAAGGAAATGA >mm10_chr3:157534075-157534086(-)::chr3:157534074-157534086(-) AGGCGGAAGTGA >mm10_chr3:157576215-157576226(+)::chr3:157576214-157576226(+) GGACGGAAGTGG >mm10_chr3:157709619-157709630(+)::chr3:157709618-157709630(+) ACCAGGAAATCC >mm10_chr3:157876757-157876768(-)::chr3:157876756-157876768(-) GAAAGGAAGGAA >mm10_chr3:157877230-157877241(+)::chr3:157877229-157877241(+) TGAGGGAAGTCT >mm10_chr3:157924996-157925007(+)::chr3:157924995-157925007(+) GGCAGGAAGCCG >mm10_chr3:158031782-158031793(+)::chr3:158031781-158031793(+) TCCCGGAAGTAC >mm10_chr3:158385697-158385708(+)::chr3:158385696-158385708(+) ctaaggaagtgg >mm10_chr3:158464799-158464810(-)::chr3:158464798-158464810(-) AGATGGAAGTAA >mm10_chr3:158663518-158663529(+)::chr3:158663517-158663529(+) AGTAGGAAGGAG >mm10_chr3:158663525-158663536(+)::chr3:158663524-158663536(+) AGGAGGAAATGA >mm10_chr3:158689692-158689703(-)::chr3:158689691-158689703(-) ACTAGGAAGTGG >mm10_chr3:159254142-159254153(+)::chr3:159254141-159254153(+) atagggaagtta >mm10_chr3:159630868-159630879(+)::chr3:159630867-159630879(+) agcaggaagttC >mm10_chr3:159839638-159839649(+)::chr3:159839637-159839649(+) gggaggaagcgc >mm10_chr3:159839658-159839669(+)::chr3:159839657-159839669(+) gggaggaagaaa >mm10_chr3:159839693-159839704(-)::chr3:159839692-159839704(-) GCAGGGAAGCGG >mm10_chr4:3091068-3091079(+)::chr4:3091067-3091079(+) acaaggaaaatg >mm10_chr4:3482149-3482160(+)::chr4:3482148-3482160(+) atagggaagaaa >mm10_chr4:3482167-3482178(+)::chr4:3482166-3482178(+) agaaggaagagg >mm10_chr4:3574805-3574816(+)::chr4:3574804-3574816(+) GAGAGGAAGAAG >mm10_chr4:3588005-3588016(+)::chr4:3588004-3588016(+) aaaaggaagctc >mm10_chr4:3646765-3646776(+)::chr4:3646764-3646776(+) agaaggaagaag >mm10_chr4:3646789-3646800(+)::chr4:3646788-3646800(+) agaaggaagcag >mm10_chr4:3646804-3646815(+)::chr4:3646803-3646815(+) gggaggaaggaa >mm10_chr4:3646816-3646827(+)::chr4:3646815-3646827(+) caaaggaaggaa >mm10_chr4:3646820-3646831(+)::chr4:3646819-3646831(+) ggaaggaaggga >mm10_chr4:3646842-3646853(+)::chr4:3646841-3646853(+) aagaggaagTAG >mm10_chr4:3647291-3647302(-)::chr4:3647290-3647302(-) AGAGGGAAGTTA >mm10_chr4:3678040-3678051(-)::chr4:3678039-3678051(-) GCGGGGAAGTGA >mm10_chr4:3678080-3678091(+)::chr4:3678079-3678091(+) ACCAGGAAGTAG >mm10_chr4:3679029-3679040(+)::chr4:3679028-3679040(+) AAGAGGAAGCTA >mm10_chr4:3685315-3685326(+)::chr4:3685314-3685326(+) tagaggaagtgg >mm10_chr4:3712204-3712215(-)::chr4:3712203-3712215(-) AGGAGGAAGCTA >mm10_chr4:3712236-3712247(-)::chr4:3712235-3712247(-) AGCAGGAAGGGA >mm10_chr4:3713258-3713269(-)::chr4:3713257-3713269(-) TGACGGAAGAGA >mm10_chr4:3723032-3723043(-)::chr4:3723031-3723043(-) CTAAGGAAGACA >mm10_chr4:3775089-3775100(-)::chr4:3775088-3775100(-) AACCGGAAGTCT >mm10_chr4:3776269-3776280(+)::chr4:3776268-3776280(+) ATCAGGAAGTTG >mm10_chr4:3804498-3804509(-)::chr4:3804497-3804509(-) ACAGGGAAGTTT >mm10_chr4:3827080-3827091(-)::chr4:3827079-3827091(-) tggaggaagtgt >mm10_chr4:3874984-3874995(-)::chr4:3874983-3874995(-) AGCAGGAAGGAA >mm10_chr4:3875001-3875012(+)::chr4:3875000-3875012(+) TTCCGGAAGTCG >mm10_chr4:3954853-3954864(+)::chr4:3954852-3954864(+) AAGAGGAAGTTC >mm10_chr4:4021720-4021731(-)::chr4:4021719-4021731(-) GGAAGGATGTGT >mm10_chr4:4021724-4021735(-)::chr4:4021723-4021735(-) GTGAGGAAGGAT >mm10_chr4:4075220-4075231(+)::chr4:4075219-4075231(+) GTAAGGAAGTAT >mm10_chr4:4079229-4079240(+)::chr4:4079228-4079240(+) AGAAGGAAGAGT >mm10_chr4:4097344-4097355(+)::chr4:4097343-4097355(+) agaaggaaggaa >mm10_chr4:4097348-4097359(+)::chr4:4097347-4097359(+) ggaaggaagatg >mm10_chr4:4131007-4131018(+)::chr4:4131006-4131018(+) GGGAGGAAGTTA >mm10_chr4:4178030-4178041(-)::chr4:4178029-4178041(-) actaggaagtcc >mm10_chr4:4324444-4324455(+)::chr4:4324443-4324455(+) AGAAGGAAGTAG >mm10_chr4:4324475-4324486(+)::chr4:4324474-4324486(+) ATGAGGAAGATA >mm10_chr4:4430659-4430670(-)::chr4:4430658-4430670(-) accaggaaGACT >mm10_chr4:4430689-4430700(-)::chr4:4430688-4430700(-) tacaggaagtga >mm10_chr4:4513606-4513617(-)::chr4:4513605-4513617(-) TAAGGGAAGTGC >mm10_chr4:4513627-4513638(+)::chr4:4513626-4513638(+) AAGAGGAAATGA >mm10_chr4:4663665-4663676(-)::chr4:4663664-4663676(-) ATGAGGAAGAAG >mm10_chr4:4707792-4707803(+)::chr4:4707791-4707803(+) ACCAGGAAGACA >mm10_chr4:4724011-4724022(+)::chr4:4724010-4724022(+) AGGAGGAAGGGA >mm10_chr4:4773376-4773387(+)::chr4:4773375-4773387(+) GTAAGGAAGGAG >mm10_chr4:4785339-4785350(+)::chr4:4785338-4785350(+) gaaaggaagagg >mm10_chr4:4801052-4801063(+)::chr4:4801051-4801063(+) acgaggaagagt >mm10_chr4:4801079-4801090(+)::chr4:4801078-4801090(+) aggaggaaatcg >mm10_chr4:4972063-4972074(-)::chr4:4972062-4972074(-) aggaggaagtgt >mm10_chr4:4972092-4972103(-)::chr4:4972091-4972103(-) acaaggaagcaa >mm10_chr4:4987736-4987747(-)::chr4:4987735-4987747(-) AGTAGGAAGTGA >mm10_chr4:5034732-5034743(-)::chr4:5034731-5034743(-) ATAAGGAAGTCA >mm10_chr4:5035688-5035699(-)::chr4:5035687-5035699(-) AGAAGGAAAGAA >mm10_chr4:5035695-5035706(-)::chr4:5035694-5035706(-) AACAGGAAGAAG >mm10_chr4:5035718-5035729(+)::chr4:5035717-5035729(+) CCAAGGAAATGG >mm10_chr4:5078995-5079006(-)::chr4:5078994-5079006(-) TGCAGGAAGGAG >mm10_chr4:5079031-5079042(+)::chr4:5079030-5079042(+) GGCAGGAAGTTG >mm10_chr4:5126925-5126936(-)::chr4:5126924-5126936(-) AGGAGGAAGTCC >mm10_chr4:5126932-5126943(-)::chr4:5126931-5126943(-) ATAGGGAAGGAG >mm10_chr4:5126947-5126958(-)::chr4:5126946-5126958(-) GAAAGGAAATCA >mm10_chr4:5130032-5130043(+)::chr4:5130031-5130043(+) TTAAGGAAATGT >mm10_chr4:5130054-5130065(+)::chr4:5130053-5130065(+) GCAAGGAAGTTC >mm10_chr4:5349985-5349996(-)::chr4:5349984-5349996(-) GGAGGGAAGTAA >mm10_chr4:5447166-5447177(+)::chr4:5447165-5447177(+) aggaggaagaag >mm10_chr4:5447187-5447198(+)::chr4:5447186-5447198(+) gggaggaaggag >mm10_chr4:5447204-5447215(+)::chr4:5447203-5447215(+) gggaggaagaag >mm10_chr4:5447217-5447228(+)::chr4:5447216-5447228(+) aggaggaagagg >mm10_chr4:5447229-5447240(+)::chr4:5447228-5447240(+) aggaggaagagA >mm10_chr4:5448624-5448635(+)::chr4:5448623-5448635(+) GAAAGGAAGAAA >mm10_chr4:5488885-5488896(+)::chr4:5488884-5488896(+) AACAGGAAGCTG >mm10_chr4:5627731-5627742(-)::chr4:5627730-5627742(-) AGAAGGAAGCGT >mm10_chr4:5688495-5688506(+)::chr4:5688494-5688506(+) TTCAGGAAGCGG >mm10_chr4:5961144-5961155(-)::chr4:5961143-5961155(-) ATCAGGAAGCTG >mm10_chr4:6191085-6191096(+)::chr4:6191084-6191096(+) CCCCGGAAGTCG >mm10_chr4:6194147-6194158(-)::chr4:6194146-6194158(-) ttcaggaagtct >mm10_chr4:6246998-6247009(-)::chr4:6246997-6247009(-) agaaggaaaaat >mm10_chr4:6247015-6247026(-)::chr4:6247014-6247026(-) tcaaggaagtga >mm10_chr4:6357547-6357558(+)::chr4:6357546-6357558(+) TTCAGGAAGCCG >mm10_chr4:6357585-6357596(+)::chr4:6357584-6357596(+) AAGAGGAAGTTA >mm10_chr4:6366033-6366044(-)::chr4:6366032-6366044(-) AGAAGGAAATCC >mm10_chr4:6366483-6366494(+)::chr4:6366482-6366494(+) ACCAGGAAATAA >mm10_chr4:6366491-6366502(+)::chr4:6366490-6366502(+) ATAAGGAAATGG >mm10_chr4:6371389-6371400(-)::chr4:6371388-6371400(-) ATCAGGATGTAT >mm10_chr4:6371401-6371412(-)::chr4:6371400-6371412(-) TGCAGGAAGTAT >mm10_chr4:6420438-6420449(-)::chr4:6420437-6420449(-) acccggaagtcc >mm10_chr4:6424367-6424378(-)::chr4:6424366-6424378(-) AAAAGGAAGTAA >mm10_chr4:6444344-6444355(-)::chr4:6444343-6444355(-) AGGAGGAAGTTG >mm10_chr4:6444351-6444362(-)::chr4:6444350-6444362(-) AGAAGGAAGGAG >mm10_chr4:6445306-6445317(-)::chr4:6445305-6445317(-) AACAGGAAGTGG >mm10_chr4:6452936-6452947(+)::chr4:6452935-6452947(+) ATCAGGAAGTAG >mm10_chr4:6630552-6630563(+)::chr4:6630551-6630563(+) aggaggaagtat >mm10_chr4:6630579-6630590(+)::chr4:6630578-6630590(+) acaaggaaagac >mm10_chr4:6666253-6666264(+)::chr4:6666252-6666264(+) ACGAGGAAGACT >mm10_chr4:6666290-6666301(+)::chr4:6666289-6666301(+) ATGAGGAAGTAG >mm10_chr4:6814658-6814669(+)::chr4:6814657-6814669(+) TCCAGGAAGGAA >mm10_chr4:6814662-6814673(+)::chr4:6814661-6814673(+) GGAAGGAAGAGA >mm10_chr4:6935921-6935932(+)::chr4:6935920-6935932(+) ACCAGGAAGAGA >mm10_chr4:6946246-6946257(+)::chr4:6946245-6946257(+) ACAAGGAAAACA >mm10_chr4:7344330-7344341(-)::chr4:7344329-7344341(-) gaaaggaaggag >mm10_chr4:7344352-7344363(-)::chr4:7344351-7344363(-) aggaggaagaag >mm10_chr4:7344364-7344375(-)::chr4:7344363-7344375(-) agaaggaaggga >mm10_chr4:7344376-7344387(-)::chr4:7344375-7344387(-) agaaggaaggaa >mm10_chr4:7684931-7684942(+)::chr4:7684930-7684942(+) atgaggaaggag >mm10_chr4:7684938-7684949(+)::chr4:7684937-7684949(+) aggaggaactat >mm10_chr4:7684961-7684972(+)::chr4:7684960-7684972(+) agagggaagaaa >mm10_chr4:7819799-7819810(+)::chr4:7819798-7819810(+) agcaggaagtag >mm10_chr4:8198133-8198144(-)::chr4:8198132-8198144(-) AAGAGGAAATGC >mm10_chr4:8198144-8198155(+)::chr4:8198143-8198155(+) TACAGGAAGGAG >mm10_chr4:8198169-8198180(-)::chr4:8198168-8198180(-) ATGGGGAAGTGG >mm10_chr4:8201150-8201161(+)::chr4:8201149-8201161(+) gtgaggaagggg >mm10_chr4:8201191-8201202(-)::chr4:8201190-8201202(-) ACGGGGAAGATA >mm10_chr4:8201221-8201232(-)::chr4:8201220-8201232(-) TTAAGGAAATAA >mm10_chr4:8375828-8375839(+)::chr4:8375827-8375839(+) ATAAGGAAAGAG >mm10_chr4:8375867-8375878(+)::chr4:8375866-8375878(+) gggaggaagagg >mm10_chr4:8375873-8375884(+)::chr4:8375872-8375884(+) aagaggaagagg >mm10_chr4:8375886-8375897(+)::chr4:8375885-8375897(+) ataaggaaggaa >mm10_chr4:8375890-8375901(+)::chr4:8375889-8375901(+) ggaaggaaggaa >mm10_chr4:8399720-8399731(-)::chr4:8399719-8399731(-) aggaggaagtgg >mm10_chr4:8400050-8400061(+)::chr4:8400049-8400061(+) ATAAGGAAGCAG >mm10_chr4:8535614-8535625(-)::chr4:8535613-8535625(-) ACCCGGAAGTGC >mm10_chr4:8539317-8539328(-)::chr4:8539316-8539328(-) TGCAGGAAGGAA >mm10_chr4:8539329-8539340(+)::chr4:8539328-8539340(+) ACGAGGAAGAGC >mm10_chr4:8565807-8565818(-)::chr4:8565806-8565818(-) ggaaggaagaag >mm10_chr4:8648909-8648920(-)::chr4:8648908-8648920(-) AGCAGGAAGCTA >mm10_chr4:8667259-8667270(-)::chr4:8667258-8667270(-) ACGAGGAACTTG >mm10_chr4:8667277-8667288(+)::chr4:8667276-8667288(+) ATAAGGAAGCAC >mm10_chr4:8703418-8703429(-)::chr4:8703417-8703429(-) AGAAGGAAATAA >mm10_chr4:8703436-8703447(-)::chr4:8703435-8703447(-) TACAGGAAGGAA >mm10_chr4:8753955-8753966(+)::chr4:8753954-8753966(+) AAGAGGAAGTAC >mm10_chr4:8753970-8753981(+)::chr4:8753969-8753981(+) GGAAGGAAGAGT >mm10_chr4:8754007-8754018(+)::chr4:8754006-8754018(+) TTAGGGAAGTGA >mm10_chr4:8820725-8820736(-)::chr4:8820724-8820736(-) AGAAGGAAACAA >mm10_chr4:8822682-8822693(+)::chr4:8822681-8822693(+) AACAGGAAGTTT >mm10_chr4:8822697-8822708(-)::chr4:8822696-8822708(-) AACAGGAAGAAT >mm10_chr4:8823384-8823395(+)::chr4:8823383-8823395(+) AGGAGGAAGAGA >mm10_chr4:8905397-8905408(-)::chr4:8905396-8905408(-) GAAAGGAAGCCC >mm10_chr4:8939111-8939122(-)::chr4:8939110-8939122(-) ATCAGGAAATGT >mm10_chr4:8939155-8939166(-)::chr4:8939154-8939166(-) AAGAGGAAATAG >mm10_chr4:8949700-8949711(-)::chr4:8949699-8949711(-) aaaaggaaatgc >mm10_chr4:8975104-8975115(+)::chr4:8975103-8975115(+) gtgaggaagtgc >mm10_chr4:8975135-8975146(+)::chr4:8975134-8975146(+) acaaggaagagt >mm10_chr4:8983129-8983140(-)::chr4:8983128-8983140(-) CCAGGGAAGTAC >mm10_chr4:9027142-9027153(-)::chr4:9027141-9027153(-) AACAGGAAGTTG >mm10_chr4:9035962-9035973(-)::chr4:9035961-9035973(-) ctgaggaagtcc >mm10_chr4:9035993-9036004(+)::chr4:9035992-9036004(+) tagaggaagatt >mm10_chr4:9059882-9059893(-)::chr4:9059881-9059893(-) gggaggaagggg >mm10_chr4:9059904-9059915(-)::chr4:9059903-9059915(-) ggaaggaagaga >mm10_chr4:9059908-9059919(-)::chr4:9059907-9059919(-) aggaggaaggaa >mm10_chr4:9059924-9059935(-)::chr4:9059923-9059935(-) aagaggaagaga >mm10_chr4:9095664-9095675(+)::chr4:9095663-9095675(+) agcaggaagact >mm10_chr4:9178055-9178066(+)::chr4:9178054-9178066(+) aacaggaagagg >mm10_chr4:9178090-9178101(+)::chr4:9178089-9178101(+) gagaggaagaaa >mm10_chr4:9304882-9304893(-)::chr4:9304881-9304893(-) taaagcaagtga >mm10_chr4:9337455-9337466(-)::chr4:9337454-9337466(-) GAAAGGAAGCAG >mm10_chr4:9348328-9348339(+)::chr4:9348327-9348339(+) TGCAGGAAATGA >mm10_chr4:9408613-9408624(+)::chr4:9408612-9408624(+) GGGAGGAAGGGA >mm10_chr4:9471585-9471596(+)::chr4:9471584-9471596(+) ACAAGGAAGCCT >mm10_chr4:9621344-9621355(+)::chr4:9621343-9621355(+) AAGAGGAAGGGC >mm10_chr4:9621374-9621385(+)::chr4:9621373-9621385(+) GACAGGAAGGTA >mm10_chr4:9626922-9626933(-)::chr4:9626921-9626933(-) AGAAGGAAGTGA >mm10_chr4:9780315-9780326(+)::chr4:9780314-9780326(+) TTCAGGAAGTAG >mm10_chr4:9842156-9842167(+)::chr4:9842155-9842167(+) AGCAGGAAGCGT >mm10_chr4:10719104-10719115(+)::chr4:10719103-10719115(+) ACAAGGAAAGAA >mm10_chr4:10723565-10723576(+)::chr4:10723564-10723576(+) AGAAGGAAATCA >mm10_chr4:10874036-10874047(-)::chr4:10874035-10874047(-) GGCAGGAAGATT >mm10_chr4:10879161-10879172(-)::chr4:10879160-10879172(-) ATGAGGAAATGA >mm10_chr4:10905307-10905318(-)::chr4:10905306-10905318(-) AGCAGGAAGCAC >mm10_chr4:10955224-10955235(+)::chr4:10955223-10955235(+) TCAAGGAAGAAC >mm10_chr4:10955232-10955243(-)::chr4:10955231-10955243(-) GCCAGGAAGTTC >mm10_chr4:10968052-10968063(-)::chr4:10968051-10968063(-) AGGAGGAAGTGG >mm10_chr4:10999710-10999721(+)::chr4:10999709-10999721(+) GGCAGGAAGCAG >mm10_chr4:10999717-10999728(+)::chr4:10999716-10999728(+) AGCAGGAAATGA >mm10_chr4:10999976-10999987(-)::chr4:10999975-10999987(-) TGAAGGAAGTCT >mm10_chr4:11016727-11016738(+)::chr4:11016726-11016738(+) AACAGGAAGTTA >mm10_chr4:11016768-11016779(+)::chr4:11016767-11016779(+) ATGAGGAAGCAC >mm10_chr4:11026448-11026459(+)::chr4:11026447-11026459(+) ggaaggaagggt >mm10_chr4:11033020-11033031(-)::chr4:11033019-11033031(-) ACAAGGAGGTGT >mm10_chr4:11033049-11033060(+)::chr4:11033048-11033060(+) AGCAGGAAATGT >mm10_chr4:11048959-11048970(-)::chr4:11048958-11048970(-) ggaaggaaggaa >mm10_chr4:11048963-11048974(-)::chr4:11048962-11048974(-) ggaaggaaggaa >mm10_chr4:11048967-11048978(-)::chr4:11048966-11048978(-) ggaaggaaggaa >mm10_chr4:11048971-11048982(-)::chr4:11048970-11048982(-) ggaaggaaggaa >mm10_chr4:11048975-11048986(-)::chr4:11048974-11048986(-) ggaaggaaggaa >mm10_chr4:11048979-11048990(-)::chr4:11048978-11048990(-) ggaaggaaggaa >mm10_chr4:11048983-11048994(-)::chr4:11048982-11048994(-) CAaaggaaggaa >mm10_chr4:11049014-11049025(+)::chr4:11049013-11049025(+) GCCAGGAAATTA >mm10_chr4:11053491-11053502(+)::chr4:11053490-11053502(+) TAGAGGAAGAAG >mm10_chr4:11053498-11053509(+)::chr4:11053497-11053509(+) AGAAGGAAAGAA >mm10_chr4:11084926-11084937(-)::chr4:11084925-11084937(-) AACAGGAAGTAC >mm10_chr4:11088495-11088506(-)::chr4:11088494-11088506(-) AAGAGGAAGCAA >mm10_chr4:11115317-11115328(+)::chr4:11115316-11115328(+) CGAGGGAAATAG >mm10_chr4:11115343-11115354(-)::chr4:11115342-11115354(-) gcaaggaagtga >mm10_chr4:11127286-11127297(+)::chr4:11127285-11127297(+) tgccggaagtgt >mm10_chr4:11127300-11127311(-)::chr4:11127299-11127311(-) ggaatgaagtgc >mm10_chr4:11192484-11192495(+)::chr4:11192483-11192495(+) TTAAGGAAATGA >mm10_chr4:11235604-11235615(-)::chr4:11235603-11235615(-) TCTAGGAAGTAG >mm10_chr4:11247465-11247476(+)::chr4:11247464-11247476(+) GGCAGGAAGTGT >mm10_chr4:11299418-11299429(+)::chr4:11299417-11299429(+) CAAAGGAAGAAG >mm10_chr4:11328281-11328292(-)::chr4:11328280-11328292(-) ggaaggaagggc >mm10_chr4:11328285-11328296(-)::chr4:11328284-11328296(-) ggaaggaaggaa >mm10_chr4:11328289-11328300(-)::chr4:11328288-11328300(-) ggaaggaaggaa >mm10_chr4:11328293-11328304(-)::chr4:11328292-11328304(-) ggaaggaaggaa >mm10_chr4:11328297-11328308(-)::chr4:11328296-11328308(-) ggaaggaaggaa >mm10_chr4:11353274-11353285(-)::chr4:11353273-11353285(-) TACAGGAAGTGA >mm10_chr4:11354408-11354419(+)::chr4:11354407-11354419(+) CGGAGGAAGAGA >mm10_chr4:11354428-11354439(-)::chr4:11354427-11354439(-) GCTAGGAAGTAA >mm10_chr4:11377725-11377736(+)::chr4:11377724-11377736(+) GCAAGGAAGCAA >mm10_chr4:11394234-11394245(+)::chr4:11394233-11394245(+) ACAAGGAAGTTA >mm10_chr4:11398603-11398614(+)::chr4:11398602-11398614(+) AAGAGGAAGGTG >mm10_chr4:11398616-11398627(+)::chr4:11398615-11398627(+) AAGAGGAAGCAG >mm10_chr4:11404571-11404582(-)::chr4:11404570-11404582(-) ACAGGGAAGTGA >mm10_chr4:11405241-11405252(-)::chr4:11405240-11405252(-) aacaggaaggta >mm10_chr4:11489121-11489132(+)::chr4:11489120-11489132(+) ACCAGGAAGAAA >mm10_chr4:11518941-11518952(-)::chr4:11518940-11518952(-) TCCAGGAAGTGA >mm10_chr4:11558823-11558834(+)::chr4:11558822-11558834(+) AAAAGGAAGTGC >mm10_chr4:11577872-11577883(-)::chr4:11577871-11577883(-) ataaggaagtaa >mm10_chr4:11579080-11579091(+)::chr4:11579079-11579091(+) ACGAGGAAGCTT >mm10_chr4:11715944-11715955(-)::chr4:11715943-11715955(-) ACAAGGAAACAC >mm10_chr4:11974592-11974603(-)::chr4:11974591-11974603(-) TCAAGGAAATCT >mm10_chr4:11975216-11975227(+)::chr4:11975215-11975227(+) AGAAGGAGGTTA >mm10_chr4:11990023-11990034(-)::chr4:11990022-11990034(-) ATGAGGAAGGGC >mm10_chr4:12006860-12006871(-)::chr4:12006859-12006871(-) AAAAGGAAGCAT >mm10_chr4:12006873-12006884(-)::chr4:12006872-12006884(-) AAGAGGAAGTGA >mm10_chr4:12009414-12009425(-)::chr4:12009413-12009425(-) ACGAGGAAGTGC >mm10_chr4:12036743-12036754(-)::chr4:12036742-12036754(-) AGTAGGAAGCAA >mm10_chr4:12036750-12036761(-)::chr4:12036749-12036761(-) AACAGGAAGTAG >mm10_chr4:12073439-12073450(+)::chr4:12073438-12073450(+) attaggaagtgt >mm10_chr4:12548230-12548241(-)::chr4:12548229-12548241(-) GGAAGGAAGCAG >mm10_chr4:12548234-12548245(-)::chr4:12548233-12548245(-) GTCAGGAAGGAA >mm10_chr4:12548258-12548269(-)::chr4:12548257-12548269(-) AGGAGGAAATAG >mm10_chr4:12686671-12686682(+)::chr4:12686670-12686682(+) taagggaagtaa >mm10_chr4:12886773-12886784(-)::chr4:12886772-12886784(-) ATAAGGAAATAG >mm10_chr4:12918115-12918126(-)::chr4:12918114-12918126(-) ACAAGGAAACAA >mm10_chr4:12960999-12961010(-)::chr4:12960998-12961010(-) AAGAGGAAGTAA >mm10_chr4:13078106-13078117(-)::chr4:13078105-13078117(-) TTAGGGAAGTAG >mm10_chr4:13241001-13241012(-)::chr4:13241000-13241012(-) AGCAGGAAATGC >mm10_chr4:13243718-13243729(+)::chr4:13243717-13243729(+) ACAAGGAAACAT >mm10_chr4:13243743-13243754(-)::chr4:13243742-13243754(-) AAGAGGAAGAGG >mm10_chr4:13266487-13266498(+)::chr4:13266486-13266498(+) ATCAGGAAGAGG >mm10_chr4:13266513-13266524(+)::chr4:13266512-13266524(+) ACAAGGAAAGAA >mm10_chr4:13267891-13267902(-)::chr4:13267890-13267902(-) GACAGGAAGCGG >mm10_chr4:13272046-13272057(+)::chr4:13272045-13272057(+) GGAAGGAAGGAG >mm10_chr4:13272068-13272079(+)::chr4:13272067-13272079(+) AGAAGGAAGAGG >mm10_chr4:13272081-13272092(+)::chr4:13272080-13272092(+) AAGAGGAAGAAA >mm10_chr4:13374178-13374189(+)::chr4:13374177-13374189(+) aagaggaagaca >mm10_chr4:13495349-13495360(-)::chr4:13495348-13495360(-) ATGAGGAAGGAG >mm10_chr4:13540300-13540311(+)::chr4:13540299-13540311(+) AAGAGGAAGTGA >mm10_chr4:13887992-13888003(+)::chr4:13887991-13888003(+) AGAAGGAAGTCC >mm10_chr4:14396003-14396014(+)::chr4:14396002-14396014(+) GAAAGGAAGACA >mm10_chr4:14521390-14521401(-)::chr4:14521389-14521401(-) AACAGGAAGTAA >mm10_chr4:14573718-14573729(+)::chr4:14573717-14573729(+) TGAAGGAAGACA >mm10_chr4:14573742-14573753(-)::chr4:14573741-14573753(-) ACCAGGAAGTAG >mm10_chr4:14606781-14606792(+)::chr4:14606780-14606792(+) ATGAGGAAATAC >mm10_chr4:14620391-14620402(-)::chr4:14620390-14620402(-) AAAAGGAACTGA >mm10_chr4:14857435-14857446(+)::chr4:14857434-14857446(+) aacaggaaatag >mm10_chr4:14863997-14864008(-)::chr4:14863996-14864008(-) ATTAGGAAGTGG >mm10_chr4:14883468-14883479(+)::chr4:14883467-14883479(+) TTCAGGAAGTGT >mm10_chr4:14957824-14957835(+)::chr4:14957823-14957835(+) ACCAGGAACTAG >mm10_chr4:15005604-15005615(-)::chr4:15005603-15005615(-) agaaggaagtct >mm10_chr4:15012734-15012745(-)::chr4:15012733-15012745(-) GAAAGGAAGGAG >mm10_chr4:15012772-15012783(+)::chr4:15012771-15012783(+) GGAAGGCAGTGG >mm10_chr4:15157524-15157535(-)::chr4:15157523-15157535(-) ATGAGGAAGTCT >mm10_chr4:15158629-15158640(-)::chr4:15158628-15158640(-) ggcaggaaatac >mm10_chr4:15184294-15184305(-)::chr4:15184293-15184305(-) aggaggaaatca >mm10_chr4:15202497-15202508(-)::chr4:15202496-15202508(-) agcaggaagagg >mm10_chr4:15202562-15202573(+)::chr4:15202561-15202573(+) ACCAGGAAGACA >mm10_chr4:15234805-15234816(+)::chr4:15234804-15234816(+) atagggaagtaa >mm10_chr4:15306709-15306720(+)::chr4:15306708-15306720(+) ATGCGGAAGTGG >mm10_chr4:15314940-15314951(+)::chr4:15314939-15314951(+) ACCAGGAAGTGT >mm10_chr4:15319851-15319862(+)::chr4:15319850-15319862(+) AAGGGGAAGTAT >mm10_chr4:15608596-15608607(-)::chr4:15608595-15608607(-) AGCAGGAAGTCT >mm10_chr4:15653084-15653095(-)::chr4:15653083-15653095(-) ACAAGGAAGAGG >mm10_chr4:15653102-15653113(-)::chr4:15653101-15653113(-) GAGAGGAAGTGT >mm10_chr4:15720261-15720272(-)::chr4:15720260-15720272(-) AAGAGGAAGAGA >mm10_chr4:15720272-15720283(-)::chr4:15720271-15720283(-) GAAAGGAAGCCA >mm10_chr4:15720296-15720307(+)::chr4:15720295-15720307(+) CCAAGGAAGACT >mm10_chr4:15958217-15958228(-)::chr4:15958216-15958228(-) CGCCGGAAGGCG >mm10_chr4:16169912-16169923(-)::chr4:16169911-16169923(-) ccaaggaaatgg >mm10_chr4:16169945-16169956(+)::chr4:16169944-16169956(+) tataggaagttc >mm10_chr4:16170676-16170687(-)::chr4:16170675-16170687(-) acaaggaaggag >mm10_chr4:16200423-16200434(-)::chr4:16200422-16200434(-) ACAAGGAACTGG >mm10_chr4:16214552-16214563(-)::chr4:16214551-16214563(-) acaaggaagtta >mm10_chr4:16222051-16222062(+)::chr4:16222050-16222062(+) agaaggaaataa >mm10_chr4:16626520-16626531(+)::chr4:16626519-16626531(+) ATTAGGAAGTAT >mm10_chr4:16681653-16681664(+)::chr4:16681652-16681664(+) ACaaggaaggaa >mm10_chr4:16681657-16681668(+)::chr4:16681656-16681668(+) ggaaggaaggaa >mm10_chr4:16681661-16681672(+)::chr4:16681660-16681672(+) ggaaggaaggaa >mm10_chr4:16681665-16681676(+)::chr4:16681664-16681676(+) ggaaggaaggaa >mm10_chr4:16681669-16681680(+)::chr4:16681668-16681680(+) ggaaggaaggCT >mm10_chr4:16717588-16717599(+)::chr4:16717587-16717599(+) ATGAGGAAGGAA >mm10_chr4:16717592-16717603(+)::chr4:16717591-16717603(+) GGAAGGAAGTAA >mm10_chr4:16848231-16848242(-)::chr4:16848230-16848242(-) AACAGGAAGTGT >mm10_chr4:16981865-16981876(-)::chr4:16981864-16981876(-) CAAAGGAAGTGA >mm10_chr4:16981879-16981890(+)::chr4:16981878-16981890(+) TCAAGGAAGCAT >mm10_chr4:17234998-17235009(-)::chr4:17234997-17235009(-) AGAAGGAAGGTT >mm10_chr4:17235030-17235041(-)::chr4:17235029-17235041(-) GAAAGGAAGAGG >mm10_chr4:17640744-17640755(+)::chr4:17640743-17640755(+) agaaggaagaag >mm10_chr4:17640751-17640762(+)::chr4:17640750-17640762(+) agaaggaagaag >mm10_chr4:17640758-17640769(+)::chr4:17640757-17640769(+) agaaggaagaag >mm10_chr4:17640765-17640776(+)::chr4:17640764-17640776(+) agaaggaagaag >mm10_chr4:17640772-17640783(+)::chr4:17640771-17640783(+) agaaggaaaaAG >mm10_chr4:17667822-17667833(+)::chr4:17667821-17667833(+) agcaggaagact >mm10_chr4:17667831-17667842(+)::chr4:17667830-17667842(+) actaggaagtag >mm10_chr4:18093911-18093922(+)::chr4:18093910-18093922(+) ggaaggaaggaa >mm10_chr4:18093915-18093926(+)::chr4:18093914-18093926(+) ggaaggaaggaa >mm10_chr4:18093919-18093930(+)::chr4:18093918-18093930(+) ggaaggaaggaa >mm10_chr4:18093923-18093934(+)::chr4:18093922-18093934(+) ggaaggaaggaa >mm10_chr4:18093927-18093938(+)::chr4:18093926-18093938(+) ggaaggaaggaa >mm10_chr4:18093931-18093942(+)::chr4:18093930-18093942(+) ggaaggaaggaa >mm10_chr4:18093935-18093946(+)::chr4:18093934-18093946(+) ggaaggaagtga >mm10_chr4:18093944-18093955(+)::chr4:18093943-18093955(+) tgaaggaaggag >mm10_chr4:18093951-18093962(+)::chr4:18093950-18093962(+) aggaggaagtat >mm10_chr4:18093983-18093994(+)::chr4:18093982-18093994(+) aTAAGGAAGATA >mm10_chr4:18170324-18170335(-)::chr4:18170323-18170335(-) GAGAGGAAGAAC >mm10_chr4:18170350-18170361(+)::chr4:18170349-18170361(+) ATCAGGAAGCAG >mm10_chr4:18461897-18461908(+)::chr4:18461896-18461908(+) CTAAGGAAGGGA >mm10_chr4:18617252-18617263(-)::chr4:18617251-18617263(-) AAAGGGAAGTTT >mm10_chr4:18938911-18938922(-)::chr4:18938910-18938922(-) gcaaggtagtgg >mm10_chr4:19576619-19576630(+)::chr4:19576618-19576630(+) AGGAGGAAGTTC >mm10_chr4:19580844-19580855(-)::chr4:19580843-19580855(-) ttcaggaagtag >mm10_chr4:19722833-19722844(-)::chr4:19722832-19722844(-) aagaggaagAGG >mm10_chr4:19722839-19722850(-)::chr4:19722838-19722850(-) aggaggaagagg >mm10_chr4:19722846-19722857(-)::chr4:19722845-19722857(-) ggaaggaaggag >mm10_chr4:19722850-19722861(-)::chr4:19722849-19722861(-) agaaggaaggaa >mm10_chr4:19722862-19722873(-)::chr4:19722861-19722873(-) ggaaggaagaaa >mm10_chr4:19722866-19722877(-)::chr4:19722865-19722877(-) Agaaggaaggaa >mm10_chr4:19741444-19741455(+)::chr4:19741443-19741455(+) CAAAGGAAGTAG >mm10_chr4:19780787-19780798(+)::chr4:19780786-19780798(+) GTGAGGAAGAAG >mm10_chr4:19781683-19781694(-)::chr4:19781682-19781694(-) ACAAGAAAGTAC >mm10_chr4:19901443-19901454(-)::chr4:19901442-19901454(-) TTAAGGATGTTA >mm10_chr4:19937450-19937461(-)::chr4:19937449-19937461(-) agaaggaagtct >mm10_chr4:19942332-19942343(+)::chr4:19942331-19942343(+) TTAAGGAAATGC >mm10_chr4:19976030-19976041(+)::chr4:19976029-19976041(+) AGCAGGAAGATG >mm10_chr4:19985657-19985668(-)::chr4:19985656-19985668(-) AGCAGGAAGAAG >mm10_chr4:20004353-20004364(-)::chr4:20004352-20004364(-) gaaaggaagttg >mm10_chr4:20007963-20007974(-)::chr4:20007962-20007974(-) TCAAGGAAGCCA >mm10_chr4:20013081-20013092(+)::chr4:20013080-20013092(+) GAGAGGAAGAGG >mm10_chr4:20015557-20015568(-)::chr4:20015556-20015568(-) agaaggaagagg >mm10_chr4:20015566-20015577(-)::chr4:20015565-20015577(-) aggaggaagaga >mm10_chr4:20015575-20015586(-)::chr4:20015574-20015586(-) aggaggaagagg >mm10_chr4:20015594-20015605(-)::chr4:20015593-20015605(-) agaaggaagaaa >mm10_chr4:20015601-20015612(-)::chr4:20015600-20015612(-) agaaggaagaag >mm10_chr4:20015617-20015628(-)::chr4:20015616-20015628(-) agaaggaagaga >mm10_chr4:20072280-20072291(-)::chr4:20072279-20072291(-) ggaaggaagctt >mm10_chr4:20150357-20150368(+)::chr4:20150356-20150368(+) TTCAGGAAGTGA >mm10_chr4:20150387-20150398(-)::chr4:20150386-20150398(-) TAGAGGAAGAAA >mm10_chr4:20362270-20362281(+)::chr4:20362269-20362281(+) agcaggaagaga >mm10_chr4:20567027-20567038(-)::chr4:20567026-20567038(-) AGAAGGAAAAAG >mm10_chr4:20786490-20786501(+)::chr4:20786489-20786501(+) tgaaggaagtca >mm10_chr4:20786503-20786514(+)::chr4:20786502-20786514(+) tgtaggaagtaa >mm10_chr4:21530037-21530048(-)::chr4:21530036-21530048(-) AGAAGGAAGAGA >mm10_chr4:21727743-21727754(-)::chr4:21727742-21727754(-) CGGAGGAAGAGA >mm10_chr4:21767154-21767165(-)::chr4:21767153-21767165(-) GAAAGGAAGTGG >mm10_chr4:21767171-21767182(-)::chr4:21767170-21767182(-) AGCAGGAAGGAG >mm10_chr4:21771722-21771733(-)::chr4:21771721-21771733(-) tttaggaagtgg >mm10_chr4:21771773-21771784(-)::chr4:21771772-21771784(-) tccaggaagtgg >mm10_chr4:21848019-21848030(-)::chr4:21848018-21848030(-) ACCCGGAAGTGA >mm10_chr4:21895228-21895239(+)::chr4:21895227-21895239(+) aggaggaaatga >mm10_chr4:21895249-21895260(+)::chr4:21895248-21895260(+) agaaggaagctg >mm10_chr4:21923744-21923755(-)::chr4:21923743-21923755(-) acaaggaagagt >mm10_chr4:22017974-22017985(+)::chr4:22017973-22017985(+) AGACGGAACTAG >mm10_chr4:22047045-22047056(-)::chr4:22047044-22047056(-) TAGAGGAAGTTC >mm10_chr4:22047064-22047075(-)::chr4:22047063-22047075(-) AGCAGGAAATTT >mm10_chr4:22056853-22056864(-)::chr4:22056852-22056864(-) AAAAGGAAATGC >mm10_chr4:22056911-22056922(-)::chr4:22056910-22056922(-) AAAAGGAAATCC >mm10_chr4:22092320-22092331(-)::chr4:22092319-22092331(-) ATCAGGAAATCA >mm10_chr4:22092348-22092359(+)::chr4:22092347-22092359(+) GAAAGGAAATAA >mm10_chr4:22196078-22196089(+)::chr4:22196077-22196089(+) AGCAGGAAGAAC >mm10_chr4:22196708-22196719(-)::chr4:22196707-22196719(-) TTCAGGAAGTCT >mm10_chr4:22208235-22208246(+)::chr4:22208234-22208246(+) AGGAGGAAATTG >mm10_chr4:22237923-22237934(+)::chr4:22237922-22237934(+) AGAAGGAAGCCT >mm10_chr4:22237945-22237956(-)::chr4:22237944-22237956(-) GACAGGAAGATC >mm10_chr4:22238836-22238847(-)::chr4:22238835-22238847(-) ACCAGGAAATAA >mm10_chr4:22238853-22238864(-)::chr4:22238852-22238864(-) TGTAGGAAGTAA >mm10_chr4:22238902-22238913(-)::chr4:22238901-22238913(-) AGAAGGAAGACA >mm10_chr4:22276094-22276105(+)::chr4:22276093-22276105(+) ATAAGGAAGTGA >mm10_chr4:22342324-22342335(+)::chr4:22342323-22342335(+) caagggaagtgt >mm10_chr4:22342345-22342356(+)::chr4:22342344-22342356(+) agcaggaaatga >mm10_chr4:22342379-22342390(+)::chr4:22342378-22342390(+) tacaggaagtaa >mm10_chr4:22342399-22342410(+)::chr4:22342398-22342410(+) aacaggaagtga >mm10_chr4:22365368-22365379(+)::chr4:22365367-22365379(+) AGGAGGAAGAGG >mm10_chr4:22365374-22365385(+)::chr4:22365373-22365385(+) AAGAGGAAGAGA >mm10_chr4:22490556-22490567(-)::chr4:22490555-22490567(-) CAGAGGAAGTTG >mm10_chr4:24496893-24496904(+)::chr4:24496892-24496904(+) AGCAGGATGTAA >mm10_chr4:24585083-24585094(-)::chr4:24585082-24585094(-) ACAAGGAAGCAC >mm10_chr4:24599138-24599149(+)::chr4:24599137-24599149(+) AGAAGGAAGAAA >mm10_chr4:24599151-24599162(+)::chr4:24599150-24599162(+) AGAAGCAAGTGT >mm10_chr4:24614948-24614959(+)::chr4:24614947-24614959(+) AAGAGGAAGGTA >mm10_chr4:24614958-24614969(+)::chr4:24614957-24614969(+) TACAGGAAGTGC >mm10_chr4:24621687-24621698(+)::chr4:24621686-24621698(+) AAAAGGAAGTAA >mm10_chr4:25034145-25034156(-)::chr4:25034144-25034156(-) accaggaagaaa >mm10_chr4:25243690-25243701(-)::chr4:25243689-25243701(-) GTAAGGAAGTAG >mm10_chr4:25454957-25454968(-)::chr4:25454956-25454968(-) GAAAGGAAATGT >mm10_chr4:25601910-25601921(-)::chr4:25601909-25601921(-) acccggaagtca >mm10_chr4:25627785-25627796(+)::chr4:25627784-25627796(+) ACCAGGAAATAT >mm10_chr4:25696473-25696484(+)::chr4:25696472-25696484(+) aacaggaaatta >mm10_chr4:25768974-25768985(-)::chr4:25768973-25768985(-) AGAAGGCAGTAC >mm10_chr4:25804564-25804575(-)::chr4:25804563-25804575(-) aagaggaagaag >mm10_chr4:25804570-25804581(-)::chr4:25804569-25804581(-) aagaggaagagg >mm10_chr4:25804576-25804587(-)::chr4:25804575-25804587(-) aggaggaagagg >mm10_chr4:25804588-25804599(-)::chr4:25804587-25804599(-) aggaggaagagg >mm10_chr4:25874984-25874995(-)::chr4:25874983-25874995(-) AACAGGAAACGA >mm10_chr4:25895662-25895673(-)::chr4:25895661-25895673(-) accaggaaataa >mm10_chr4:25914887-25914898(+)::chr4:25914886-25914898(+) ggagggaagtgt >mm10_chr4:25914925-25914936(+)::chr4:25914924-25914936(+) agaaggaagtta >mm10_chr4:26293111-26293122(+)::chr4:26293110-26293122(+) ttacggaaggaa >mm10_chr4:26293115-26293126(+)::chr4:26293114-26293126(+) ggaaggaagagg >mm10_chr4:26349643-26349654(+)::chr4:26349642-26349654(+) agaagggagtat >mm10_chr4:26353828-26353839(-)::chr4:26353827-26353839(-) agaaggaagatg >mm10_chr4:26353838-26353849(-)::chr4:26353837-26353849(-) aagaggaagtag >mm10_chr4:26353844-26353855(-)::chr4:26353843-26353855(-) ccaaggaagagg >mm10_chr4:26353854-26353865(-)::chr4:26353853-26353865(-) aagaggaagtcc >mm10_chr4:26379918-26379929(+)::chr4:26379917-26379929(+) AAAAGGAAGGAG >mm10_chr4:26379938-26379949(+)::chr4:26379937-26379949(+) AGGAGGAAGACA >mm10_chr4:26523296-26523307(+)::chr4:26523295-26523307(+) agaaggaaaata >mm10_chr4:27697655-27697666(+)::chr4:27697654-27697666(+) GTAAGGAAGATG >mm10_chr4:27747230-27747241(+)::chr4:27747229-27747241(+) tcgaggaagtct >mm10_chr4:28930203-28930214(+)::chr4:28930202-28930214(+) AGGAGGAAGTCT >mm10_chr4:29103732-29103743(+)::chr4:29103731-29103743(+) TAGAGGAAGAAG >mm10_chr4:29103739-29103750(+)::chr4:29103738-29103750(+) AGAAGGAAGGAG >mm10_chr4:29206135-29206146(+)::chr4:29206134-29206146(+) AAGAGGAAGTGG >mm10_chr4:29206156-29206167(+)::chr4:29206155-29206167(+) AAAAGGATGTGT >mm10_chr4:29206274-29206285(+)::chr4:29206273-29206285(+) TCCAGGAAGAGA >mm10_chr4:30001465-30001476(+)::chr4:30001464-30001476(+) tataggaagtga >mm10_chr4:30193909-30193920(-)::chr4:30193908-30193920(-) ATAAGGCAGTCA >mm10_chr4:30542771-30542782(-)::chr4:30542770-30542782(-) aggaggaaggtt >mm10_chr4:30542785-30542796(-)::chr4:30542784-30542796(-) agaaggaagaca >mm10_chr4:30542792-30542803(-)::chr4:30542791-30542803(-) acaaggaagaag >mm10_chr4:30675318-30675329(+)::chr4:30675317-30675329(+) AGAAGGCAGTGC >mm10_chr4:31220356-31220367(+)::chr4:31220355-31220367(+) TGAAGGAAAATA >mm10_chr4:31565096-31565107(-)::chr4:31565095-31565107(-) acaaggaaacta >mm10_chr4:31566274-31566285(-)::chr4:31566273-31566285(-) AGCAGGAAGCAA >mm10_chr4:31566281-31566292(-)::chr4:31566280-31566292(-) ACCAGGAAGCAG >mm10_chr4:31615598-31615609(-)::chr4:31615597-31615609(-) ggaaggaagaac >mm10_chr4:31615602-31615613(-)::chr4:31615601-31615613(-) aacaggaaggaa >mm10_chr4:31900304-31900315(-)::chr4:31900303-31900315(-) ACAAGGAAAGAA >mm10_chr4:31949866-31949877(-)::chr4:31949865-31949877(-) TGAATGAAGTGA >mm10_chr4:31992891-31992902(+)::chr4:31992890-31992902(+) GGCAGGAAATTG >mm10_chr4:31992924-31992935(-)::chr4:31992923-31992935(-) GGCAGGAAGAGC >mm10_chr4:32021925-32021936(+)::chr4:32021924-32021936(+) GAAAGGAAGCAA >mm10_chr4:32055574-32055585(-)::chr4:32055573-32055585(-) TGCCGGAAGTCA >mm10_chr4:32087545-32087556(+)::chr4:32087544-32087556(+) aagaggaagaag >mm10_chr4:32087563-32087574(+)::chr4:32087562-32087574(+) aggaggaagagg >mm10_chr4:32087569-32087580(+)::chr4:32087568-32087580(+) aagaggaaggag >mm10_chr4:32087584-32087595(+)::chr4:32087583-32087595(+) aggaggaagaga >mm10_chr4:32087620-32087631(+)::chr4:32087619-32087631(+) aaaaagaagtgg >mm10_chr4:32277730-32277741(-)::chr4:32277729-32277741(-) aggaggaaataa >mm10_chr4:32277751-32277762(-)::chr4:32277750-32277762(-) ATaaggaaatga >mm10_chr4:32288383-32288394(-)::chr4:32288382-32288394(-) ACAAGGAAGGAT >mm10_chr4:32289928-32289939(-)::chr4:32289927-32289939(-) ACAAGGAAGAAG >mm10_chr4:32290223-32290234(+)::chr4:32290222-32290234(+) GGCAGGAAATAG >mm10_chr4:32290242-32290253(+)::chr4:32290241-32290253(+) CTAAGGAAATAA >mm10_chr4:32303842-32303853(-)::chr4:32303841-32303853(-) AAAAGGAAGCGG >mm10_chr4:32337829-32337840(-)::chr4:32337828-32337840(-) ATCAGGAAGACG >mm10_chr4:32351272-32351283(+)::chr4:32351271-32351283(+) AGAAGGAAGCAG >mm10_chr4:32351490-32351501(+)::chr4:32351489-32351501(+) CGCAGGAAGTAG >mm10_chr4:32382709-32382720(-)::chr4:32382708-32382720(-) ATGAGGAAGAAC >mm10_chr4:32388118-32388129(-)::chr4:32388117-32388129(-) GAAAGGAAACCG >mm10_chr4:32498269-32498280(-)::chr4:32498268-32498280(-) agcaggaagagc >mm10_chr4:32506225-32506236(+)::chr4:32506224-32506236(+) accaggaaggac >mm10_chr4:32511767-32511778(+)::chr4:32511766-32511778(+) AGAAGGAAGGAA >mm10_chr4:32511786-32511797(+)::chr4:32511785-32511797(+) ACAAGGAAAGAA >mm10_chr4:32539285-32539296(+)::chr4:32539284-32539296(+) AGAAGGAAGACA >mm10_chr4:32615185-32615196(-)::chr4:32615184-32615196(-) GAGCGGAAGTGG >mm10_chr4:32615255-32615266(-)::chr4:32615254-32615266(-) GAAGGGAAGTGG >mm10_chr4:32657042-32657053(-)::chr4:32657041-32657053(-) AAGCGGAAGTAG >mm10_chr4:32657048-32657059(-)::chr4:32657047-32657059(-) GAAGGGAAGCGG >mm10_chr4:32657053-32657064(-)::chr4:32657052-32657064(-) AGTAGGAAGGGA >mm10_chr4:32800223-32800234(-)::chr4:32800222-32800234(-) TCACGGAAGCGG >mm10_chr4:32800251-32800262(-)::chr4:32800250-32800262(-) GAGCGGAAGTGG >mm10_chr4:32915861-32915872(+)::chr4:32915860-32915872(+) AACAGGAAGTAC >mm10_chr4:32932303-32932314(-)::chr4:32932302-32932314(-) ggcaggaagtga >mm10_chr4:32936560-32936571(-)::chr4:32936559-32936571(-) AGGAGGAAGTAG >mm10_chr4:32937121-32937132(+)::chr4:32937120-32937132(+) AAAAGGAAGTGA >mm10_chr4:32967388-32967399(+)::chr4:32967387-32967399(+) taaaggaagtag >mm10_chr4:33061537-33061548(+)::chr4:33061536-33061548(+) agcaggaagtag >mm10_chr4:33205941-33205952(-)::chr4:33205940-33205952(-) ataaggaacttc >mm10_chr4:33205954-33205965(+)::chr4:33205953-33205965(+) ttcaggaagtag >mm10_chr4:33205972-33205983(+)::chr4:33205971-33205983(+) cagaggaagtcc >mm10_chr4:33206007-33206018(-)::chr4:33206006-33206018(-) aggaggaaggtc >mm10_chr4:33206989-33207000(-)::chr4:33206988-33207000(-) aggaggaAATGA >mm10_chr4:33207004-33207015(-)::chr4:33207003-33207015(-) aagaggaagaga >mm10_chr4:33207013-33207024(-)::chr4:33207012-33207024(-) aggaggaagaag >mm10_chr4:33209934-33209945(+)::chr4:33209933-33209945(+) TGCAGGAAGTCT >mm10_chr4:33209946-33209957(-)::chr4:33209945-33209957(-) TGGAGGAAATAA >mm10_chr4:33247901-33247912(-)::chr4:33247900-33247912(-) GGAGGGAAGTTT >mm10_chr4:33248734-33248745(+)::chr4:33248733-33248745(+) ACAATGAAGTTC >mm10_chr4:33256709-33256720(-)::chr4:33256708-33256720(-) TGTAGGAAATAC >mm10_chr4:33256757-33256768(-)::chr4:33256756-33256768(-) ggaaggaaggac >mm10_chr4:33256761-33256772(-)::chr4:33256760-33256772(-) ggaaggaaggaa >mm10_chr4:33256765-33256776(-)::chr4:33256764-33256776(-) ggaaggaaggaa >mm10_chr4:33257411-33257422(-)::chr4:33257410-33257422(-) GCAAGGAAGGTC >mm10_chr4:33261428-33261439(-)::chr4:33261427-33261439(-) GCCAGGAAGGGA >mm10_chr4:33262169-33262180(-)::chr4:33262168-33262180(-) GGTAGGAAGTCT >mm10_chr4:33278043-33278054(-)::chr4:33278042-33278054(-) tcgaggaagtgt >mm10_chr4:33280406-33280417(+)::chr4:33280405-33280417(+) acgaggaagagg >mm10_chr4:33280440-33280451(+)::chr4:33280439-33280451(+) aggaggaagagg >mm10_chr4:33280446-33280457(+)::chr4:33280445-33280457(+) aagaggaagagg >mm10_chr4:33280452-33280463(+)::chr4:33280451-33280463(+) aagaggaagaaa >mm10_chr4:33282558-33282569(-)::chr4:33282557-33282569(-) TAGAGGAAGTGC >mm10_chr4:33282711-33282722(+)::chr4:33282710-33282722(+) ttgaggaagtaa >mm10_chr4:33282738-33282749(+)::chr4:33282737-33282749(+) gacaggaagagt >mm10_chr4:33284733-33284744(+)::chr4:33284732-33284744(+) ACCAGGAAGTCT >mm10_chr4:33310312-33310323(+)::chr4:33310311-33310323(+) GGGCGGAAGTCG >mm10_chr4:33384239-33384250(+)::chr4:33384238-33384250(+) AGGGGGAAGTAA >mm10_chr4:33396614-33396625(-)::chr4:33396613-33396625(-) CAAAGGAAGTCA >mm10_chr4:33516730-33516741(+)::chr4:33516729-33516741(+) ACAAGGAAGACC >mm10_chr4:33518672-33518683(+)::chr4:33518671-33518683(+) acaaggaagaaa >mm10_chr4:33518706-33518717(+)::chr4:33518705-33518717(+) accaggaaggaa >mm10_chr4:33524442-33524453(+)::chr4:33524441-33524453(+) AGAAGGAACTGG >mm10_chr4:33524478-33524489(-)::chr4:33524477-33524489(-) ATAAGGAACGTG >mm10_chr4:33524812-33524823(-)::chr4:33524811-33524823(-) AGAAGGAAAAGG >mm10_chr4:33530910-33530921(+)::chr4:33530909-33530921(+) ggaaggaaggct >mm10_chr4:33567547-33567558(-)::chr4:33567546-33567558(-) CTGAGGAAGTAT >mm10_chr4:33567582-33567593(+)::chr4:33567581-33567593(+) TTAAGGAAGTCT >mm10_chr4:33567591-33567602(-)::chr4:33567590-33567602(-) ATGAGGAAGAGA >mm10_chr4:33575967-33575978(-)::chr4:33575966-33575978(-) AGAAGGAAGTAG >mm10_chr4:33575974-33575985(-)::chr4:33575973-33575985(-) AGTAGGAAGAAG >mm10_chr4:33575981-33575992(-)::chr4:33575980-33575992(-) GAAAGGAAGTAG >mm10_chr4:33655932-33655943(+)::chr4:33655931-33655943(+) GGCAGGAAGTTC >mm10_chr4:33663665-33663676(-)::chr4:33663664-33663676(-) AAGAGGAAGAAA >mm10_chr4:33758167-33758178(+)::chr4:33758166-33758178(+) agaaggaagctg >mm10_chr4:33758201-33758212(+)::chr4:33758200-33758212(+) ctcaggaagtgg >mm10_chr4:33799571-33799582(-)::chr4:33799570-33799582(-) GGTAGGAAGTGC >mm10_chr4:33824081-33824092(+)::chr4:33824080-33824092(+) AACAGGAAGGCA >mm10_chr4:33895144-33895155(-)::chr4:33895143-33895155(-) accaggaagtga >mm10_chr4:33895175-33895186(-)::chr4:33895174-33895186(-) aaagggaagtgg >mm10_chr4:33981370-33981381(+)::chr4:33981369-33981381(+) ATGGGGAAGTGA >mm10_chr4:34550322-34550333(-)::chr4:34550321-34550333(-) AAGAGGAAGCGA >mm10_chr4:34550359-34550370(-)::chr4:34550358-34550370(-) CTAAGGAAGAGA >mm10_chr4:34614919-34614930(-)::chr4:34614918-34614930(-) GCGCGGAAGTGT >mm10_chr4:34614950-34614961(-)::chr4:34614949-34614961(-) CGCCGGAAGTCG >mm10_chr4:34647372-34647383(-)::chr4:34647371-34647383(-) ACAAGGATGTGC >mm10_chr4:34647412-34647423(-)::chr4:34647411-34647423(-) AAAAGGAAGTGA >mm10_chr4:34684505-34684516(-)::chr4:34684504-34684516(-) AGCAGGAAGCAA >mm10_chr4:34687343-34687354(+)::chr4:34687342-34687354(+) AGGAGGAAGCGG >mm10_chr4:34701745-34701756(-)::chr4:34701744-34701756(-) cggaggaagcag >mm10_chr4:34778332-34778343(-)::chr4:34778331-34778343(-) CGCCGGAAGTCT >mm10_chr4:34832863-34832874(-)::chr4:34832862-34832874(-) ggcaggaagtga >mm10_chr4:34835124-34835135(+)::chr4:34835123-34835135(+) ataaggaagtag >mm10_chr4:34844028-34844039(+)::chr4:34844027-34844039(+) AGGAGGAAGTAG >mm10_chr4:34853255-34853266(-)::chr4:34853254-34853266(-) ataaggaaatga >mm10_chr4:34859850-34859861(-)::chr4:34859849-34859861(-) TCCAGGAAGAAA >mm10_chr4:34864426-34864437(-)::chr4:34864425-34864437(-) AGAAGGAAATGT >mm10_chr4:34864447-34864458(-)::chr4:34864446-34864458(-) TGGAGGAAGGAG >mm10_chr4:34869074-34869085(+)::chr4:34869073-34869085(+) AAGAGGAAGGGG >mm10_chr4:34886649-34886660(-)::chr4:34886648-34886660(-) TGCCGGAAGTGT >mm10_chr4:35013083-35013094(+)::chr4:35013082-35013094(+) GTCAGGAAGTCT >mm10_chr4:35023969-35023980(-)::chr4:35023968-35023980(-) TGGAGGAAGTGC >mm10_chr4:35034903-35034914(-)::chr4:35034902-35034914(-) ATGAGGAAGTCA >mm10_chr4:35039066-35039077(+)::chr4:35039065-35039077(+) accaggaagaag >mm10_chr4:35084199-35084210(-)::chr4:35084198-35084210(-) GGAAGGAAGCCA >mm10_chr4:35084203-35084214(-)::chr4:35084202-35084214(-) AAAAGGAAGGAA >mm10_chr4:35084214-35084225(+)::chr4:35084213-35084225(+) TGCAGGAAGCAA >mm10_chr4:35105327-35105338(-)::chr4:35105326-35105338(-) AAGAGGAAGTAG >mm10_chr4:35117748-35117759(-)::chr4:35117747-35117759(-) CCCAGGAAGTAC >mm10_chr4:35136039-35136050(-)::chr4:35136038-35136050(-) tgcaggaagcta >mm10_chr4:35158060-35158071(-)::chr4:35158059-35158071(-) aaagggaagtaa >mm10_chr4:35165464-35165475(-)::chr4:35165463-35165475(-) GGAAGTAAGTAC >mm10_chr4:35165468-35165479(-)::chr4:35165467-35165479(-) TAAAGGAAGTAA >mm10_chr4:35165487-35165498(-)::chr4:35165486-35165498(-) TGACGGAAGAAG >mm10_chr4:35357318-35357329(-)::chr4:35357317-35357329(-) ATGAGGAAGGGA >mm10_chr4:35359409-35359420(-)::chr4:35359408-35359420(-) AGAAGGAAAAAA >mm10_chr4:35359416-35359427(-)::chr4:35359415-35359427(-) TGAAGGAAGAAG >mm10_chr4:35440784-35440795(+)::chr4:35440783-35440795(+) AGAAGGAAGATA >mm10_chr4:35641541-35641552(-)::chr4:35641540-35641552(-) TCCAGGAAGAAC >mm10_chr4:35690727-35690738(-)::chr4:35690726-35690738(-) tgaaggaagagc >mm10_chr4:36595095-36595106(+)::chr4:36595094-36595106(+) aaaaggatgtat >mm10_chr4:36595122-36595133(+)::chr4:36595121-36595133(+) aaagggaagtaa >mm10_chr4:38498381-38498392(+)::chr4:38498380-38498392(+) agcaggaagagg >mm10_chr4:38928055-38928066(-)::chr4:38928054-38928066(-) GTCAGGAAGAAT >mm10_chr4:38928075-38928086(-)::chr4:38928074-38928086(-) TTGAGGAAGTAG >mm10_chr4:39643474-39643485(-)::chr4:39643473-39643485(-) AGAAGGACGTAT >mm10_chr4:39697637-39697648(-)::chr4:39697636-39697648(-) GGAAGGAACTGC >mm10_chr4:39773739-39773750(-)::chr4:39773738-39773750(-) gggaggaagcat >mm10_chr4:40018397-40018408(+)::chr4:40018396-40018408(+) ACAGGGAAGTGA >mm10_chr4:40134959-40134970(-)::chr4:40134958-40134970(-) AAGAGGAAGTGA >mm10_chr4:40141223-40141234(-)::chr4:40141222-40141234(-) ATATGGAAGTCG >mm10_chr4:40142892-40142903(-)::chr4:40142891-40142903(-) CCCAGGAAGTGT >mm10_chr4:40239157-40239168(-)::chr4:40239156-40239168(-) CGCAGGAAGCAA >mm10_chr4:40256384-40256395(+)::chr4:40256383-40256395(+) agaaggaagaag >mm10_chr4:40256391-40256402(+)::chr4:40256390-40256402(+) agaaggaagaag >mm10_chr4:40256398-40256409(+)::chr4:40256397-40256409(+) agaaggaaggaa >mm10_chr4:40269855-40269866(-)::chr4:40269854-40269866(-) TCCAGGAAGAGG >mm10_chr4:40277227-40277238(+)::chr4:40277226-40277238(+) TAAAGGAAGAGA >mm10_chr4:40277260-40277271(+)::chr4:40277259-40277271(+) ACAAGGAAAGGG >mm10_chr4:40285878-40285889(+)::chr4:40285877-40285889(+) ATAAGAAAGTAG >mm10_chr4:40286185-40286196(+)::chr4:40286184-40286196(+) TAAAGGAAATAC >mm10_chr4:40559839-40559850(-)::chr4:40559838-40559850(-) GTAAGGAAGTGC >mm10_chr4:40700443-40700454(+)::chr4:40700442-40700454(+) ACAAGGAAGTGT >mm10_chr4:40703429-40703440(-)::chr4:40703428-40703440(-) AGGAGGAAGTGC >mm10_chr4:40713188-40713199(-)::chr4:40713187-40713199(-) ATCAGGAAGGCA >mm10_chr4:40713209-40713220(+)::chr4:40713208-40713220(+) TAAAGGAAGAGG >mm10_chr4:40713249-40713260(+)::chr4:40713248-40713260(+) ACCAGGAAGAAA >mm10_chr4:40757864-40757875(-)::chr4:40757863-40757875(-) CGCCGGAAGTGT >mm10_chr4:40757916-40757927(+)::chr4:40757915-40757927(+) CGAAGGAAGAAC >mm10_chr4:40782406-40782417(+)::chr4:40782405-40782417(+) GTCAGGAAGGAG >mm10_chr4:40815289-40815300(+)::chr4:40815288-40815300(+) ACCAGGAAGGAG >mm10_chr4:40825157-40825168(-)::chr4:40825156-40825168(-) CTAAGGAAATAG >mm10_chr4:40825175-40825186(-)::chr4:40825174-40825186(-) TCAAGGAAGTGG >mm10_chr4:40827078-40827089(-)::chr4:40827077-40827089(-) AGTAGGAAGAGG >mm10_chr4:40827438-40827449(-)::chr4:40827437-40827449(-) AGAAGGAACTTC >mm10_chr4:40829189-40829200(-)::chr4:40829188-40829200(-) TCAAGGAAGCAG >mm10_chr4:40845114-40845125(+)::chr4:40845113-40845125(+) AACAGGAAGGAA >mm10_chr4:40845118-40845129(+)::chr4:40845117-40845129(+) GGAAGGAACTTT >mm10_chr4:40845227-40845238(+)::chr4:40845226-40845238(+) GGCAGGAAGGAG >mm10_chr4:40866161-40866172(+)::chr4:40866160-40866172(+) AATAGGAAGTGT >mm10_chr4:40866207-40866218(-)::chr4:40866206-40866218(-) TCAAGGAAATGG >mm10_chr4:40866233-40866244(-)::chr4:40866232-40866244(-) ATAAGGAAAATA >mm10_chr4:40870364-40870375(-)::chr4:40870363-40870375(-) CCAAGGAAGTGT >mm10_chr4:40876863-40876874(+)::chr4:40876862-40876874(+) ATGAGGAAGAAA >mm10_chr4:40883086-40883097(+)::chr4:40883085-40883097(+) TGGAGGAAGACA >mm10_chr4:40883119-40883130(+)::chr4:40883118-40883130(+) GGCAGGAAGAGG >mm10_chr4:40899673-40899684(+)::chr4:40899672-40899684(+) agaaggaagacg >mm10_chr4:40899680-40899691(+)::chr4:40899679-40899691(+) agacggaagagg >mm10_chr4:40899707-40899718(+)::chr4:40899706-40899718(+) agaaggaaagga >mm10_chr4:40899712-40899723(+)::chr4:40899711-40899723(+) gaaaggaagaga >mm10_chr4:40908414-40908425(+)::chr4:40908413-40908425(+) GGAAGGAAGAAC >mm10_chr4:40945235-40945246(-)::chr4:40945234-40945246(-) agaaggaaggag >mm10_chr4:40945266-40945277(-)::chr4:40945265-40945277(-) aaaaggaagtgg >mm10_chr4:41073449-41073460(+)::chr4:41073448-41073460(+) AGCAGGAAGAAG >mm10_chr4:41073473-41073484(-)::chr4:41073472-41073484(-) AGAAGGAAGTTG >mm10_chr4:41091914-41091925(+)::chr4:41091913-41091925(+) TTAAGGAAGCTG >mm10_chr4:41091936-41091947(-)::chr4:41091935-41091947(-) CCCAGGAAGTGC >mm10_chr4:41095750-41095761(-)::chr4:41095749-41095761(-) AGAAGGAAGAGG >mm10_chr4:41124452-41124463(+)::chr4:41124451-41124463(+) AACCGGAAGCGT >mm10_chr4:41158423-41158434(+)::chr4:41158422-41158434(+) TTCAGGAAGTAC >mm10_chr4:41158433-41158444(-)::chr4:41158432-41158444(-) AACAGGAAGTGT >mm10_chr4:41165657-41165668(-)::chr4:41165656-41165668(-) aggaggaagaga >mm10_chr4:41180509-41180520(+)::chr4:41180508-41180520(+) ataaggaagtgt >mm10_chr4:41206393-41206404(-)::chr4:41206392-41206404(-) TCAAGGAAGTTA >mm10_chr4:41206435-41206446(+)::chr4:41206434-41206446(+) AAAAGGAAGAGG >mm10_chr4:41265937-41265948(-)::chr4:41265936-41265948(-) GGAAGGATGTCT >mm10_chr4:41272733-41272744(+)::chr4:41272732-41272744(+) TAAAGGAAGTTC >mm10_chr4:41284710-41284721(+)::chr4:41284709-41284721(+) GGAAGGAATTAT >mm10_chr4:41304570-41304581(-)::chr4:41304569-41304581(-) aggaggaagaCA >mm10_chr4:41315082-41315093(+)::chr4:41315081-41315093(+) ATGCGGAAGTAA >mm10_chr4:41331658-41331669(+)::chr4:41331657-41331669(+) ATGAGGAAGGAA >mm10_chr4:41331662-41331673(+)::chr4:41331661-41331673(+) GGAAGGAAGAAA >mm10_chr4:41331680-41331691(+)::chr4:41331679-41331691(+) GGAAGGAAGACC >mm10_chr4:41343240-41343251(+)::chr4:41343239-41343251(+) aggaggaaggag >mm10_chr4:41348891-41348902(+)::chr4:41348890-41348902(+) GTAAGGAAGCTC >mm10_chr4:41386450-41386461(-)::chr4:41386449-41386461(-) GCAAGGCAGTAA >mm10_chr4:41388712-41388723(+)::chr4:41388711-41388723(+) TTAAGGAAATGG >mm10_chr4:41388742-41388753(+)::chr4:41388741-41388753(+) TTAAGGAAGTTT >mm10_chr4:41409649-41409660(+)::chr4:41409648-41409660(+) aggaggaagagg >mm10_chr4:41409655-41409666(+)::chr4:41409654-41409666(+) aagaggaagagg >mm10_chr4:41409661-41409672(+)::chr4:41409660-41409672(+) aagaggaagaag >mm10_chr4:41482776-41482787(+)::chr4:41482775-41482787(+) ggaaggaaggaa >mm10_chr4:41482780-41482791(+)::chr4:41482779-41482791(+) ggaaggaaggaa >mm10_chr4:41482784-41482795(+)::chr4:41482783-41482795(+) ggaaggaaggaa >mm10_chr4:41482788-41482799(+)::chr4:41482787-41482799(+) ggaaggaaggaa >mm10_chr4:41482792-41482803(+)::chr4:41482791-41482803(+) ggaaggaaggaa >mm10_chr4:41482796-41482807(+)::chr4:41482795-41482807(+) ggaaggaagaag >mm10_chr4:41482803-41482814(+)::chr4:41482802-41482814(+) agaaggaaACTA >mm10_chr4:41482834-41482845(+)::chr4:41482833-41482845(+) AGGAGGACGTGG >mm10_chr4:41482848-41482859(+)::chr4:41482847-41482859(+) AGGAGGAAGGAC >mm10_chr4:41493177-41493188(-)::chr4:41493176-41493188(-) ACCAGGAAGCAA >mm10_chr4:41493605-41493616(-)::chr4:41493604-41493616(-) AGGAGGAAGCTG >mm10_chr4:41493618-41493629(-)::chr4:41493617-41493629(-) TTAAGGAAGCAG >mm10_chr4:41528213-41528224(-)::chr4:41528212-41528224(-) CTCAGGAAGTCC >mm10_chr4:41569545-41569556(-)::chr4:41569544-41569556(-) ACCCGGAAGTGG >mm10_chr4:41610627-41610638(-)::chr4:41610626-41610638(-) GGAAGGAAGGTG >mm10_chr4:41610631-41610642(-)::chr4:41610630-41610642(-) AGCAGGAAGGAA >mm10_chr4:41612243-41612254(-)::chr4:41612242-41612254(-) TTAGGGAAGTAC >mm10_chr4:41628428-41628439(+)::chr4:41628427-41628439(+) AAGGGGAAGTAG >mm10_chr4:41633217-41633228(+)::chr4:41633216-41633228(+) ACAAGGAAGCCa >mm10_chr4:41652669-41652680(-)::chr4:41652668-41652680(-) gggaggaaggga >mm10_chr4:41652710-41652721(-)::chr4:41652709-41652721(-) GGCAGGAAGGAA >mm10_chr4:41704916-41704927(-)::chr4:41704915-41704927(-) TGAAGGAAGGAA >mm10_chr4:41721585-41721596(+)::chr4:41721584-41721596(+) aggaggaagaag >mm10_chr4:41721592-41721603(+)::chr4:41721591-41721603(+) agaaggaagACC >mm10_chr4:41756175-41756186(-)::chr4:41756174-41756186(-) ATAAGGAAGAAG >mm10_chr4:42866536-42866547(-)::chr4:42866535-42866547(-) AAAGGGAAGTTG >mm10_chr4:42866577-42866588(-)::chr4:42866576-42866588(-) AAGAGGAAGGAG >mm10_chr4:42888910-42888921(-)::chr4:42888909-42888921(-) actaggaaatga >mm10_chr4:42954474-42954485(+)::chr4:42954473-42954485(+) AGAAGGAAGCAG >mm10_chr4:42999607-42999618(-)::chr4:42999606-42999618(-) TGCGGGAAGTTG >mm10_chr4:43002975-43002986(+)::chr4:43002974-43002986(+) ACAAGGAAGCTA >mm10_chr4:43018299-43018310(+)::chr4:43018298-43018310(+) AGGAGGAAGAGA >mm10_chr4:43024985-43024996(+)::chr4:43024984-43024996(+) AGAAGGAAGCCA >mm10_chr4:43025891-43025902(+)::chr4:43025890-43025902(+) GCAGGGAAGTTC >mm10_chr4:43031480-43031491(-)::chr4:43031479-43031491(-) CGCCGGAAGTAC >mm10_chr4:43043389-43043400(-)::chr4:43043388-43043400(-) aagaggaagaca >mm10_chr4:43043395-43043406(-)::chr4:43043394-43043406(-) aggaggaagagg >mm10_chr4:43043419-43043430(-)::chr4:43043418-43043430(-) aagaggaagaag >mm10_chr4:43044800-43044811(+)::chr4:43044799-43044811(+) GTGAGGAAGGAA >mm10_chr4:43044804-43044815(+)::chr4:43044803-43044815(+) GGAAGGAAGTGG >mm10_chr4:43066873-43066884(+)::chr4:43066872-43066884(+) ACCAGGAAGTTG >mm10_chr4:43066936-43066947(-)::chr4:43066935-43066947(-) TAGAGGAAGTTG >mm10_chr4:43085893-43085904(-)::chr4:43085892-43085904(-) aggaggaagagg >mm10_chr4:43085926-43085937(-)::chr4:43085925-43085937(-) ggaaggaagggg >mm10_chr4:43085930-43085941(-)::chr4:43085929-43085941(-) gggaggaaggaa >mm10_chr4:43113604-43113615(-)::chr4:43113603-43113615(-) ATAgggaaggag >mm10_chr4:43141042-43141053(+)::chr4:43141041-43141053(+) aacaggaaggaa >mm10_chr4:43141046-43141057(+)::chr4:43141045-43141057(+) ggaaggaagttt >mm10_chr4:43155903-43155914(+)::chr4:43155902-43155914(+) AAGAGGAAGAAG >mm10_chr4:43155959-43155970(+)::chr4:43155958-43155970(+) AAGAGGAAGTAA >mm10_chr4:43175876-43175887(-)::chr4:43175875-43175887(-) GGAAGGAAGAGT >mm10_chr4:43175880-43175891(-)::chr4:43175879-43175891(-) AAAAGGAAGGAA >mm10_chr4:43206890-43206901(-)::chr4:43206889-43206901(-) ACAAGGAAGATT >mm10_chr4:43249382-43249393(+)::chr4:43249381-43249393(+) AAAAGGAAGAGT >mm10_chr4:43259794-43259805(-)::chr4:43259793-43259805(-) ATAAGGAAATCC >mm10_chr4:43265494-43265505(-)::chr4:43265493-43265505(-) AGGAGGAAGCAG >mm10_chr4:43274998-43275009(+)::chr4:43274997-43275009(+) CCAGGGAAGTGT >mm10_chr4:43287488-43287499(-)::chr4:43287487-43287499(-) gcagggaagtcc >mm10_chr4:43287533-43287544(-)::chr4:43287532-43287544(-) agaaggaagtgt >mm10_chr4:43288034-43288045(+)::chr4:43288033-43288045(+) TAGAGGAAGAAT >mm10_chr4:43288082-43288093(+)::chr4:43288081-43288093(+) AACAGGAAGAGA >mm10_chr4:43303842-43303853(-)::chr4:43303841-43303853(-) ATAAGGAACTGT >mm10_chr4:43304685-43304696(-)::chr4:43304684-43304696(-) GGCAGGAAGTTA >mm10_chr4:43304736-43304747(-)::chr4:43304735-43304747(-) ATGAGGAAATAG >mm10_chr4:43306151-43306162(-)::chr4:43306150-43306162(-) agaaggaagaga >mm10_chr4:43353424-43353435(-)::chr4:43353423-43353435(-) GAGAGGAAGCGA >mm10_chr4:43353442-43353453(-)::chr4:43353441-43353453(-) ATAAGGAAATGA >mm10_chr4:43383458-43383469(-)::chr4:43383457-43383469(-) GGAAGGAACTCG >mm10_chr4:43383521-43383532(-)::chr4:43383520-43383532(-) AGCAGGAAGGGG >mm10_chr4:43391421-43391432(-)::chr4:43391420-43391432(-) aagaggaagaaa >mm10_chr4:43391433-43391444(-)::chr4:43391432-43391444(-) aagaggaagggg >mm10_chr4:43391445-43391456(-)::chr4:43391444-43391456(-) aagaggaagggg >mm10_chr4:43391457-43391468(-)::chr4:43391456-43391468(-) aggaggaagggg >mm10_chr4:43394715-43394726(-)::chr4:43394714-43394726(-) GTGAGGAAGGAA >mm10_chr4:43419924-43419935(+)::chr4:43419923-43419935(+) AGCAGGAAGGGA >mm10_chr4:43434159-43434170(+)::chr4:43434158-43434170(+) TGGAGGAAGGAT >mm10_chr4:43493006-43493017(+)::chr4:43493005-43493017(+) ATAAGGAACATG >mm10_chr4:43504534-43504545(+)::chr4:43504533-43504545(+) ATAAGGAAGGGA >mm10_chr4:43504578-43504589(+)::chr4:43504577-43504589(+) ACCAGGAAGCAT >mm10_chr4:43509349-43509360(+)::chr4:43509348-43509360(+) ACCAGGAAGCAG >mm10_chr4:43509370-43509381(-)::chr4:43509369-43509381(-) TAAAGGAAGCCT >mm10_chr4:43509381-43509392(+)::chr4:43509380-43509392(+) AGGAGGAAGGCT >mm10_chr4:43562370-43562381(+)::chr4:43562369-43562381(+) AGCAGGAAGCGA >mm10_chr4:43571810-43571821(-)::chr4:43571809-43571821(-) ACGAGGAAGTGA >mm10_chr4:43571880-43571891(-)::chr4:43571879-43571891(-) AAGAGGAAGTAC >mm10_chr4:43571886-43571897(-)::chr4:43571885-43571897(-) AGGAGGAAGAGG >mm10_chr4:43586645-43586656(+)::chr4:43586644-43586656(+) ACAAGGAAAACA >mm10_chr4:43586708-43586719(+)::chr4:43586707-43586719(+) GGGAGGAAGTGA >mm10_chr4:43613292-43613303(-)::chr4:43613291-43613303(-) AGAAGGAAGTGT >mm10_chr4:43688689-43688700(-)::chr4:43688688-43688700(-) ACAAGGAAGTGC >mm10_chr4:43727158-43727169(-)::chr4:43727157-43727169(-) TGAAGGAAGAGT >mm10_chr4:43727177-43727188(-)::chr4:43727176-43727188(-) AGTAGGAAGGGG >mm10_chr4:43729082-43729093(+)::chr4:43729081-43729093(+) AAAAGGAAACGG >mm10_chr4:43737187-43737198(-)::chr4:43737186-43737198(-) CTAAGGAAGGTA >mm10_chr4:43738224-43738235(+)::chr4:43738223-43738235(+) TGGAGGAAGTAT >mm10_chr4:43757346-43757357(-)::chr4:43757345-43757357(-) AAGAGGAAATGA >mm10_chr4:43820372-43820383(+)::chr4:43820371-43820383(+) ACGGGGAAGTAA >mm10_chr4:43820385-43820396(-)::chr4:43820384-43820396(-) GGAAGGAAGTGG >mm10_chr4:43820389-43820400(-)::chr4:43820388-43820400(-) GTGAGGAAGGAA >mm10_chr4:43924826-43924837(-)::chr4:43924825-43924837(-) AAAAGGAACTTG >mm10_chr4:43925833-43925844(+)::chr4:43925832-43925844(+) ACAGGGAAGTGG >mm10_chr4:43925865-43925876(-)::chr4:43925864-43925876(-) GTCAGGAAGAAA >mm10_chr4:43963920-43963931(-)::chr4:43963919-43963931(-) GGAAGGAAGGGG >mm10_chr4:43963924-43963935(-)::chr4:43963923-43963935(-) ATGAGGAAGGAA >mm10_chr4:43964726-43964737(+)::chr4:43964725-43964737(+) TTCAGGAAGTTC >mm10_chr4:43972297-43972308(+)::chr4:43972296-43972308(+) AGCAGGAAATGA >mm10_chr4:43973573-43973584(+)::chr4:43973572-43973584(+) AAAAGGAACTGT >mm10_chr4:43979826-43979837(-)::chr4:43979825-43979837(-) GGCAGGAAGTTC >mm10_chr4:43989027-43989038(-)::chr4:43989026-43989038(-) tggaggaagaag >mm10_chr4:43998709-43998720(-)::chr4:43998708-43998720(-) accaggaagtat >mm10_chr4:43998752-43998763(-)::chr4:43998751-43998763(-) acaaggaaaaat >mm10_chr4:43998765-43998776(-)::chr4:43998764-43998776(-) aggaggaagctg >mm10_chr4:44012522-44012533(-)::chr4:44012521-44012533(-) AGAAGGAAGAGC >mm10_chr4:44013819-44013830(+)::chr4:44013818-44013830(+) TGAAGGAAGTAG >mm10_chr4:44014986-44014997(-)::chr4:44014985-44014997(-) AAGAGGAAGTGC >mm10_chr4:44036576-44036587(+)::chr4:44036575-44036587(+) acaaggaagaag >mm10_chr4:44036583-44036594(+)::chr4:44036582-44036594(+) agaaggaagcaa >mm10_chr4:44049482-44049493(-)::chr4:44049481-44049493(-) TAAAGGAAGGCC >mm10_chr4:44065575-44065586(-)::chr4:44065574-44065586(-) TCCAGGAAGTGG >mm10_chr4:44065591-44065602(-)::chr4:44065590-44065602(-) GGCAGGAAGGAG >mm10_chr4:44074801-44074812(-)::chr4:44074800-44074812(-) gccaggaagTCT >mm10_chr4:44083331-44083342(-)::chr4:44083330-44083342(-) GGAAGGAAGAGG >mm10_chr4:44083335-44083346(-)::chr4:44083334-44083346(-) AAGAGGAAGGAA >mm10_chr4:44092207-44092218(-)::chr4:44092206-44092218(-) ttcaggaagtgt >mm10_chr4:44104748-44104759(-)::chr4:44104747-44104759(-) gacaggaaatga >mm10_chr4:44106503-44106514(-)::chr4:44106502-44106514(-) AGAAGGATGTGG >mm10_chr4:44107459-44107470(+)::chr4:44107458-44107470(+) AACAGGAAGGGG >mm10_chr4:44112988-44112999(+)::chr4:44112987-44112999(+) AGCAGGAAGACA >mm10_chr4:44129667-44129678(+)::chr4:44129666-44129678(+) AGAGGGAAGACG >mm10_chr4:44167790-44167801(-)::chr4:44167789-44167801(-) GGAAGGAAGCGC >mm10_chr4:44167794-44167805(-)::chr4:44167793-44167805(-) AAGAGGAAGGAA >mm10_chr4:44234317-44234328(-)::chr4:44234316-44234328(-) GGCAGGAAGCAA >mm10_chr4:44234317-44234328(-)::chr4:44234316-44234328(-) GGCAGGAAGCAA >mm10_chr4:44234592-44234603(-)::chr4:44234591-44234603(-) AAGAGGAAGAGA >mm10_chr4:44234637-44234648(+)::chr4:44234636-44234648(+) GACAGGAAGCCG >mm10_chr4:44269508-44269519(+)::chr4:44269507-44269519(+) AGAAGGAGGTAA >mm10_chr4:44269547-44269558(-)::chr4:44269546-44269558(-) ACGCGGAAGTCC >mm10_chr4:44292758-44292769(+)::chr4:44292757-44292769(+) ttaAGGTAGTAT >mm10_chr4:44419539-44419550(+)::chr4:44419538-44419550(+) ACCAGGAAGAGA >mm10_chr4:44419559-44419570(+)::chr4:44419558-44419570(+) Gtgaggaagttg >mm10_chr4:44509673-44509684(-)::chr4:44509672-44509684(-) tggaggaagaaa >mm10_chr4:44509701-44509712(-)::chr4:44509700-44509712(-) AACAggaagacc >mm10_chr4:44571174-44571185(+)::chr4:44571173-44571185(+) AACAGGAAGGCC >mm10_chr4:44756604-44756615(-)::chr4:44756603-44756615(-) GTAAGGAAGCCG >mm10_chr4:44756668-44756679(-)::chr4:44756667-44756679(-) ACCAGGAAGCAC >mm10_chr4:44762019-44762030(+)::chr4:44762018-44762030(+) AGGAGGAAGGAT >mm10_chr4:44925407-44925418(-)::chr4:44925406-44925418(-) AGGAGGAAGAGT >mm10_chr4:44925439-44925450(-)::chr4:44925438-44925450(-) ACAAGGAAGGAC >mm10_chr4:45018631-45018642(-)::chr4:45018630-45018642(-) AACCGGAAGTGA >mm10_chr4:45108119-45108130(-)::chr4:45108118-45108130(-) GACAGGAAGTGG >mm10_chr4:45110743-45110754(-)::chr4:45110742-45110754(-) tgaaggaagtgg >mm10_chr4:45110779-45110790(-)::chr4:45110778-45110790(-) agcaggaagtcc >mm10_chr4:45133356-45133367(-)::chr4:45133355-45133367(-) accaggaaatga >mm10_chr4:45133379-45133390(+)::chr4:45133378-45133390(+) ttcaggaaataa >mm10_chr4:45196207-45196218(+)::chr4:45196206-45196218(+) GGCAGGAAGCCA >mm10_chr4:45198378-45198389(-)::chr4:45198377-45198389(-) ACAAGGAAGGAC >mm10_chr4:45235100-45235111(+)::chr4:45235099-45235111(+) TGAAGGAAGTTC >mm10_chr4:45271420-45271431(-)::chr4:45271419-45271431(-) AAGAGGAAATGG >mm10_chr4:45297137-45297148(+)::chr4:45297136-45297148(+) AACCGGAAGTGG >mm10_chr4:45320622-45320633(-)::chr4:45320621-45320633(-) AAGCGGAAGTAG >mm10_chr4:45336643-45336654(-)::chr4:45336642-45336654(-) ataaggaaatag >mm10_chr4:45336667-45336678(-)::chr4:45336666-45336678(-) agcaggaaatac >mm10_chr4:45342084-45342095(+)::chr4:45342083-45342095(+) ACCCGGAAGTGG >mm10_chr4:45350258-45350269(+)::chr4:45350257-45350269(+) aacaggaagact >mm10_chr4:45411812-45411823(+)::chr4:45411811-45411823(+) aggaggaagagg >mm10_chr4:45411821-45411832(+)::chr4:45411820-45411832(+) aggaggaagagg >mm10_chr4:45411827-45411838(+)::chr4:45411826-45411838(+) aagaggaagaga >mm10_chr4:45411845-45411856(+)::chr4:45411844-45411856(+) aggaggaagaag >mm10_chr4:45419698-45419709(-)::chr4:45419697-45419709(-) AAAAGGAAGGGC >mm10_chr4:45421720-45421731(-)::chr4:45421719-45421731(-) CTCAGGAAGTGG >mm10_chr4:45421870-45421881(+)::chr4:45421869-45421881(+) TCAAGGAAATCT >mm10_chr4:45424000-45424011(-)::chr4:45423999-45424011(-) ACCAGGAAGCTG >mm10_chr4:45431999-45432010(+)::chr4:45431998-45432010(+) ACCAGGATGTAC >mm10_chr4:45433104-45433115(-)::chr4:45433103-45433115(-) ACTAGGAAGGTG >mm10_chr4:45452657-45452668(+)::chr4:45452656-45452668(+) ATGAGGAAGGGA >mm10_chr4:45489846-45489857(+)::chr4:45489845-45489857(+) CAAAGGAAGCAT >mm10_chr4:45510039-45510050(-)::chr4:45510038-45510050(-) GGGAGGAAGCAA >mm10_chr4:45510424-45510435(+)::chr4:45510423-45510435(+) ACAAGGAAGCAC >mm10_chr4:45514166-45514177(-)::chr4:45514165-45514177(-) CACAGGAAGTGG >mm10_chr4:45517538-45517549(-)::chr4:45517537-45517549(-) AGAAGGAAACAC >mm10_chr4:45520505-45520516(+)::chr4:45520504-45520516(+) GAAAGGAACTGA >mm10_chr4:45520537-45520548(-)::chr4:45520536-45520548(-) GCCAGGAAGGAC >mm10_chr4:45532495-45532506(-)::chr4:45532494-45532506(-) ACGAGGATGTCC >mm10_chr4:45584099-45584110(-)::chr4:45584098-45584110(-) AGCAGGAAGTCA >mm10_chr4:45584118-45584129(-)::chr4:45584117-45584129(-) AGCAGGAAGTCA >mm10_chr4:45586430-45586441(+)::chr4:45586429-45586441(+) AGCAGGAAGCAG >mm10_chr4:45689884-45689895(-)::chr4:45689883-45689895(-) AAAAGGAAATAG >mm10_chr4:45689953-45689964(-)::chr4:45689952-45689964(-) TAAAGGAAGACA >mm10_chr4:45749612-45749623(+)::chr4:45749611-45749623(+) AACAGGAAGTGA >mm10_chr4:45783984-45783995(-)::chr4:45783983-45783995(-) gggaggaagaag >mm10_chr4:45784010-45784021(-)::chr4:45784009-45784021(-) aagaggaagggg >mm10_chr4:45784016-45784027(-)::chr4:45784015-45784027(-) aggaggaagagg >mm10_chr4:45784037-45784048(-)::chr4:45784036-45784048(-) aggaggaagggg >mm10_chr4:45964889-45964900(-)::chr4:45964888-45964900(-) ACCAGGAAGTCT >mm10_chr4:45966131-45966142(+)::chr4:45966130-45966142(+) ATGAGGAAGTTG >mm10_chr4:46002480-46002491(+)::chr4:46002479-46002491(+) TAGAGGAAGAGC >mm10_chr4:46010455-46010466(+)::chr4:46010454-46010466(+) ACAAGGAAGACT >mm10_chr4:46010470-46010481(+)::chr4:46010469-46010481(+) AGCAGGAAGGAT >mm10_chr4:46130446-46130457(+)::chr4:46130445-46130457(+) tccaggaagtgg >mm10_chr4:46196362-46196373(+)::chr4:46196361-46196373(+) GCGAGGCAGTAC >mm10_chr4:46257335-46257346(+)::chr4:46257334-46257346(+) ATACGGAAGGTG >mm10_chr4:46257395-46257406(-)::chr4:46257394-46257406(-) ggaaggaagaag >mm10_chr4:46257399-46257410(-)::chr4:46257398-46257410(-) aagaggaaggaa >mm10_chr4:46304673-46304684(+)::chr4:46304672-46304684(+) gaaaggaagggc >mm10_chr4:46304689-46304700(+)::chr4:46304688-46304700(+) aacaggaaggaa >mm10_chr4:46304726-46304737(+)::chr4:46304725-46304737(+) gaaaggaaggag >mm10_chr4:46374533-46374544(+)::chr4:46374532-46374544(+) AACAGGAAGGTA >mm10_chr4:46388747-46388758(-)::chr4:46388746-46388758(-) GAAAGGAAGTAA >mm10_chr4:46389047-46389058(-)::chr4:46389046-46389058(-) TACAGGAAGGTG >mm10_chr4:46389436-46389447(-)::chr4:46389435-46389447(-) GCGCGGAAGCGG >mm10_chr4:46409035-46409046(+)::chr4:46409034-46409046(+) atgaggaagcta >mm10_chr4:46411660-46411671(-)::chr4:46411659-46411671(-) GGAAGGAAGTGC >mm10_chr4:46411664-46411675(-)::chr4:46411663-46411675(-) AGGAGGAAGGAA >mm10_chr4:46416068-46416079(+)::chr4:46416067-46416079(+) ataaggaaatgg >mm10_chr4:46420804-46420815(+)::chr4:46420803-46420815(+) TAGAGGAAGCTA >mm10_chr4:46426949-46426960(+)::chr4:46426948-46426960(+) accaggaagtaa >mm10_chr4:46434190-46434201(-)::chr4:46434189-46434201(-) ATCAGGAAGCCA >mm10_chr4:46445391-46445402(+)::chr4:46445390-46445402(+) ACAAGGAAATCC >mm10_chr4:46445449-46445460(+)::chr4:46445448-46445460(+) GGCAGGAAGGGC >mm10_chr4:46448791-46448802(+)::chr4:46448790-46448802(+) TGGAGGAAGTGG >mm10_chr4:46448801-46448812(+)::chr4:46448800-46448812(+) GGCAGGAAGGAG >mm10_chr4:46458605-46458616(-)::chr4:46458604-46458616(-) AAGAGGAAGTGG >mm10_chr4:46458611-46458622(-)::chr4:46458610-46458622(-) CCAAGGAAGAGG >mm10_chr4:46485861-46485872(+)::chr4:46485860-46485872(+) ACCAGGAAGCAG >mm10_chr4:46487454-46487465(-)::chr4:46487453-46487465(-) AGAAGGAACTAA >mm10_chr4:46495593-46495604(-)::chr4:46495592-46495604(-) ACACGGAAGGAC >mm10_chr4:46495643-46495654(-)::chr4:46495642-46495654(-) GTCAGGAAGGTG >mm10_chr4:46523798-46523809(+)::chr4:46523797-46523809(+) ACCAGGAAGTCT >mm10_chr4:46532641-46532652(-)::chr4:46532640-46532652(-) ATAAGGAAAGCA >mm10_chr4:46565727-46565738(+)::chr4:46565726-46565738(+) ACAAGGAAGAAG >mm10_chr4:46565734-46565745(+)::chr4:46565733-46565745(+) AGAAGGAAGAAA >mm10_chr4:46575004-46575015(-)::chr4:46575003-46575015(-) CCAAGGAAGACC >mm10_chr4:46575043-46575054(-)::chr4:46575042-46575054(-) GGGGGGAAGTGA >mm10_chr4:46586116-46586127(-)::chr4:46586115-46586127(-) ACAAGGAAGTTT >mm10_chr4:46586162-46586173(-)::chr4:46586161-46586173(-) CCAAGGAAGACA >mm10_chr4:46601208-46601219(-)::chr4:46601207-46601219(-) AGAAGGACGTCC >mm10_chr4:46616068-46616079(-)::chr4:46616067-46616079(-) CACAGGAAGTTC >mm10_chr4:46626279-46626290(+)::chr4:46626278-46626290(+) AGAAGGAAGCAG >mm10_chr4:46626286-46626297(+)::chr4:46626285-46626297(+) AGCAGGAAGGGG >mm10_chr4:46626322-46626333(-)::chr4:46626321-46626333(-) AGACGGAAGCTG >mm10_chr4:46626329-46626340(-)::chr4:46626328-46626340(-) GTGAGGAAGACG >mm10_chr4:46636216-46636227(-)::chr4:46636215-46636227(-) GAAAGGAAGTTT >mm10_chr4:46649523-46649534(+)::chr4:46649522-46649534(+) ATAAGGAAATGG >mm10_chr4:46650273-46650284(-)::chr4:46650272-46650284(-) AGCCGGAAGTTG >mm10_chr4:46752502-46752513(-)::chr4:46752501-46752513(-) AACAGGAAGTCT >mm10_chr4:46752528-46752539(+)::chr4:46752527-46752539(+) GGCAGGAAGAGG >mm10_chr4:46759075-46759086(+)::chr4:46759074-46759086(+) ATAAGGAAATGG >mm10_chr4:46809127-46809138(-)::chr4:46809126-46809138(-) aGGAGGAAGTAA >mm10_chr4:46815264-46815275(-)::chr4:46815263-46815275(-) CTAAGGAAGAGA >mm10_chr4:46825392-46825403(+)::chr4:46825391-46825403(+) GCAAGGAAGAGG >mm10_chr4:46825398-46825409(+)::chr4:46825397-46825409(+) AAGAGGAAGAGT >mm10_chr4:46829907-46829918(+)::chr4:46829906-46829918(+) ttaaggaaggaa >mm10_chr4:46829911-46829922(+)::chr4:46829910-46829922(+) ggaaggaaggaa >mm10_chr4:46829915-46829926(+)::chr4:46829914-46829926(+) ggaaggaaggaa >mm10_chr4:46829919-46829930(+)::chr4:46829918-46829930(+) ggaaggaaggag >mm10_chr4:46829938-46829949(+)::chr4:46829937-46829949(+) ggaaggaaggag >mm10_chr4:46829945-46829956(+)::chr4:46829944-46829956(+) aggaggaaggga >mm10_chr4:46829969-46829980(+)::chr4:46829968-46829980(+) gggaggaaggga >mm10_chr4:46864109-46864120(+)::chr4:46864108-46864120(+) AAGAGGAAGAGA >mm10_chr4:46869393-46869404(+)::chr4:46869392-46869404(+) ACAAGGAAAAGG >mm10_chr4:46869422-46869433(+)::chr4:46869421-46869433(+) GGCAGGAAGTGT >mm10_chr4:47011160-47011171(-)::chr4:47011159-47011171(-) ATGAGGAAGTTG >mm10_chr4:47011180-47011191(-)::chr4:47011179-47011191(-) AAGAGGAAGAAG >mm10_chr4:47015127-47015138(-)::chr4:47015126-47015138(-) GGCAGGAAGCAT >mm10_chr4:47015160-47015171(-)::chr4:47015159-47015171(-) ATAAGGAAGAAC >mm10_chr4:47015360-47015371(+)::chr4:47015359-47015371(+) AAGAGGAAATGA >mm10_chr4:47024720-47024731(+)::chr4:47024719-47024731(+) GACAGGAAGGAA >mm10_chr4:47024724-47024735(+)::chr4:47024723-47024735(+) GGAAGGAAATTG >mm10_chr4:47064716-47064727(-)::chr4:47064715-47064727(-) AAAAGGAAGCAG >mm10_chr4:47075334-47075345(+)::chr4:47075333-47075345(+) GGCAGGAAGGAG >mm10_chr4:47075341-47075352(+)::chr4:47075340-47075352(+) AGGAGGAAATTA >mm10_chr4:47075403-47075414(+)::chr4:47075402-47075414(+) AGGGGGAAGTAG >mm10_chr4:47092929-47092940(+)::chr4:47092928-47092940(+) ACAAGGAAGCAA >mm10_chr4:47093594-47093605(-)::chr4:47093593-47093605(-) AAGAGGAAGTAA >mm10_chr4:47102899-47102910(-)::chr4:47102898-47102910(-) CAGAGGAAGTCA >mm10_chr4:47103268-47103279(-)::chr4:47103267-47103279(-) AGCAGGAAGTGC >mm10_chr4:47103743-47103754(+)::chr4:47103742-47103754(+) aggaggaagcag >mm10_chr4:47276739-47276750(-)::chr4:47276738-47276750(-) ATAAGGAAGAAG >mm10_chr4:47276774-47276785(+)::chr4:47276773-47276785(+) ACAAGGAAGTGT >mm10_chr4:47293909-47293920(+)::chr4:47293908-47293920(+) accagGAAGCAG >mm10_chr4:47314086-47314097(+)::chr4:47314085-47314097(+) AGTAGGAAGGAA >mm10_chr4:47314090-47314101(+)::chr4:47314089-47314101(+) GGAAGGAAATTc >mm10_chr4:47322656-47322667(-)::chr4:47322655-47322667(-) ttaaggaagaca >mm10_chr4:47322685-47322696(-)::chr4:47322684-47322696(-) gtaaggaaatcc >mm10_chr4:47322698-47322709(+)::chr4:47322697-47322709(+) acaaggaagtga >mm10_chr4:47327954-47327965(-)::chr4:47327953-47327965(-) ACCAGGAAATAG >mm10_chr4:47329456-47329467(-)::chr4:47329455-47329467(-) TAAAGGAAATGA >mm10_chr4:47368902-47368913(-)::chr4:47368901-47368913(-) AACAGGAAGTAA >mm10_chr4:47368913-47368924(-)::chr4:47368912-47368924(-) TGCAGGAAGAGA >mm10_chr4:47398362-47398373(-)::chr4:47398361-47398373(-) ATGAGGAAGTAT >mm10_chr4:47398378-47398389(+)::chr4:47398377-47398389(+) GTAAGGAAGTAC >mm10_chr4:47400511-47400522(-)::chr4:47400510-47400522(-) AGAAGGAAGAGA >mm10_chr4:47400518-47400529(-)::chr4:47400517-47400529(-) GAGAGGAAGAAG >mm10_chr4:47409005-47409016(-)::chr4:47409004-47409016(-) aacaggaagaac >mm10_chr4:47474703-47474714(-)::chr4:47474702-47474714(-) AGAGGGAAGTCG >mm10_chr4:47474710-47474721(-)::chr4:47474709-47474721(-) GGCAGGAAGAGG >mm10_chr4:47606063-47606074(+)::chr4:47606062-47606074(+) GTGAGGAAGGAG >mm10_chr4:47606080-47606091(+)::chr4:47606079-47606091(+) AAAAGGAAATGG >mm10_chr4:47724777-47724788(-)::chr4:47724776-47724788(-) GCAAGGAAGGGG >mm10_chr4:47746601-47746612(+)::chr4:47746600-47746612(+) TCCAGGAAGTGT >mm10_chr4:47746627-47746638(-)::chr4:47746626-47746638(-) AACAGGAAGTGT >mm10_chr4:48030135-48030146(-)::chr4:48030134-48030146(-) aggaggaagcaa >mm10_chr4:48030147-48030158(-)::chr4:48030146-48030158(-) aggaggaagaag >mm10_chr4:48030172-48030183(-)::chr4:48030171-48030183(-) aggaggaagagg >mm10_chr4:48030182-48030193(-)::chr4:48030181-48030193(-) aagaggaagaag >mm10_chr4:48030191-48030202(-)::chr4:48030190-48030202(-) aagaggaagaag >mm10_chr4:48044512-48044523(+)::chr4:48044511-48044523(+) AGGAGGAAGAGG >mm10_chr4:48076838-48076849(+)::chr4:48076837-48076849(+) AGCAGGAAGTCC >mm10_chr4:48076889-48076900(-)::chr4:48076888-48076900(-) AGGAGGAAGAAA >mm10_chr4:48077568-48077579(+)::chr4:48077567-48077579(+) GCAAGGAAGCCA >mm10_chr4:48078394-48078405(-)::chr4:48078393-48078405(-) tcaaggaagttt >mm10_chr4:48078446-48078457(+)::chr4:48078445-48078457(+) AGGGGGAAGTAC >mm10_chr4:48149405-48149416(-)::chr4:48149404-48149416(-) GGAAGGAACTGT >mm10_chr4:48149409-48149420(-)::chr4:48149408-48149420(-) AAAAGGAAGGAA >mm10_chr4:48149427-48149438(+)::chr4:48149426-48149438(+) TCAAGGAAGCTG >mm10_chr4:48227031-48227042(+)::chr4:48227030-48227042(+) ATAAGGAAGTGA >mm10_chr4:48236924-48236935(-)::chr4:48236923-48236935(-) TTAAGGAAGAAT >mm10_chr4:48301485-48301496(+)::chr4:48301484-48301496(+) aaaaggaagctg >mm10_chr4:48381136-48381147(+)::chr4:48381135-48381147(+) TCAAGGAAGCTT >mm10_chr4:48473429-48473440(+)::chr4:48473428-48473440(+) GCCCGGAAGTGG >mm10_chr4:48481435-48481446(-)::chr4:48481434-48481446(-) atgaggaAGTCA >mm10_chr4:48481455-48481466(+)::chr4:48481454-48481466(+) ggaaggaattgt >mm10_chr4:48545902-48545913(+)::chr4:48545901-48545913(+) atgaggaaggcg >mm10_chr4:48545922-48545933(-)::chr4:48545921-48545933(-) aggaggaagtag >mm10_chr4:48605469-48605480(-)::chr4:48605468-48605480(-) GTGAGGAAATAA >mm10_chr4:48659861-48659872(-)::chr4:48659860-48659872(-) AGTAGGAAGAGA >mm10_chr4:48659868-48659879(-)::chr4:48659867-48659879(-) TAAAGGAAGTAG >mm10_chr4:48685022-48685033(-)::chr4:48685021-48685033(-) GTGAGGAAGGGA >mm10_chr4:48780691-48780702(+)::chr4:48780690-48780702(+) ACAATGAAGTTG >mm10_chr4:49026757-49026768(-)::chr4:49026756-49026768(-) ggaaggaaggat >mm10_chr4:49153092-49153103(-)::chr4:49153091-49153103(-) AGAAGGAAGAAC >mm10_chr4:49153171-49153182(-)::chr4:49153170-49153182(-) TGAAGGAAATTA >mm10_chr4:49157857-49157868(+)::chr4:49157856-49157868(+) ACAAGGAAGCAA >mm10_chr4:49162322-49162333(+)::chr4:49162321-49162333(+) TTGAGGAAGTAG >mm10_chr4:49162357-49162368(+)::chr4:49162356-49162368(+) ACAAGGATGTGG >mm10_chr4:49225209-49225220(-)::chr4:49225208-49225220(-) GGGAGGAAGAAT >mm10_chr4:49282485-49282496(-)::chr4:49282484-49282496(-) GACAGGAAATAC >mm10_chr4:49485436-49485447(+)::chr4:49485435-49485447(+) AGAAGGCAGTTG >mm10_chr4:49522697-49522708(-)::chr4:49522696-49522708(-) ggaaggaaagta >mm10_chr4:49567797-49567808(-)::chr4:49567796-49567808(-) AGAGGGAAGTGT >mm10_chr4:49584395-49584406(+)::chr4:49584394-49584406(+) AGAAGGAAGTTT >mm10_chr4:49586967-49586978(+)::chr4:49586966-49586978(+) TGCAGGAAGTCC >mm10_chr4:49620059-49620070(+)::chr4:49620058-49620070(+) AACAGGAAGTAG >mm10_chr4:49628173-49628184(-)::chr4:49628172-49628184(-) GGGAGGAAATAC >mm10_chr4:49632003-49632014(-)::chr4:49632002-49632014(-) GGGCGGAAGTGA >mm10_chr4:49632046-49632057(-)::chr4:49632045-49632057(-) AAAAGGAAGTTG >mm10_chr4:49632066-49632077(-)::chr4:49632065-49632077(-) GAGAGGAAGTAG >mm10_chr4:49646787-49646798(+)::chr4:49646786-49646798(+) ataaggaagagg >mm10_chr4:50223136-50223147(-)::chr4:50223135-50223147(-) ACAAGGAAGTTT >mm10_chr4:50223160-50223171(+)::chr4:50223159-50223171(+) TAGAGGAAGTTG >mm10_chr4:50291410-50291421(+)::chr4:50291409-50291421(+) CTAAGGAAGTAC >mm10_chr4:50291442-50291453(+)::chr4:50291441-50291453(+) CTAAGGAAGTAC >mm10_chr4:50311318-50311329(+)::chr4:50311317-50311329(+) AGAAGGAAGTAT >mm10_chr4:50338124-50338135(-)::chr4:50338123-50338135(-) AACAGGAAGAGC >mm10_chr4:50338152-50338163(-)::chr4:50338151-50338163(-) AGAAGGAAATTA >mm10_chr4:50338177-50338188(+)::chr4:50338176-50338188(+) GGAGGGAAGTTT >mm10_chr4:50380008-50380019(-)::chr4:50380007-50380019(-) ACAATGAAGTTA >mm10_chr4:50387316-50387327(+)::chr4:50387315-50387327(+) GGAAGGAAGCCA >mm10_chr4:50772630-50772641(+)::chr4:50772629-50772641(+) TTAAGGAAGCCC >mm10_chr4:52301833-52301844(-)::chr4:52301832-52301844(-) AGGGGGAAGTAG >mm10_chr4:52504403-52504414(-)::chr4:52504402-52504414(-) aagaggaagtgg >mm10_chr4:52504409-52504420(-)::chr4:52504408-52504420(-) tgaaggaagagg >mm10_chr4:52785854-52785865(+)::chr4:52785853-52785865(+) GGGAGGAAGAGA >mm10_chr4:52785872-52785883(-)::chr4:52785871-52785883(-) AGGAGGAAGAAG >mm10_chr4:52965978-52965989(+)::chr4:52965977-52965989(+) TAAAGGATGTAC >mm10_chr4:52990074-52990085(-)::chr4:52990073-52990085(-) AGGAGGAAGTGT >mm10_chr4:53011919-53011930(+)::chr4:53011918-53011930(+) ACCAGGAAGCGA >mm10_chr4:53011960-53011971(-)::chr4:53011959-53011971(-) CGCAGGAAGACC >mm10_chr4:53024631-53024642(+)::chr4:53024630-53024642(+) tggaggaaatag >mm10_chr4:53203671-53203682(-)::chr4:53203670-53203682(-) ATGAGGAAGTGC >mm10_chr4:53232533-53232544(-)::chr4:53232532-53232544(-) AGGAGGAAGCAG >mm10_chr4:53241137-53241148(-)::chr4:53241136-53241148(-) TAGAGGAAGCAT >mm10_chr4:53241159-53241170(-)::chr4:53241158-53241170(-) AGAAGGAAAATG >mm10_chr4:53270216-53270227(-)::chr4:53270215-53270227(-) aaaaggaaGTTg >mm10_chr4:53315378-53315389(+)::chr4:53315377-53315389(+) AAGAGGAAGGGT >mm10_chr4:53315764-53315775(-)::chr4:53315763-53315775(-) CTCAGGAAGTAC >mm10_chr4:53393370-53393381(+)::chr4:53393369-53393381(+) taaaggatgtgc >mm10_chr4:53415049-53415060(+)::chr4:53415048-53415060(+) aggaggaagagg >mm10_chr4:53415055-53415066(+)::chr4:53415054-53415066(+) aagaggaagagg >mm10_chr4:53415070-53415081(+)::chr4:53415069-53415081(+) aagaggaagaag >mm10_chr4:53415082-53415093(+)::chr4:53415081-53415093(+) aggaggaaggag >mm10_chr4:53431920-53431931(-)::chr4:53431919-53431931(-) acgaggaaggtt >mm10_chr4:53431969-53431980(+)::chr4:53431968-53431980(+) acaaggaagccc >mm10_chr4:53440075-53440086(-)::chr4:53440074-53440086(-) AGAGGGAAGGCG >mm10_chr4:53441382-53441393(+)::chr4:53441381-53441393(+) AGGAGGAAGAGG >mm10_chr4:53445832-53445843(+)::chr4:53445831-53445843(+) TCAAGGAAGAAG >mm10_chr4:53445839-53445850(+)::chr4:53445838-53445850(+) AGAAGGAAATCT >mm10_chr4:53453665-53453676(+)::chr4:53453664-53453676(+) ATGAGGAAATTG >mm10_chr4:53486031-53486042(+)::chr4:53486030-53486042(+) AGGAGGAAGAAG >mm10_chr4:53492945-53492956(-)::chr4:53492944-53492956(-) aagaggaaggga >mm10_chr4:53492987-53492998(+)::chr4:53492986-53492998(+) acccggaagtcc >mm10_chr4:53493002-53493013(-)::chr4:53493001-53493013(-) ggaaggaattag >mm10_chr4:53493006-53493017(-)::chr4:53493005-53493017(-) gagaggaaggaa >mm10_chr4:53501017-53501028(-)::chr4:53501016-53501028(-) acaaggaaggga >mm10_chr4:53509860-53509871(-)::chr4:53509859-53509871(-) GACAGGAAGAGC >mm10_chr4:53549203-53549214(-)::chr4:53549202-53549214(-) aagaggaagaac >mm10_chr4:53549209-53549220(-)::chr4:53549208-53549220(-) aagaggaagagg >mm10_chr4:53549215-53549226(-)::chr4:53549214-53549226(-) aagaggaagagg >mm10_chr4:53549227-53549238(-)::chr4:53549226-53549238(-) aagaggaagaag >mm10_chr4:53549233-53549244(-)::chr4:53549232-53549244(-) aggaggaagagg >mm10_chr4:53652351-53652362(+)::chr4:53652350-53652362(+) ACAAGGAAGCCC >mm10_chr4:53726852-53726863(+)::chr4:53726851-53726863(+) CGTAGGAAGGAA >mm10_chr4:53799640-53799651(-)::chr4:53799639-53799651(-) AGCAGGAAATAC >mm10_chr4:53900765-53900776(+)::chr4:53900764-53900776(+) AAGAGGAAGTCA >mm10_chr4:53900795-53900806(+)::chr4:53900794-53900806(+) ACAAGGAAGAAC >mm10_chr4:54214289-54214300(-)::chr4:54214288-54214300(-) GGGAGGAAGtgc >mm10_chr4:54328011-54328022(-)::chr4:54328010-54328022(-) ACCAGGAAGAAG >mm10_chr4:54375721-54375732(-)::chr4:54375720-54375732(-) agaaggaagagt >mm10_chr4:54375747-54375758(+)::chr4:54375746-54375758(+) agaaggaagctg >mm10_chr4:54556642-54556653(+)::chr4:54556641-54556653(+) AGAAGGAAGCAG >mm10_chr4:54637420-54637431(+)::chr4:54637419-54637431(+) GGCAGGAAGCCA >mm10_chr4:54719363-54719374(-)::chr4:54719362-54719374(-) AACAGGAAGAAG >mm10_chr4:54719374-54719385(-)::chr4:54719373-54719385(-) GTGAGGAAGTAA >mm10_chr4:54719416-54719427(-)::chr4:54719415-54719427(-) ATCAGGAAGAAG >mm10_chr4:54758034-54758045(-)::chr4:54758033-54758045(-) ACAAGGAAATGT >mm10_chr4:54813254-54813265(+)::chr4:54813253-54813265(+) AGGAGGAAGGCA >mm10_chr4:54853002-54853013(+)::chr4:54853001-54853013(+) AAGAGGAAGAAG >mm10_chr4:54853009-54853020(+)::chr4:54853008-54853020(+) AGAAGGCAGTGC >mm10_chr4:54853276-54853287(-)::chr4:54853275-54853287(-) GTGAGGAAGTGA >mm10_chr4:54853317-54853328(+)::chr4:54853316-54853328(+) ACCAGGAAGAGT >mm10_chr4:54853329-54853340(+)::chr4:54853328-54853340(+) ATAAGGAAGTGA >mm10_chr4:54950560-54950571(-)::chr4:54950559-54950571(-) AGCAGGAAGGCC >mm10_chr4:54950567-54950578(-)::chr4:54950566-54950578(-) CAAAGGAAGCAG >mm10_chr4:54950590-54950601(-)::chr4:54950589-54950601(-) AGAAGGAAAGGG >mm10_chr4:54950611-54950622(-)::chr4:54950610-54950622(-) AGAAGGAAAAAG >mm10_chr4:54954732-54954743(+)::chr4:54954731-54954743(+) GGAAAGAAGTTA >mm10_chr4:54962283-54962294(-)::chr4:54962282-54962294(-) TGCAGGAAGTAG >mm10_chr4:54979995-54980006(-)::chr4:54979994-54980006(-) AGCAGGAAGAGG >mm10_chr4:55040407-55040418(-)::chr4:55040406-55040418(-) ATCAGGAAGAAA >mm10_chr4:55040727-55040738(-)::chr4:55040726-55040738(-) ATGAGGAAGTAA >mm10_chr4:55113086-55113097(-)::chr4:55113085-55113097(-) AGCAGGAAGTAG >mm10_chr4:55113104-55113115(-)::chr4:55113103-55113115(-) AGAAGAAAGTGT >mm10_chr4:55141655-55141666(+)::chr4:55141654-55141666(+) ataaggaagacc >mm10_chr4:55205604-55205615(-)::chr4:55205603-55205615(-) CACAGGAAGTAC >mm10_chr4:55205626-55205637(+)::chr4:55205625-55205637(+) TAAAGGATGTTC >mm10_chr4:55214054-55214065(+)::chr4:55214053-55214065(+) TGCAGGAAGAGG >mm10_chr4:55214088-55214099(+)::chr4:55214087-55214099(+) aggaggaagtag >mm10_chr4:55214103-55214114(+)::chr4:55214102-55214114(+) aggaggaagagg >mm10_chr4:55304605-55304616(-)::chr4:55304604-55304616(-) AAGAGGAAGCTA >mm10_chr4:55332300-55332311(-)::chr4:55332299-55332311(-) tccaggaagtta >mm10_chr4:55426580-55426591(-)::chr4:55426579-55426591(-) AGCAGGAAGGAA >mm10_chr4:55426613-55426624(-)::chr4:55426612-55426624(-) agaaggaagaag >mm10_chr4:55426620-55426631(-)::chr4:55426619-55426631(-) aagaggaagaag >mm10_chr4:55426626-55426637(-)::chr4:55426625-55426637(-) aagaggaagagg >mm10_chr4:55508854-55508865(+)::chr4:55508853-55508865(+) atgaggaagcgt >mm10_chr4:55542870-55542881(+)::chr4:55542869-55542881(+) GCAGGGAAGTCA >mm10_chr4:55623499-55623510(-)::chr4:55623498-55623510(-) AAGAGGAAGTTA >mm10_chr4:55623506-55623517(-)::chr4:55623505-55623517(-) AGAAGGAAAGAG >mm10_chr4:55623563-55623574(-)::chr4:55623562-55623574(-) ATGAGGAAGAGT >mm10_chr4:55631322-55631333(-)::chr4:55631321-55631333(-) AGAAGGAAGTAG >mm10_chr4:55693656-55693667(-)::chr4:55693655-55693667(-) AGCAGGAAGTAG >mm10_chr4:55860238-55860249(-)::chr4:55860237-55860249(-) AAAAGGCAGTGC >mm10_chr4:55940354-55940365(-)::chr4:55940353-55940365(-) AATAGGAAGAAA >mm10_chr4:55987128-55987139(-)::chr4:55987127-55987139(-) TGAGGGAAGTGT >mm10_chr4:56026844-56026855(-)::chr4:56026843-56026855(-) AGCAGGAAGAAT >mm10_chr4:56026871-56026882(+)::chr4:56026870-56026882(+) GACAGGAAGTAC >mm10_chr4:56026882-56026893(-)::chr4:56026881-56026893(-) AGAAGGAAGCCG >mm10_chr4:56429924-56429935(-)::chr4:56429923-56429935(-) TAAAGGAACGGA >mm10_chr4:56429942-56429953(+)::chr4:56429941-56429953(+) TTGAGGAAGTGC >mm10_chr4:56429975-56429986(+)::chr4:56429974-56429986(+) AAAAGGAAATGA >mm10_chr4:56539541-56539552(-)::chr4:56539540-56539552(-) gggaggaaggaa >mm10_chr4:56611706-56611717(+)::chr4:56611705-56611717(+) TCAAGGAAGTTG >mm10_chr4:56612346-56612357(+)::chr4:56612345-56612357(+) aggaggaagagg >mm10_chr4:56612362-56612373(+)::chr4:56612361-56612373(+) tggaggaagaga >mm10_chr4:56612384-56612395(+)::chr4:56612383-56612395(+) agcaggaagagg >mm10_chr4:56612393-56612404(+)::chr4:56612392-56612404(+) aggaggaAGGCT >mm10_chr4:56612877-56612888(+)::chr4:56612876-56612888(+) ACCAGGAAATAA >mm10_chr4:56639426-56639437(-)::chr4:56639425-56639437(-) CAAAGGAAGCCA >mm10_chr4:56639459-56639470(-)::chr4:56639458-56639470(-) ATGAGGAAGAGC >mm10_chr4:56654660-56654671(-)::chr4:56654659-56654671(-) AGAAGGAAATGT >mm10_chr4:56793112-56793123(-)::chr4:56793111-56793123(-) TAGAGGAAGTAT >mm10_chr4:56793140-56793151(-)::chr4:56793139-56793151(-) tggaggaaatag >mm10_chr4:56793148-56793159(+)::chr4:56793147-56793159(+) tccaggaagaaa >mm10_chr4:56793187-56793198(+)::chr4:56793186-56793198(+) agcaggaaggtt >mm10_chr4:56847606-56847617(+)::chr4:56847605-56847617(+) agaaGGAAATGA >mm10_chr4:56854410-56854421(+)::chr4:56854409-56854421(+) ttaaggaactgc >mm10_chr4:56859192-56859203(-)::chr4:56859191-56859203(-) GGGAGGAAGGAT >mm10_chr4:56904316-56904327(-)::chr4:56904315-56904327(-) TGAAGGAAGTGA >mm10_chr4:56909667-56909678(+)::chr4:56909666-56909678(+) ACAAGGATGTAA >mm10_chr4:57031613-57031624(-)::chr4:57031612-57031624(-) TTCAGGAAGTCA >mm10_chr4:57049085-57049096(-)::chr4:57049084-57049096(-) ATAAGGAACTTT >mm10_chr4:57112797-57112808(-)::chr4:57112796-57112808(-) GGAAGGAAGGAG >mm10_chr4:57112801-57112812(-)::chr4:57112800-57112812(-) GTGAGGAAGGAA >mm10_chr4:57112809-57112820(-)::chr4:57112808-57112820(-) GGAAGGAGGTGA >mm10_chr4:57112813-57112824(-)::chr4:57112812-57112824(-) AGAAGGAAGGAG >mm10_chr4:57114877-57114888(-)::chr4:57114876-57114888(-) atcaggaaatta >mm10_chr4:57114953-57114964(+)::chr4:57114952-57114964(+) acaaggaaggaG >mm10_chr4:57122831-57122842(-)::chr4:57122830-57122842(-) ggaaggaaggGA >mm10_chr4:57122835-57122846(-)::chr4:57122834-57122846(-) ggaaggaaggaa >mm10_chr4:57122839-57122850(-)::chr4:57122838-57122850(-) ggaaggaaggaa >mm10_chr4:57122843-57122854(-)::chr4:57122842-57122854(-) ggaaggaaggaa >mm10_chr4:57123298-57123309(+)::chr4:57123297-57123309(+) TCCAGGAAGGCG >mm10_chr4:57154009-57154020(-)::chr4:57154008-57154020(-) CGAAGGAAAGGT >mm10_chr4:57275439-57275450(-)::chr4:57275438-57275450(-) GGGAGGAAGGAT >mm10_chr4:57275469-57275480(-)::chr4:57275468-57275480(-) GGAAGGAAGAAA >mm10_chr4:57275926-57275937(+)::chr4:57275925-57275937(+) TAAAGGAAGTGA >mm10_chr4:57279414-57279425(+)::chr4:57279413-57279425(+) GCCAGGAAGTGG >mm10_chr4:57282541-57282552(+)::chr4:57282540-57282552(+) TGAAGGAAGTGG >mm10_chr4:57288216-57288227(-)::chr4:57288215-57288227(-) AGGAGGAAGAAC >mm10_chr4:57300855-57300866(-)::chr4:57300854-57300866(-) CGCAGGAAGACA >mm10_chr4:57300876-57300887(-)::chr4:57300875-57300887(-) GGGAGGAAGGTG >mm10_chr4:57301735-57301746(-)::chr4:57301734-57301746(-) GCCAGGAAGTCA >mm10_chr4:57318897-57318908(-)::chr4:57318896-57318908(-) tgcaggaagcac >mm10_chr4:57347582-57347593(-)::chr4:57347581-57347593(-) gacaggaagacc >mm10_chr4:57556506-57556517(+)::chr4:57556505-57556517(+) gccaggaagaaa >mm10_chr4:57675615-57675626(+)::chr4:57675614-57675626(+) GCCAGGAAGTGT >mm10_chr4:57688421-57688432(+)::chr4:57688420-57688432(+) AGCAGGAAATAC >mm10_chr4:57777048-57777059(+)::chr4:57777047-57777059(+) CACAGGAAGTGA >mm10_chr4:57780945-57780956(-)::chr4:57780944-57780956(-) TTAGGGAAGTGA >mm10_chr4:57780983-57780994(+)::chr4:57780982-57780994(+) CAAAGGAAGTTA >mm10_chr4:57794793-57794804(-)::chr4:57794792-57794804(-) AGGAGGAAGTTC >mm10_chr4:57814184-57814195(+)::chr4:57814183-57814195(+) GGAAGGAAATCT >mm10_chr4:57847279-57847290(-)::chr4:57847278-57847290(-) TCAGGGAAGTAC >mm10_chr4:57848076-57848087(+)::chr4:57848075-57848087(+) ATGAGGAAGTTT >mm10_chr4:57856513-57856524(+)::chr4:57856512-57856524(+) GCAAGGAAGGAT >mm10_chr4:57860341-57860352(+)::chr4:57860340-57860352(+) AGGAGGAAGAGC >mm10_chr4:57860357-57860368(-)::chr4:57860356-57860368(-) TCGAGGAAGTCT >mm10_chr4:57914330-57914341(-)::chr4:57914329-57914341(-) AGGCGGAAGTGA >mm10_chr4:57927415-57927426(-)::chr4:57927414-57927426(-) AGTAGGAAGCAG >mm10_chr4:57930712-57930723(-)::chr4:57930711-57930723(-) tggaggaagtac >mm10_chr4:58035144-58035155(-)::chr4:58035143-58035155(-) aataggaagtag >mm10_chr4:58035203-58035214(-)::chr4:58035202-58035214(-) agcaggaagaca >mm10_chr4:58064925-58064936(+)::chr4:58064924-58064936(+) AGCAGGAAGTAG >mm10_chr4:58078119-58078130(+)::chr4:58078118-58078130(+) CAAAGGAAGGAA >mm10_chr4:58128685-58128696(+)::chr4:58128684-58128696(+) AGGAGGAAGAAC >mm10_chr4:58196975-58196986(-)::chr4:58196974-58196986(-) GAGAGGAAGAAT >mm10_chr4:58196993-58197004(-)::chr4:58196992-58197004(-) GGAAGGAAGAGA >mm10_chr4:58196997-58197008(-)::chr4:58196996-58197008(-) GAGAGGAAGGAA >mm10_chr4:58240666-58240677(-)::chr4:58240665-58240677(-) AGCAGGAAATAA >mm10_chr4:58287209-58287220(+)::chr4:58287208-58287220(+) CGTAGGAAGCAC >mm10_chr4:58374356-58374367(-)::chr4:58374355-58374367(-) CCAAGGAAGGAC >mm10_chr4:58426698-58426709(-)::chr4:58426697-58426709(-) AAGAGGAAGTGC >mm10_chr4:58435603-58435614(-)::chr4:58435602-58435614(-) AGGAGGAAGAAG >mm10_chr4:58463185-58463196(+)::chr4:58463184-58463196(+) TTCAGGAAGTGA >mm10_chr4:58464807-58464818(+)::chr4:58464806-58464818(+) ACCCGGAAGTCA >mm10_chr4:58538923-58538934(-)::chr4:58538922-58538934(-) GCCAGGAAGAAC >mm10_chr4:58617399-58617410(+)::chr4:58617398-58617410(+) ATAAGGAAGAGG >mm10_chr4:58647111-58647122(-)::chr4:58647110-58647122(-) ggaaggaaggga >mm10_chr4:58647115-58647126(-)::chr4:58647114-58647126(-) ggaaggaaggaa >mm10_chr4:58647119-58647130(-)::chr4:58647118-58647130(-) ttaaggaaggaa >mm10_chr4:58647128-58647139(-)::chr4:58647127-58647139(-) aaaagcaagtta >mm10_chr4:58736591-58736602(+)::chr4:58736590-58736602(+) ATAAGGATATAA >mm10_chr4:58832045-58832056(+)::chr4:58832044-58832056(+) aagaggaagaga >mm10_chr4:58832060-58832071(+)::chr4:58832059-58832071(+) agaaggaagagg >mm10_chr4:58832072-58832083(+)::chr4:58832071-58832083(+) aggaggaagagg >mm10_chr4:58832078-58832089(+)::chr4:58832077-58832089(+) aagaggaagaca >mm10_chr4:58832087-58832098(+)::chr4:58832086-58832098(+) acaaggaagagg >mm10_chr4:58832105-58832116(+)::chr4:58832104-58832116(+) aggaggaagggg >mm10_chr4:58912704-58912715(+)::chr4:58912703-58912715(+) CGGAGGAAGAGA >mm10_chr4:58912761-58912772(+)::chr4:58912760-58912772(+) aggaggaagagg >mm10_chr4:58913761-58913772(+)::chr4:58913760-58913772(+) tgaaggaagtat >mm10_chr4:58913812-58913823(-)::chr4:58913811-58913823(-) gacaggaagagg >mm10_chr4:58943987-58943998(-)::chr4:58943986-58943998(-) cggaggaaggac >mm10_chr4:58968165-58968176(+)::chr4:58968164-58968176(+) ACAAGGAAGTAG >mm10_chr4:59003088-59003099(+)::chr4:59003087-59003099(+) GGACGGAAGTGG >mm10_chr4:59096615-59096626(-)::chr4:59096614-59096626(-) GTAAGGAAGCCA >mm10_chr4:59118465-59118476(-)::chr4:59118464-59118476(-) AAAAGGAAGCAG >mm10_chr4:59149047-59149058(+)::chr4:59149046-59149058(+) acgaggaagtag >mm10_chr4:59164117-59164128(+)::chr4:59164116-59164128(+) ACAAGGAAGTCC >mm10_chr4:59164157-59164168(+)::chr4:59164156-59164168(+) GACAGGAAGTGA >mm10_chr4:59195374-59195385(-)::chr4:59195373-59195385(-) GGAAGGAAGGCG >mm10_chr4:59195390-59195401(-)::chr4:59195389-59195401(-) GCAAGGAAGGGG >mm10_chr4:59238823-59238834(-)::chr4:59238822-59238834(-) GGCAGGAAGTTG >mm10_chr4:59238867-59238878(-)::chr4:59238866-59238878(-) AAGAGGAAGAGG >mm10_chr4:59252424-59252435(-)::chr4:59252423-59252435(-) GCAAGGAAGCAG >mm10_chr4:59252888-59252899(-)::chr4:59252887-59252899(-) ACCAGGAAGGCA >mm10_chr4:59256757-59256768(-)::chr4:59256756-59256768(-) tcaaggaactgg >mm10_chr4:59323042-59323053(-)::chr4:59323041-59323053(-) TGGAGGAAGGGT >mm10_chr4:59331883-59331894(-)::chr4:59331882-59331894(-) AACAGGAAGTGG >mm10_chr4:59349854-59349865(+)::chr4:59349853-59349865(+) CACGGGAAGTGC >mm10_chr4:59355019-59355030(+)::chr4:59355018-59355030(+) aggaggaagtgg >mm10_chr4:59355031-59355042(+)::chr4:59355030-59355042(+) aacaggaagagg >mm10_chr4:59355037-59355048(+)::chr4:59355036-59355048(+) aagaggaagagg >mm10_chr4:59355055-59355066(+)::chr4:59355054-59355066(+) aaaaggaagaag >mm10_chr4:59355067-59355078(+)::chr4:59355066-59355078(+) aggaggaagggg >mm10_chr4:59355082-59355093(+)::chr4:59355081-59355093(+) aggaggaagaag >mm10_chr4:59372727-59372738(+)::chr4:59372726-59372738(+) ACCAGGAAGCCA >mm10_chr4:59393881-59393892(+)::chr4:59393880-59393892(+) aAGAGGAAGAAG >mm10_chr4:59420865-59420876(-)::chr4:59420864-59420876(-) GCAAGGCAGTCG >mm10_chr4:59431059-59431070(+)::chr4:59431058-59431070(+) aggaggaagagg >mm10_chr4:59431092-59431103(+)::chr4:59431091-59431103(+) AGGAGGAAGAGG >mm10_chr4:59431098-59431109(+)::chr4:59431097-59431109(+) AAGAGGAAATGA >mm10_chr4:59435108-59435119(+)::chr4:59435107-59435119(+) aggaggaagagg >mm10_chr4:59435126-59435137(+)::chr4:59435125-59435137(+) aggaggaagagg >mm10_chr4:59458242-59458253(+)::chr4:59458241-59458253(+) tgatggaagtat >mm10_chr4:59548587-59548598(+)::chr4:59548586-59548598(+) AAGAGGAAGCGT >mm10_chr4:59548863-59548874(+)::chr4:59548862-59548874(+) GAGAGGAAGTGT >mm10_chr4:59553652-59553663(-)::chr4:59553651-59553663(-) ACAAGGAAGTAG >mm10_chr4:59559068-59559079(+)::chr4:59559067-59559079(+) GCAAGGAAGGAG >mm10_chr4:59575499-59575510(+)::chr4:59575498-59575510(+) CTAAGGAAGTAT >mm10_chr4:59585303-59585314(+)::chr4:59585302-59585314(+) GTGAGGAAGAAT >mm10_chr4:59615011-59615022(-)::chr4:59615010-59615022(-) ATAAGGAAGACA >mm10_chr4:59712725-59712736(-)::chr4:59712724-59712736(-) ggcaggaagtag >mm10_chr4:59765302-59765313(-)::chr4:59765301-59765313(-) CCGGGGAAGTGA >mm10_chr4:59765315-59765326(-)::chr4:59765314-59765326(-) AACAGGAAGTGT >mm10_chr4:59815673-59815684(+)::chr4:59815672-59815684(+) accaggaagtca >mm10_chr4:59823548-59823559(-)::chr4:59823547-59823559(-) TACAGGAAGATC >mm10_chr4:59823565-59823576(+)::chr4:59823564-59823576(+) AGCAGGAAGAGT >mm10_chr4:59860928-59860939(+)::chr4:59860927-59860939(+) agaaggaagaag >mm10_chr4:59860963-59860974(+)::chr4:59860962-59860974(+) aagaggaagagg >mm10_chr4:59860978-59860989(+)::chr4:59860977-59860989(+) aggaggaagaaa >mm10_chr4:59862506-59862517(+)::chr4:59862505-59862517(+) GGGAGGAAGGGG >mm10_chr4:59864104-59864115(+)::chr4:59864103-59864115(+) AAACGGAAGGGA >mm10_chr4:59871135-59871146(+)::chr4:59871134-59871146(+) ACGAGGCAGTGT >mm10_chr4:59888230-59888241(+)::chr4:59888229-59888241(+) GGCAGGAAGGAG >mm10_chr4:59888275-59888286(+)::chr4:59888274-59888286(+) AAGAGGAAGGGT >mm10_chr4:59894620-59894631(-)::chr4:59894619-59894631(-) TGCCGGAAGTCG >mm10_chr4:59897892-59897903(-)::chr4:59897891-59897903(-) GCGAGGAAGCCA >mm10_chr4:59897918-59897929(+)::chr4:59897917-59897929(+) ACAAGGAAGGTG >mm10_chr4:59907725-59907736(-)::chr4:59907724-59907736(-) CTAAGGAAGTAT >mm10_chr4:59907761-59907772(+)::chr4:59907760-59907772(+) AGCAGGAAGGGA >mm10_chr4:61851387-61851398(+)::chr4:61851386-61851398(+) AACAGGAAGTAA >mm10_chr4:61856139-61856150(-)::chr4:61856138-61856150(-) gaaaggaaataa >mm10_chr4:62267381-62267392(-)::chr4:62267380-62267392(-) ACGAGGAACCGC >mm10_chr4:62284863-62284874(+)::chr4:62284862-62284874(+) ACAAGGAAGCTA >mm10_chr4:62295484-62295495(-)::chr4:62295483-62295495(-) aggaggaaggga >mm10_chr4:62295497-62295508(-)::chr4:62295496-62295508(-) acaaggaagggg >mm10_chr4:62295516-62295527(-)::chr4:62295515-62295527(-) agaaggaagaag >mm10_chr4:62301038-62301049(-)::chr4:62301037-62301049(-) GGCAGGAAGCCA >mm10_chr4:62313979-62313990(+)::chr4:62313978-62313990(+) AGCAGGAAGTGC >mm10_chr4:62322827-62322838(+)::chr4:62322826-62322838(+) tagaggaagtgg >mm10_chr4:62322866-62322877(-)::chr4:62322865-62322877(-) aacaggaagtgg >mm10_chr4:62329552-62329563(+)::chr4:62329551-62329563(+) AAGAGGAAGGAA >mm10_chr4:62340174-62340185(+)::chr4:62340173-62340185(+) ATAAGGAAGACT >mm10_chr4:62349562-62349573(-)::chr4:62349561-62349573(-) TTTAGGAAGTGG >mm10_chr4:62360450-62360461(+)::chr4:62360449-62360461(+) AGGAGGAAGTTG >mm10_chr4:62382940-62382951(-)::chr4:62382939-62382951(-) AGCAGGAAGACA >mm10_chr4:62422361-62422372(-)::chr4:62422360-62422372(-) ACGAGGAAGTGG >mm10_chr4:62434698-62434709(+)::chr4:62434697-62434709(+) AGGAGGAAGTCC >mm10_chr4:62439802-62439813(-)::chr4:62439801-62439813(-) GACAGGAAGTCT >mm10_chr4:62475985-62475996(-)::chr4:62475984-62475996(-) AGCAGGAAATGC >mm10_chr4:62476594-62476605(-)::chr4:62476593-62476605(-) gaaAGGAAGAGT >mm10_chr4:62476606-62476617(-)::chr4:62476605-62476617(-) aggaggaaggag >mm10_chr4:62476613-62476624(-)::chr4:62476612-62476624(-) aggaggaaggag >mm10_chr4:62476620-62476631(-)::chr4:62476619-62476631(-) TCAaggaaggag >mm10_chr4:62485054-62485065(-)::chr4:62485053-62485065(-) TGAAGGAAGTAA >mm10_chr4:62516345-62516356(+)::chr4:62516344-62516356(+) GGCAGGAAGAGG >mm10_chr4:62518610-62518621(+)::chr4:62518609-62518621(+) AGAAGGAAAAGG >mm10_chr4:62519953-62519964(+)::chr4:62519952-62519964(+) ACCCGGAAGCGG >mm10_chr4:62594113-62594124(-)::chr4:62594112-62594124(-) gggaggaagtcc >mm10_chr4:62594125-62594136(-)::chr4:62594124-62594136(-) agcaggaagcca >mm10_chr4:62607271-62607282(+)::chr4:62607270-62607282(+) AGAAGGAAGAGA >mm10_chr4:62608238-62608249(+)::chr4:62608237-62608249(+) AGCAGGAAGTAA >mm10_chr4:62608249-62608260(+)::chr4:62608248-62608260(+) ATGAGGAAGTCA >mm10_chr4:62615187-62615198(+)::chr4:62615186-62615198(+) AGAAGGAAGGAA >mm10_chr4:62615191-62615202(+)::chr4:62615190-62615202(+) GGAAGGAACTGA >mm10_chr4:62615251-62615262(-)::chr4:62615250-62615262(-) TCAAGGAAGTCA >mm10_chr4:62619507-62619518(-)::chr4:62619506-62619518(-) ACAAGGAAGAGG >mm10_chr4:62647026-62647037(-)::chr4:62647025-62647037(-) AGGAGGAAGGCT >mm10_chr4:62647081-62647092(+)::chr4:62647080-62647092(+) TCCAGGAAGTAC >mm10_chr4:62662474-62662485(-)::chr4:62662473-62662485(-) GGGAGGAAGGTA >mm10_chr4:62663608-62663619(-)::chr4:62663607-62663619(-) AAGAGGAAGAGG >mm10_chr4:62663614-62663625(-)::chr4:62663613-62663625(-) GACAGGAAGAGG >mm10_chr4:62663635-62663646(+)::chr4:62663634-62663646(+) GTGAGGAAGTCC >mm10_chr4:62666504-62666515(-)::chr4:62666503-62666515(-) GCAAGGAAGTCA >mm10_chr4:62671093-62671104(-)::chr4:62671092-62671104(-) ACCAGGAAGAGC >mm10_chr4:62674126-62674137(+)::chr4:62674125-62674137(+) aggaggaagagg >mm10_chr4:62674150-62674161(+)::chr4:62674149-62674161(+) aggaggaagaag >mm10_chr4:62681084-62681095(-)::chr4:62681083-62681095(-) GGAAGGAAGAGC >mm10_chr4:62681088-62681099(-)::chr4:62681087-62681099(-) TGAAGGAAGGAA >mm10_chr4:62688804-62688815(-)::chr4:62688803-62688815(-) AGGAGGAAGCAG >mm10_chr4:62689354-62689365(-)::chr4:62689353-62689365(-) ATTAGGAAGTAA >mm10_chr4:62722654-62722665(-)::chr4:62722653-62722665(-) AGAGGGAAGTCT >mm10_chr4:62733099-62733110(+)::chr4:62733098-62733110(+) CCAGGGAAGTGG >mm10_chr4:62743364-62743375(-)::chr4:62743363-62743375(-) ACCAGGAAATGC >mm10_chr4:62760609-62760620(-)::chr4:62760608-62760620(-) acaaggaagccg >mm10_chr4:62760627-62760638(+)::chr4:62760626-62760638(+) agtaggaagaac >mm10_chr4:62903663-62903674(-)::chr4:62903662-62903674(-) aggaggaagagg >mm10_chr4:62924627-62924638(-)::chr4:62924626-62924638(-) AGAAGGAAATAG >mm10_chr4:63037825-63037836(-)::chr4:63037824-63037836(-) ATCAGGAAGCAA >mm10_chr4:63038459-63038470(+)::chr4:63038458-63038470(+) AGGAGGAAGGAG >mm10_chr4:63039421-63039432(-)::chr4:63039420-63039432(-) ACAAGGAAGATG >mm10_chr4:63065075-63065086(+)::chr4:63065074-63065086(+) TTAAGGAAGAGA >mm10_chr4:63065102-63065113(-)::chr4:63065101-63065113(-) ACAGGGAAGTAC >mm10_chr4:63097738-63097749(+)::chr4:63097737-63097749(+) AGGAGGAAGCAA >mm10_chr4:63097902-63097913(-)::chr4:63097901-63097913(-) AGCAGGAAGACC >mm10_chr4:63140565-63140576(+)::chr4:63140564-63140576(+) ACAAGGAAATCA >mm10_chr4:63184805-63184816(-)::chr4:63184804-63184816(-) AAAAGGAAGCAG >mm10_chr4:63184828-63184839(-)::chr4:63184827-63184839(-) ggcaggaAGTGC >mm10_chr4:63213342-63213353(+)::chr4:63213341-63213353(+) AGAAGGAAGAAG >mm10_chr4:63213356-63213367(+)::chr4:63213355-63213367(+) AGAAGGAAGAAG >mm10_chr4:63274533-63274544(+)::chr4:63274532-63274544(+) TGAAGGAAGAGG >mm10_chr4:63274539-63274550(+)::chr4:63274538-63274550(+) AAGAGGAAGTCA >mm10_chr4:63348308-63348319(+)::chr4:63348307-63348319(+) tccaggaagggg >mm10_chr4:63348335-63348346(+)::chr4:63348334-63348346(+) tgaaggaAGTGA >mm10_chr4:63391497-63391508(+)::chr4:63391496-63391508(+) AGCAGGAAGTGC >mm10_chr4:63391524-63391535(-)::chr4:63391523-63391535(-) GGCAGGAAGTGA >mm10_chr4:63403433-63403444(+)::chr4:63403432-63403444(+) TGCAGGAAGCGC >mm10_chr4:63406721-63406732(-)::chr4:63406720-63406732(-) TTAGGGAAGTTA >mm10_chr4:63417548-63417559(-)::chr4:63417547-63417559(-) TGGAGGAAGTGC >mm10_chr4:63420277-63420288(+)::chr4:63420276-63420288(+) CCAAGGAAGACT >mm10_chr4:63420284-63420295(-)::chr4:63420283-63420295(-) TCTAGGAAGTCT >mm10_chr4:63440910-63440921(+)::chr4:63440909-63440921(+) aagaggaagagg >mm10_chr4:63440916-63440927(+)::chr4:63440915-63440927(+) aagaggaagagg >mm10_chr4:63440928-63440939(+)::chr4:63440927-63440939(+) aggaggaagagg >mm10_chr4:63466999-63467010(-)::chr4:63466998-63467010(-) GAAAGGAATTGG >mm10_chr4:63467008-63467019(-)::chr4:63467007-63467019(-) TAAAGGAAGGAA >mm10_chr4:63496173-63496184(+)::chr4:63496172-63496184(+) CCAAGGAAGAAA >mm10_chr4:63507781-63507792(-)::chr4:63507780-63507792(-) TGGAGGAAGCTA >mm10_chr4:63512697-63512708(+)::chr4:63512696-63512708(+) ttaaggaagtga >mm10_chr4:63512748-63512759(-)::chr4:63512747-63512759(-) tcgaggaagtga >mm10_chr4:63512770-63512781(-)::chr4:63512769-63512781(-) accaggaagcag >mm10_chr4:63541190-63541201(+)::chr4:63541189-63541201(+) GGAAGGAAGAAC >mm10_chr4:63545788-63545799(+)::chr4:63545787-63545799(+) TAGAGGAAGTGT >mm10_chr4:63556946-63556957(+)::chr4:63556945-63556957(+) AGGAGGAAGTGA >mm10_chr4:63639628-63639639(-)::chr4:63639627-63639639(-) ATAAAGAAGTCG >mm10_chr4:63639707-63639718(-)::chr4:63639706-63639718(-) ACAGGGAAGTCT >mm10_chr4:63709938-63709949(-)::chr4:63709937-63709949(-) GGAAGGAAGTCA >mm10_chr4:63709942-63709953(-)::chr4:63709941-63709953(-) GATAGGAAGGAA >mm10_chr4:63745503-63745514(+)::chr4:63745502-63745514(+) AACAGGAAATGG >mm10_chr4:63772503-63772514(-)::chr4:63772502-63772514(-) ACCAGGAAGATG >mm10_chr4:63772535-63772546(-)::chr4:63772534-63772546(-) AGAAGGCAGTTG >mm10_chr4:63772542-63772553(-)::chr4:63772541-63772553(-) AGGAGGAAGAAG >mm10_chr4:63772549-63772560(-)::chr4:63772548-63772560(-) GGAAGGAAGGAG >mm10_chr4:63897839-63897850(-)::chr4:63897838-63897850(-) AGAAGGAAGGAA >mm10_chr4:63899104-63899115(-)::chr4:63899103-63899115(-) GCCAGGAAGACG >mm10_chr4:63899126-63899137(-)::chr4:63899125-63899137(-) AGCAGGAAGGGC >mm10_chr4:63899455-63899466(+)::chr4:63899454-63899466(+) GGGAGGAAGAGG >mm10_chr4:63917058-63917069(-)::chr4:63917057-63917069(-) GGTAGGAAGCAA >mm10_chr4:63917105-63917116(+)::chr4:63917104-63917116(+) AACAGGAAGGAG >mm10_chr4:63917330-63917341(-)::chr4:63917329-63917341(-) TCACGGAAGTCT >mm10_chr4:63982556-63982567(+)::chr4:63982555-63982567(+) TATAGGAAGAAG >mm10_chr4:64009646-64009657(-)::chr4:64009645-64009657(-) AAAAGGAAATCT >mm10_chr4:64010018-64010029(-)::chr4:64010017-64010029(-) ACAAGGAAGAGG >mm10_chr4:64037650-64037661(-)::chr4:64037649-64037661(-) gagaggaagttg >mm10_chr4:64084117-64084128(+)::chr4:64084116-64084128(+) ACCAGGAAGTGC >mm10_chr4:64093112-64093123(-)::chr4:64093111-64093123(-) AGAGGGAAGTAA >mm10_chr4:64275052-64275063(-)::chr4:64275051-64275063(-) ATGAGGAAGGGT >mm10_chr4:64522114-64522125(+)::chr4:64522113-64522125(+) aggaggaagaga >mm10_chr4:64522154-64522165(+)::chr4:64522153-64522165(+) agaaggaaGCAT >mm10_chr4:64526796-64526807(-)::chr4:64526795-64526807(-) aagaggaagagg >mm10_chr4:64526802-64526813(-)::chr4:64526801-64526813(-) gggaggaagagg >mm10_chr4:64577843-64577854(-)::chr4:64577842-64577854(-) AAgaggaagtac >mm10_chr4:64577877-64577888(+)::chr4:64577876-64577888(+) TAAAGGAAATAC >mm10_chr4:64577893-64577904(+)::chr4:64577892-64577904(+) TACAGGAAGGAG >mm10_chr4:64685016-64685027(+)::chr4:64685015-64685027(+) ggcaggaaggta >mm10_chr4:64698062-64698073(-)::chr4:64698061-64698073(-) AGTAGGAAGCAA >mm10_chr4:64752293-64752304(+)::chr4:64752292-64752304(+) tcaaggaagacc >mm10_chr4:64967893-64967904(+)::chr4:64967892-64967904(+) TGGAGGAAGTGA >mm10_chr4:64967907-64967918(-)::chr4:64967906-64967918(-) AAGAGGAAGTTC >mm10_chr4:64983616-64983627(+)::chr4:64983615-64983627(+) ATAAGGAAACAC >mm10_chr4:65372833-65372844(-)::chr4:65372832-65372844(-) tcagggaagtGG >mm10_chr4:65373493-65373504(+)::chr4:65373492-65373504(+) ATGAGGAAGCTA >mm10_chr4:65373546-65373557(+)::chr4:65373545-65373557(+) TCCAGGAAGAAA >mm10_chr4:65376732-65376743(+)::chr4:65376731-65376743(+) TTTAGGAAGTTC >mm10_chr4:65508288-65508299(+)::chr4:65508287-65508299(+) GGGAGGAAGTTT >mm10_chr4:65508342-65508353(-)::chr4:65508341-65508353(-) TAAAGGAAGGTC >mm10_chr4:65509155-65509166(-)::chr4:65509154-65509166(-) CCAAGGAAGAAT >mm10_chr4:65523529-65523540(+)::chr4:65523528-65523540(+) AGAAGGAAAAGA >mm10_chr4:65565650-65565661(-)::chr4:65565649-65565661(-) AAGAGGAAGTTA >mm10_chr4:65583707-65583718(+)::chr4:65583706-65583718(+) AGAAGGAAAAGG >mm10_chr4:65583713-65583724(+)::chr4:65583712-65583724(+) AAAAGGAAGTGT >mm10_chr4:65604985-65604996(+)::chr4:65604984-65604996(+) GGAAGGACGTGC >mm10_chr4:65610482-65610493(-)::chr4:65610481-65610493(-) AGAAGGAAAAGT >mm10_chr4:65638565-65638576(+)::chr4:65638564-65638576(+) AAGAGGAAGTGA >mm10_chr4:65684702-65684713(+)::chr4:65684701-65684713(+) ATCAGGAAGCTG >mm10_chr4:65684730-65684741(-)::chr4:65684729-65684741(-) AGAAGGAAGCCA >mm10_chr4:65820217-65820228(+)::chr4:65820216-65820228(+) AAGAGGAAGGGT >mm10_chr4:65820245-65820256(-)::chr4:65820244-65820256(-) AGGAGGAAGAAT >mm10_chr4:65867524-65867535(-)::chr4:65867523-65867535(-) aggaggaagaaa >mm10_chr4:66043485-66043496(-)::chr4:66043484-66043496(-) AAGAGGAAGTGA >mm10_chr4:66057413-66057424(+)::chr4:66057412-66057424(+) AGGAGGAAGTGG >mm10_chr4:66057458-66057469(+)::chr4:66057457-66057469(+) AGGAGGAAGAGG >mm10_chr4:66097634-66097645(-)::chr4:66097633-66097645(-) ACAAGGAAGAAT >mm10_chr4:66097648-66097659(+)::chr4:66097647-66097659(+) TTCAGGAAGTAT >mm10_chr4:66199687-66199698(-)::chr4:66199686-66199698(-) ACAAGGAAGAAT >mm10_chr4:66213292-66213303(+)::chr4:66213291-66213303(+) GACAGGAAGTaa >mm10_chr4:66213352-66213363(+)::chr4:66213351-66213363(+) caaaggaaattg >mm10_chr4:66229660-66229671(-)::chr4:66229659-66229671(-) AGCAGGAAGAAG >mm10_chr4:66418077-66418088(-)::chr4:66418076-66418088(-) AGAAGGAAACAT >mm10_chr4:66425846-66425857(+)::chr4:66425845-66425857(+) AGCAGGAAGGAA >mm10_chr4:66425858-66425869(-)::chr4:66425857-66425869(-) TGAAGGATGTGG >mm10_chr4:66425913-66425924(-)::chr4:66425912-66425924(-) GGAAGGAAGCCC >mm10_chr4:66495297-66495308(-)::chr4:66495296-66495308(-) agaaagaagtat >mm10_chr4:66523689-66523700(+)::chr4:66523688-66523700(+) AACAGGAAGGAG >mm10_chr4:66827530-66827541(-)::chr4:66827529-66827541(-) CCCAGGAAGTCA >mm10_chr4:66827590-66827601(-)::chr4:66827589-66827601(-) AACAGGAAGAAG >mm10_chr4:66828908-66828919(+)::chr4:66828907-66828919(+) ACAAGGAAGGAA >mm10_chr4:66828912-66828923(+)::chr4:66828911-66828923(+) GGAAGGAATTCG >mm10_chr4:66852088-66852099(+)::chr4:66852087-66852099(+) CTGAGGAAGTTC >mm10_chr4:66869836-66869847(+)::chr4:66869835-66869847(+) AAAAGGAAGGAT >mm10_chr4:66891568-66891579(+)::chr4:66891567-66891579(+) AGGAGGAAGTCC >mm10_chr4:66894118-66894129(+)::chr4:66894117-66894129(+) AGAAGGAAGAAA >mm10_chr4:67388043-67388054(+)::chr4:67388042-67388054(+) ATAAGGAAGTTA >mm10_chr4:67388052-67388063(+)::chr4:67388051-67388063(+) TTAAGGAAGTAA >mm10_chr4:67891978-67891989(-)::chr4:67891977-67891989(-) ACAAGGAAAAAC >mm10_chr4:68166250-68166261(+)::chr4:68166249-68166261(+) GAGAGGAAGGGG >mm10_chr4:69015285-69015296(+)::chr4:69015284-69015296(+) ACAAGGAAGCCC >mm10_chr4:69390319-69390330(-)::chr4:69390318-69390330(-) GAAAGGAAGTTG >mm10_chr4:69390327-69390338(-)::chr4:69390326-69390338(-) GACAGGAAGAAA >mm10_chr4:69556941-69556952(-)::chr4:69556940-69556952(-) cataggaagttt >mm10_chr4:69622532-69622543(-)::chr4:69622531-69622543(-) AGAAGGAAGGAG >mm10_chr4:69652337-69652348(+)::chr4:69652336-69652348(+) ataaggaacatg >mm10_chr4:69782270-69782281(+)::chr4:69782269-69782281(+) AGAAGGAAGCAG >mm10_chr4:69782277-69782288(+)::chr4:69782276-69782288(+) AGCAGGAAGAAG >mm10_chr4:69782476-69782487(+)::chr4:69782475-69782487(+) AGGAGGAACTAA >mm10_chr4:69822150-69822161(+)::chr4:69822149-69822161(+) acaaggaactaa >mm10_chr4:69823607-69823618(+)::chr4:69823606-69823618(+) AGAAGGAAGTCC >mm10_chr4:69838771-69838782(+)::chr4:69838770-69838782(+) CCTAGGAAGTGA >mm10_chr4:69841727-69841738(+)::chr4:69841726-69841738(+) GAAAGGAAGAGG >mm10_chr4:69841733-69841744(+)::chr4:69841732-69841744(+) AAGAGGAAGAAG >mm10_chr4:69868027-69868038(-)::chr4:69868026-69868038(-) AAAAGGAAGTCA >mm10_chr4:69868556-69868567(-)::chr4:69868555-69868567(-) ACCAGGAAATAT >mm10_chr4:69896679-69896690(+)::chr4:69896678-69896690(+) atagggaaatga >mm10_chr4:69932164-69932175(+)::chr4:69932163-69932175(+) ATGAGGAAATAA >mm10_chr4:69971128-69971139(-)::chr4:69971127-69971139(-) ATGAGGAAGAAG >mm10_chr4:70102067-70102078(-)::chr4:70102066-70102078(-) aacaggaaggta >mm10_chr4:70102083-70102094(-)::chr4:70102082-70102094(-) gtaaggaagctc >mm10_chr4:70257078-70257089(+)::chr4:70257077-70257089(+) TACAGGAAGATC >mm10_chr4:70257438-70257449(-)::chr4:70257437-70257449(-) ACAAGGAAGTGC >mm10_chr4:70641354-70641365(-)::chr4:70641353-70641365(-) gaaaggaagaac >mm10_chr4:70641383-70641394(-)::chr4:70641382-70641394(-) ataaggaagtta >mm10_chr4:70732070-70732081(+)::chr4:70732069-70732081(+) ACAAGGAAGCAT >mm10_chr4:70749402-70749413(+)::chr4:70749401-70749413(+) accaggaagaca >mm10_chr4:70749426-70749437(-)::chr4:70749425-70749437(-) accaggaagcag >mm10_chr4:70798433-70798444(-)::chr4:70798432-70798444(-) AGAAGGAAGTAA >mm10_chr4:71363853-71363864(+)::chr4:71363852-71363864(+) GCAAGGAAGCCA >mm10_chr4:71363888-71363899(+)::chr4:71363887-71363899(+) AAAAGGAAGTAC >mm10_chr4:71431700-71431711(+)::chr4:71431699-71431711(+) atagggaagtaa >mm10_chr4:71431741-71431752(+)::chr4:71431740-71431752(+) aaggggaagtac >mm10_chr4:71432655-71432666(+)::chr4:71432654-71432666(+) AGAAGGAAATGA >mm10_chr4:71468488-71468499(+)::chr4:71468487-71468499(+) aggaggaagagg >mm10_chr4:71468525-71468536(+)::chr4:71468524-71468536(+) aagaggaagaaa >mm10_chr4:71468549-71468560(+)::chr4:71468548-71468560(+) aagaggaagtag >mm10_chr4:71489055-71489066(+)::chr4:71489054-71489066(+) ATGAGGAAGTGT >mm10_chr4:71489101-71489112(+)::chr4:71489100-71489112(+) GGCAGGAAGTGT >mm10_chr4:71626801-71626812(+)::chr4:71626800-71626812(+) ACAAGGAAGAAT >mm10_chr4:71910989-71911000(-)::chr4:71910988-71911000(-) AAGAGGAAGAAA >mm10_chr4:72000022-72000033(-)::chr4:72000021-72000033(-) AAGAGGAAGACC >mm10_chr4:72000028-72000039(-)::chr4:72000027-72000039(-) AAAAGGAAGAGG >mm10_chr4:72068899-72068910(-)::chr4:72068898-72068910(-) TCCAGGAAGTCA >mm10_chr4:72068933-72068944(-)::chr4:72068932-72068944(-) ACAAGGAAATGT >mm10_chr4:72106534-72106545(+)::chr4:72106533-72106545(+) aggaggaagaaa >mm10_chr4:72131657-72131668(+)::chr4:72131656-72131668(+) TCGAGGAAGTGC >mm10_chr4:72150273-72150284(+)::chr4:72150272-72150284(+) agcaggaagctc >mm10_chr4:72174091-72174102(+)::chr4:72174090-72174102(+) taaatgaagtta >mm10_chr4:72195247-72195258(+)::chr4:72195246-72195258(+) ATCAGGAAGTGT >mm10_chr4:72195293-72195304(+)::chr4:72195292-72195304(+) CCAAGGAAGGAA >mm10_chr4:72201370-72201381(+)::chr4:72201369-72201381(+) TGAAGGAAATCT >mm10_chr4:72231025-72231036(-)::chr4:72231024-72231036(-) ttcaggaagtag >mm10_chr4:72232470-72232481(-)::chr4:72232469-72232481(-) ACAAGGAACTTC >mm10_chr4:72245748-72245759(+)::chr4:72245747-72245759(+) agaaggaaggaa >mm10_chr4:72245752-72245763(+)::chr4:72245751-72245763(+) ggaaggaaggaa >mm10_chr4:72245756-72245767(+)::chr4:72245755-72245767(+) ggaaggaagaag >mm10_chr4:72245763-72245774(+)::chr4:72245762-72245774(+) agaaggaaggga >mm10_chr4:72245778-72245789(+)::chr4:72245777-72245789(+) aagaggaaggga >mm10_chr4:72315085-72315096(-)::chr4:72315084-72315096(-) gccaggaagata >mm10_chr4:72315130-72315141(+)::chr4:72315129-72315141(+) TTCAGGAAGTCA >mm10_chr4:72865502-72865513(+)::chr4:72865501-72865513(+) gtaaggaaggaa >mm10_chr4:72865506-72865517(+)::chr4:72865505-72865517(+) ggaaggaagcag >mm10_chr4:73304146-73304157(-)::chr4:73304145-73304157(-) gcaaggaactgt >mm10_chr4:73774459-73774470(-)::chr4:73774458-73774470(-) GACAGGAAGTTG >mm10_chr4:73774524-73774535(+)::chr4:73774523-73774535(+) AGCAGGAAGAAT >mm10_chr4:73793061-73793072(-)::chr4:73793060-73793072(-) ctaaggaagtat >mm10_chr4:73793086-73793097(+)::chr4:73793085-73793097(+) acaaggaaatct >mm10_chr4:73986365-73986376(-)::chr4:73986364-73986376(-) tagaggaagtgt >mm10_chr4:74027027-74027038(-)::chr4:74027026-74027038(-) AACAGGAAGACA >mm10_chr4:74241850-74241861(+)::chr4:74241849-74241861(+) ACAAGGAAGCCA >mm10_chr4:74251706-74251717(+)::chr4:74251705-74251717(+) AAAAGGAAATAC >mm10_chr4:74251744-74251755(+)::chr4:74251743-74251755(+) AGGCGGAAGTCT >mm10_chr4:74282670-74282681(-)::chr4:74282669-74282681(-) GAAAGGAAGAAC >mm10_chr4:74282684-74282695(-)::chr4:74282683-74282695(-) AAGAGGAAGGAA >mm10_chr4:74357661-74357672(-)::chr4:74357660-74357672(-) AGAAGGAAGGGG >mm10_chr4:74357679-74357690(-)::chr4:74357678-74357690(-) GGGAGGAAGTAC >mm10_chr4:74687990-74688001(-)::chr4:74687989-74688001(-) AGAAGGAACTGT >mm10_chr4:75838224-75838235(+)::chr4:75838223-75838235(+) TTGAGGAAGTAG >mm10_chr4:76424929-76424940(+)::chr4:76424928-76424940(+) GTCAGGAAGAGA >mm10_chr4:76450019-76450030(-)::chr4:76450018-76450030(-) agcagGAAGGAG >mm10_chr4:77526029-77526040(+)::chr4:77526028-77526040(+) CACAGGAAATAA >mm10_chr4:77526041-77526052(+)::chr4:77526040-77526052(+) AGGAGGAAGGGG >mm10_chr4:80210780-80210791(-)::chr4:80210779-80210791(-) ACAAGGAAGTTA >mm10_chr4:80210834-80210845(+)::chr4:80210833-80210845(+) AAAAGGAAGTAG >mm10_chr4:80586218-80586229(-)::chr4:80586217-80586229(-) ccaaggaagagg >mm10_chr4:80628372-80628383(-)::chr4:80628371-80628383(-) ATACGGAAATTT >mm10_chr4:80655483-80655494(-)::chr4:80655482-80655494(-) CAAAGGAAGACT >mm10_chr4:80714414-80714425(+)::chr4:80714413-80714425(+) TATAGGAAGGTG >mm10_chr4:80971929-80971940(+)::chr4:80971928-80971940(+) GTAAGGAAGAAA >mm10_chr4:80972138-80972149(-)::chr4:80972137-80972149(-) TTGAGGAAGTTC >mm10_chr4:81006714-81006725(-)::chr4:81006713-81006725(-) TGAAGTAAGTAA >mm10_chr4:81006757-81006768(+)::chr4:81006756-81006768(+) TTAAGGAAGTTA >mm10_chr4:81178980-81178991(-)::chr4:81178979-81178991(-) GGAAGGAAGTCC >mm10_chr4:81250405-81250416(+)::chr4:81250404-81250416(+) CCAAGGAAATAA >mm10_chr4:81256391-81256402(+)::chr4:81256390-81256402(+) GAAAGGAAATGC >mm10_chr4:81256831-81256842(-)::chr4:81256830-81256842(-) aagaggaaggaa >mm10_chr4:81287503-81287514(-)::chr4:81287502-81287514(-) AGAAGCAAGTGT >mm10_chr4:81292673-81292684(+)::chr4:81292672-81292684(+) GAGAGGAAGGAG >mm10_chr4:81292680-81292691(+)::chr4:81292679-81292691(+) AGGAGGAAGAGG >mm10_chr4:81292693-81292704(+)::chr4:81292692-81292704(+) CGAAGGAAGAAA >mm10_chr4:81373909-81373920(+)::chr4:81373908-81373920(+) tagaggaagaag >mm10_chr4:81373916-81373927(+)::chr4:81373915-81373927(+) agaaggaagggg >mm10_chr4:81420300-81420311(+)::chr4:81420299-81420311(+) AGGAGGAAATCC >mm10_chr4:81420344-81420355(-)::chr4:81420343-81420355(-) AGAAGGAAAGGG >mm10_chr4:81420364-81420375(+)::chr4:81420363-81420375(+) ACAAGGAACTTC >mm10_chr4:81420369-81420380(-)::chr4:81420368-81420380(-) ATCGGGAAGTTC >mm10_chr4:81518084-81518095(+)::chr4:81518083-81518095(+) ACGAGGAAATAC >mm10_chr4:81621861-81621872(+)::chr4:81621860-81621872(+) GTCAGGAAGCAT >mm10_chr4:81621892-81621903(-)::chr4:81621891-81621903(-) AAAAGGAAGGGA >mm10_chr4:81639324-81639335(+)::chr4:81639323-81639335(+) atgaggaagaga >mm10_chr4:81639336-81639347(+)::chr4:81639335-81639347(+) aagaggaagagg >mm10_chr4:81639342-81639353(+)::chr4:81639341-81639353(+) aagaggaagaga >mm10_chr4:81639360-81639371(+)::chr4:81639359-81639371(+) aggaggaaggga >mm10_chr4:81651451-81651462(-)::chr4:81651450-81651462(-) ACAAGGAAAAGA >mm10_chr4:81651472-81651483(+)::chr4:81651471-81651483(+) TCCAGGAAGAAT >mm10_chr4:81928830-81928841(-)::chr4:81928829-81928841(-) AGAAGGAAAGAC >mm10_chr4:81953206-81953217(-)::chr4:81953205-81953217(-) ggaagtaagtcc >mm10_chr4:81953210-81953221(-)::chr4:81953209-81953221(-) tacaggaagtaa >mm10_chr4:81953264-81953275(-)::chr4:81953263-81953275(-) gaaatgaagtat >mm10_chr4:82100048-82100059(+)::chr4:82100047-82100059(+) TTGAGGAAGTTC >mm10_chr4:82166264-82166275(-)::chr4:82166263-82166275(-) ATGAGGAAGTGG >mm10_chr4:82266459-82266470(-)::chr4:82266458-82266470(-) GGCAGGAAGCAG >mm10_chr4:82272830-82272841(+)::chr4:82272829-82272841(+) AGGAGGAAATGT >mm10_chr4:82273598-82273609(+)::chr4:82273597-82273609(+) AGAAGGAAACAG >mm10_chr4:82283560-82283571(-)::chr4:82283559-82283571(-) GTACGGAAGTTG >mm10_chr4:82304391-82304402(+)::chr4:82304390-82304402(+) AAACGGAAGATT >mm10_chr4:82316969-82316980(+)::chr4:82316968-82316980(+) GACAGGAAGGAG >mm10_chr4:82317001-82317012(+)::chr4:82317000-82317012(+) GGCAGGAAGCAG >mm10_chr4:82317022-82317033(+)::chr4:82317021-82317033(+) ACAGGGAAGTCA >mm10_chr4:82339000-82339011(+)::chr4:82338999-82339011(+) gagaggaagaaa >mm10_chr4:82387162-82387173(-)::chr4:82387161-82387173(-) TCCAGGAAGGTA >mm10_chr4:82394411-82394422(+)::chr4:82394410-82394422(+) ATGAGGAAGAAC >mm10_chr4:82394483-82394494(-)::chr4:82394482-82394494(-) ATAAGGATGTGT >mm10_chr4:82400122-82400133(+)::chr4:82400121-82400133(+) AAGAGGAAGACA >mm10_chr4:82400130-82400141(+)::chr4:82400129-82400141(+) GACAGGAAGCAG >mm10_chr4:82405178-82405189(+)::chr4:82405177-82405189(+) agaaggaaagga >mm10_chr4:82405190-82405201(+)::chr4:82405189-82405201(+) atgaggaaatga >mm10_chr4:82405199-82405210(+)::chr4:82405198-82405210(+) tgaaggaagaag >mm10_chr4:82405209-82405220(+)::chr4:82405208-82405220(+) agcaggaagtag >mm10_chr4:82409875-82409886(+)::chr4:82409874-82409886(+) AACAGGAAGAAT >mm10_chr4:82409932-82409943(-)::chr4:82409931-82409943(-) AGAAGGAAGAAC >mm10_chr4:82412178-82412189(-)::chr4:82412177-82412189(-) AGAAGGAAGTAG >mm10_chr4:82432100-82432111(-)::chr4:82432099-82432111(-) CGCAGGAAGGGC >mm10_chr4:82432372-82432383(+)::chr4:82432371-82432383(+) ACCAGGAAATGT >mm10_chr4:82432454-82432465(-)::chr4:82432453-82432465(-) ATAAGGAAGCCA >mm10_chr4:82435881-82435892(-)::chr4:82435880-82435892(-) AGAAGGAAATGC >mm10_chr4:82444246-82444257(+)::chr4:82444245-82444257(+) tccaggaagaat >mm10_chr4:82455944-82455955(+)::chr4:82455943-82455955(+) TGGAGGAAATTA >mm10_chr4:82455958-82455969(+)::chr4:82455957-82455969(+) TAAAGGAAGAAG >mm10_chr4:82457791-82457802(+)::chr4:82457790-82457802(+) GGCAGGAAGAGA >mm10_chr4:82457804-82457815(+)::chr4:82457803-82457815(+) TAAAGGAAGATA >mm10_chr4:82459151-82459162(+)::chr4:82459150-82459162(+) AGGAGGAAGAGC >mm10_chr4:82467861-82467872(+)::chr4:82467860-82467872(+) AGGAGGAAGCCA >mm10_chr4:82483504-82483515(-)::chr4:82483503-82483515(-) GTAAGGAAGTCA >mm10_chr4:82493560-82493571(+)::chr4:82493559-82493571(+) AGCAGGAAGGGC >mm10_chr4:82493597-82493608(-)::chr4:82493596-82493608(-) GAAGGGAAGTTG >mm10_chr4:82506558-82506569(-)::chr4:82506557-82506569(-) cgcgggAAGGGA >mm10_chr4:82511222-82511233(+)::chr4:82511221-82511233(+) ACCGGGAAGTCA >mm10_chr4:82528497-82528508(-)::chr4:82528496-82528508(-) ATGAGGAAATGT >mm10_chr4:82543288-82543299(-)::chr4:82543287-82543299(-) AGAAGGAAGGGG >mm10_chr4:82547679-82547690(-)::chr4:82547678-82547690(-) acaaggaagccc >mm10_chr4:82547719-82547730(+)::chr4:82547718-82547730(+) agaaggaaatta >mm10_chr4:82567134-82567145(+)::chr4:82567133-82567145(+) TAAAGGAAGTCT >mm10_chr4:82568642-82568653(+)::chr4:82568641-82568653(+) tcaaggaagaag >mm10_chr4:82568649-82568660(+)::chr4:82568648-82568660(+) agaaggaaaggt >mm10_chr4:82591475-82591486(+)::chr4:82591474-82591486(+) tcaagcaagtat >mm10_chr4:82593066-82593077(-)::chr4:82593065-82593077(-) aggaggaagggg >mm10_chr4:82594462-82594473(+)::chr4:82594461-82594473(+) ATGAGGAAATGG >mm10_chr4:82608489-82608500(+)::chr4:82608488-82608500(+) AGCAGGAAGCAG >mm10_chr4:82677172-82677183(-)::chr4:82677171-82677183(-) GGAAGGAAGGGG >mm10_chr4:82677176-82677187(-)::chr4:82677175-82677187(-) GAAAGGAAGGAA >mm10_chr4:82677186-82677197(-)::chr4:82677185-82677197(-) AGGAGGAAGAGA >mm10_chr4:82684415-82684426(-)::chr4:82684414-82684426(-) TCAAGGAAGACT >mm10_chr4:82693127-82693138(+)::chr4:82693126-82693138(+) GAAAGGAATTGA >mm10_chr4:82693146-82693157(+)::chr4:82693145-82693157(+) AGAaggaaggga >mm10_chr4:82693159-82693170(+)::chr4:82693158-82693170(+) ggaaggaagaag >mm10_chr4:82693166-82693177(+)::chr4:82693165-82693177(+) agaaggaaggga >mm10_chr4:82708713-82708724(+)::chr4:82708712-82708724(+) AGAAGGAAGTTG >mm10_chr4:82735276-82735287(-)::chr4:82735275-82735287(-) CCGAGGAAATTA >mm10_chr4:82766651-82766662(+)::chr4:82766650-82766662(+) TCGAGGAAGTGT >mm10_chr4:82766708-82766719(-)::chr4:82766707-82766719(-) GCAAGGAAGGTA >mm10_chr4:82813584-82813595(-)::chr4:82813583-82813595(-) gttaggaagtgt >mm10_chr4:82813619-82813630(-)::chr4:82813618-82813630(-) tccaggaagtgg >mm10_chr4:82859851-82859862(-)::chr4:82859850-82859862(-) AGGAGGAAGCGA >mm10_chr4:82867255-82867266(+)::chr4:82867254-82867266(+) GGCAGGAAGTAG >mm10_chr4:82881949-82881960(-)::chr4:82881948-82881960(-) TTGAGGAAGTTA >mm10_chr4:82900665-82900676(-)::chr4:82900664-82900676(-) AGAAGGAAATAG >mm10_chr4:82900672-82900683(-)::chr4:82900671-82900683(-) AGCAGGAAGAAG >mm10_chr4:82921433-82921444(+)::chr4:82921432-82921444(+) ATAGGGAAGGAG >mm10_chr4:82933341-82933352(-)::chr4:82933340-82933352(-) gaaaggaagaaa >mm10_chr4:82933350-82933361(-)::chr4:82933349-82933361(-) agaaggaaagaa >mm10_chr4:83098231-83098242(+)::chr4:83098230-83098242(+) GGCAGGAAGTCC >mm10_chr4:83117287-83117298(-)::chr4:83117286-83117298(-) GGAATGAAGTGG >mm10_chr4:83200132-83200143(+)::chr4:83200131-83200143(+) ATAAGGAAACAG >mm10_chr4:83315473-83315484(+)::chr4:83315472-83315484(+) CTGAGGAAGTGC >mm10_chr4:83422648-83422659(+)::chr4:83422647-83422659(+) AGGAGGAAATGA >mm10_chr4:83422671-83422682(-)::chr4:83422670-83422682(-) TGCAGGAAGTTC >mm10_chr4:83422679-83422690(+)::chr4:83422678-83422690(+) TGCAGGAAGAGA >mm10_chr4:83433080-83433091(+)::chr4:83433079-83433091(+) GGGAGGAAGGGC >mm10_chr4:83433139-83433150(-)::chr4:83433138-83433150(-) ACAAGGAAGTAA >mm10_chr4:83486860-83486871(-)::chr4:83486859-83486871(-) AGAAGGATGCGA >mm10_chr4:83534853-83534864(+)::chr4:83534852-83534864(+) TCCAGGAAGTCG >mm10_chr4:83534888-83534899(-)::chr4:83534887-83534899(-) AAGAGGAAGCAA >mm10_chr4:83664950-83664961(-)::chr4:83664949-83664961(-) agcaggaagaga >mm10_chr4:83664957-83664968(-)::chr4:83664956-83664968(-) TAcaggaagcag >mm10_chr4:83689588-83689599(+)::chr4:83689587-83689599(+) ttaaggaaatgc >mm10_chr4:83889371-83889382(-)::chr4:83889370-83889382(-) ACCAGGAAGAAT >mm10_chr4:83918953-83918964(-)::chr4:83918952-83918964(-) ATGAGGAAATCA >mm10_chr4:83925751-83925762(-)::chr4:83925750-83925762(-) aaaagaaagtag >mm10_chr4:83955832-83955843(-)::chr4:83955831-83955843(-) GGAAGGAAGGAC >mm10_chr4:83955836-83955847(-)::chr4:83955835-83955847(-) AGCAGGAAGGAA >mm10_chr4:83979926-83979937(+)::chr4:83979925-83979937(+) AGAAGGAAGCTC >mm10_chr4:84015657-84015668(+)::chr4:84015656-84015668(+) GGAGGGAAGTAA >mm10_chr4:84131139-84131150(+)::chr4:84131138-84131150(+) GGTAGGAAATAG >mm10_chr4:84131154-84131165(-)::chr4:84131153-84131165(-) GCAAGGAAGTAG >mm10_chr4:84155367-84155378(-)::chr4:84155366-84155378(-) aagaggaagagg >mm10_chr4:84155373-84155384(-)::chr4:84155372-84155384(-) aagaggaagagg >mm10_chr4:84155379-84155390(-)::chr4:84155378-84155390(-) aggaggaagagg >mm10_chr4:84155457-84155468(-)::chr4:84155456-84155468(-) aagaggaagagg >mm10_chr4:84155463-84155474(-)::chr4:84155462-84155474(-) aagaggaagagg >mm10_chr4:84155469-84155480(-)::chr4:84155468-84155480(-) aagaggaagagg >mm10_chr4:84155475-84155486(-)::chr4:84155474-84155486(-) aagaggaagagg >mm10_chr4:84173674-84173685(-)::chr4:84173673-84173685(-) tcagggaagtca >mm10_chr4:84187516-84187527(+)::chr4:84187515-84187527(+) TCCAGGAAGTAG >mm10_chr4:84286496-84286507(+)::chr4:84286495-84286507(+) AACAGGAAGCAG >mm10_chr4:84361356-84361367(+)::chr4:84361355-84361367(+) AAAAGGAAGCAA >mm10_chr4:84362514-84362525(+)::chr4:84362513-84362525(+) AAGAGGAAGCAT >mm10_chr4:84379762-84379773(+)::chr4:84379761-84379773(+) CTGAGGAAGTGA >mm10_chr4:84379794-84379805(-)::chr4:84379793-84379805(-) TGAAGGAAGTGT >mm10_chr4:84407741-84407752(+)::chr4:84407740-84407752(+) GGCAGGAAATGG >mm10_chr4:84407770-84407781(+)::chr4:84407769-84407781(+) TGAAGCAAGTAC >mm10_chr4:84409562-84409573(-)::chr4:84409561-84409573(-) TGCAGGAAGATG >mm10_chr4:84545328-84545339(-)::chr4:84545327-84545339(-) AAGAGGAAGCGA >mm10_chr4:84639471-84639482(+)::chr4:84639470-84639482(+) GGCAGGAAGAAG >mm10_chr4:84884778-84884789(+)::chr4:84884777-84884789(+) TGGAGGAAGAGC >mm10_chr4:84965323-84965334(-)::chr4:84965322-84965334(-) GGAAGGAACTGT >mm10_chr4:84965327-84965338(-)::chr4:84965326-84965338(-) AGCAGGAAGGAA >mm10_chr4:84965348-84965359(-)::chr4:84965347-84965359(-) ACCAGGAAGCCC >mm10_chr4:84965372-84965383(-)::chr4:84965371-84965383(-) ACCAGGAAGTAA >mm10_chr4:84965402-84965413(+)::chr4:84965401-84965413(+) AAAAGgaagtcc >mm10_chr4:85093192-85093203(-)::chr4:85093191-85093203(-) AGTAGGAAGTGT >mm10_chr4:85093224-85093235(+)::chr4:85093223-85093235(+) TGGAGGAAGGAC >mm10_chr4:85136806-85136817(-)::chr4:85136805-85136817(-) GAAAGGAAATCT >mm10_chr4:85368029-85368040(-)::chr4:85368028-85368040(-) agaaggaagaag >mm10_chr4:85368050-85368061(-)::chr4:85368049-85368061(-) agaaggaagagg >mm10_chr4:85368057-85368068(-)::chr4:85368056-85368068(-) aggaggaagaag >mm10_chr4:85527597-85527608(+)::chr4:85527596-85527608(+) GCGAGGAAGGAA >mm10_chr4:85527601-85527612(+)::chr4:85527600-85527612(+) GGAAGGAAATGT >mm10_chr4:85742023-85742034(-)::chr4:85742022-85742034(-) ATGAGGAAGTAT >mm10_chr4:85802018-85802029(+)::chr4:85802017-85802029(+) TGTAGGAAGCGC >mm10_chr4:85842226-85842237(-)::chr4:85842225-85842237(-) TGAAGGAACTCG >mm10_chr4:85854752-85854763(-)::chr4:85854751-85854763(-) gggaggaagtct >mm10_chr4:85854787-85854798(-)::chr4:85854786-85854798(-) ATGAGGAAGAAA >mm10_chr4:85915325-85915336(-)::chr4:85915324-85915336(-) ACAAGGAAGTGT >mm10_chr4:85915362-85915373(+)::chr4:85915361-85915373(+) ATAAGGAAGCTA >mm10_chr4:85937871-85937882(+)::chr4:85937870-85937882(+) ATGAGGAAGAAC >mm10_chr4:85937925-85937936(+)::chr4:85937924-85937936(+) TGGAGGAAATGA >mm10_chr4:85975627-85975638(+)::chr4:85975626-85975638(+) CACAGGAAGTGA >mm10_chr4:85975640-85975651(+)::chr4:85975639-85975651(+) AGAAGGTAGTAA >mm10_chr4:85975653-85975664(+)::chr4:85975652-85975664(+) ACCAGGAAGCAG >mm10_chr4:86073256-86073267(-)::chr4:86073255-86073267(-) GAAAGGAAGAGA >mm10_chr4:86073271-86073282(-)::chr4:86073270-86073282(-) ACCAGGAAGCCC >mm10_chr4:86073299-86073310(+)::chr4:86073298-86073310(+) CGAAGGAAGGTG >mm10_chr4:86073337-86073348(+)::chr4:86073336-86073348(+) ATGAGGAAGTAT >mm10_chr4:86105091-86105102(-)::chr4:86105090-86105102(-) TTGAGGAAGATA >mm10_chr4:86105103-86105114(-)::chr4:86105102-86105114(-) GGAAGGAAGACC >mm10_chr4:86105107-86105118(-)::chr4:86105106-86105118(-) AGCAGGAAGGAA >mm10_chr4:86200026-86200037(-)::chr4:86200025-86200037(-) TATAGGAAATAC >mm10_chr4:86307759-86307770(+)::chr4:86307758-86307770(+) AACAGGAAGACT >mm10_chr4:86359593-86359604(-)::chr4:86359592-86359604(-) ACACGGAAGCAA >mm10_chr4:86371237-86371248(+)::chr4:86371236-86371248(+) atgaggaagcca >mm10_chr4:86371272-86371283(+)::chr4:86371271-86371283(+) ccaaggaagggc >mm10_chr4:86397229-86397240(+)::chr4:86397228-86397240(+) ACAAGGAAGTCA >mm10_chr4:86411226-86411237(-)::chr4:86411225-86411237(-) GCCAGGAAGAAA >mm10_chr4:86612071-86612082(-)::chr4:86612070-86612082(-) TCCCGGAAGTCG >mm10_chr4:86651582-86651593(-)::chr4:86651581-86651593(-) TAAAGGAACTTG >mm10_chr4:86651631-86651642(+)::chr4:86651630-86651642(+) TGAAGGAAATGG >mm10_chr4:86670923-86670934(-)::chr4:86670922-86670934(-) GCAAGGAACTAG >mm10_chr4:86670923-86670934(-)::chr4:86670922-86670934(-) GCAAGGAACTAG >mm10_chr4:86670961-86670972(+)::chr4:86670960-86670972(+) GATAGGAAGGAA >mm10_chr4:86670965-86670976(+)::chr4:86670964-86670976(+) GGAAGGAAGCTC >mm10_chr4:86672744-86672755(-)::chr4:86672743-86672755(-) accaggaagcag >mm10_chr4:86682216-86682227(+)::chr4:86682215-86682227(+) AAAAGGAATATA >mm10_chr4:86711157-86711168(+)::chr4:86711156-86711168(+) agcaggaaatag >mm10_chr4:86748555-86748566(+)::chr4:86748554-86748566(+) AGAAGGAAAGGG >mm10_chr4:86748610-86748621(+)::chr4:86748609-86748621(+) GGAAGGAAGGGA >mm10_chr4:86762764-86762775(+)::chr4:86762763-86762775(+) aggaggaagaag >mm10_chr4:86857371-86857382(-)::chr4:86857370-86857382(-) AAGCGGAAGTCG >mm10_chr4:86876121-86876132(-)::chr4:86876120-86876132(-) AGAAGGAAAGGG >mm10_chr4:86902455-86902466(-)::chr4:86902454-86902466(-) GGAAGGAAACGC >mm10_chr4:87356561-87356572(+)::chr4:87356560-87356572(+) AGCAGGAAGAGT >mm10_chr4:87356614-87356625(+)::chr4:87356613-87356625(+) TAGAGGAAGTCA >mm10_chr4:87367913-87367924(+)::chr4:87367912-87367924(+) AAACGGAAGTAA >mm10_chr4:87370004-87370015(+)::chr4:87370003-87370015(+) ACCAGGAAGCAA >mm10_chr4:87427286-87427297(+)::chr4:87427285-87427297(+) ACCAGGAAATGG >mm10_chr4:87427304-87427315(-)::chr4:87427303-87427315(-) TGCAGGAAGTAC >mm10_chr4:87432930-87432941(+)::chr4:87432929-87432941(+) GTAAGGAAGTAA >mm10_chr4:87432969-87432980(-)::chr4:87432968-87432980(-) AGTAGGAAGTTG >mm10_chr4:87432988-87432999(-)::chr4:87432987-87432999(-) GACAGGAAATAT >mm10_chr4:87461934-87461945(+)::chr4:87461933-87461945(+) ACAAGGAAGAGA >mm10_chr4:87648784-87648795(-)::chr4:87648783-87648795(-) GTCAGGAAGAGG >mm10_chr4:87653591-87653602(+)::chr4:87653590-87653602(+) Aagaggaagaaa >mm10_chr4:87653612-87653623(+)::chr4:87653611-87653623(+) aagaggaagggt >mm10_chr4:87653633-87653644(+)::chr4:87653632-87653644(+) atgaggaaggaa >mm10_chr4:87653637-87653648(+)::chr4:87653636-87653648(+) ggaaggaaggaa >mm10_chr4:87667736-87667747(-)::chr4:87667735-87667747(-) AACAGGAAATAC >mm10_chr4:87668657-87668668(-)::chr4:87668656-87668668(-) AGTAGGAAGGAG >mm10_chr4:87681548-87681559(-)::chr4:87681547-87681559(-) AGGAGGACGTGC >mm10_chr4:87699255-87699266(-)::chr4:87699254-87699266(-) TCCAGGAAGTGA >mm10_chr4:87761698-87761709(-)::chr4:87761697-87761709(-) aagaggaagagg >mm10_chr4:87761704-87761715(-)::chr4:87761703-87761715(-) aagaggaagagg >mm10_chr4:87761710-87761721(-)::chr4:87761709-87761721(-) agaaggaagagg >mm10_chr4:87761849-87761860(-)::chr4:87761848-87761860(-) aagaggaagaag >mm10_chr4:87761855-87761866(-)::chr4:87761854-87761866(-) aggaggaagagg >mm10_chr4:87761873-87761884(-)::chr4:87761872-87761884(-) AGgaggaagaga >mm10_chr4:87785175-87785186(-)::chr4:87785174-87785186(-) AGAAGGAAATTG >mm10_chr4:87818336-87818347(+)::chr4:87818335-87818347(+) ATGAGGAAATGG >mm10_chr4:87831115-87831126(+)::chr4:87831114-87831126(+) ACCAGGAAGTGA >mm10_chr4:87831126-87831137(+)::chr4:87831125-87831137(+) AGAAGGAAGAGA >mm10_chr4:87839224-87839235(+)::chr4:87839223-87839235(+) ACGAGGAAGAGG >mm10_chr4:87839230-87839241(+)::chr4:87839229-87839241(+) AAGAGGAAATAT >mm10_chr4:87840522-87840533(-)::chr4:87840521-87840533(-) AGAAGGAAATGA >mm10_chr4:87840529-87840540(-)::chr4:87840528-87840540(-) AACAGGAAGAAG >mm10_chr4:87845415-87845426(-)::chr4:87845414-87845426(-) AGTAGGAAGAGG >mm10_chr4:87845442-87845453(-)::chr4:87845441-87845453(-) AACAGGAAGAAT >mm10_chr4:87893167-87893178(+)::chr4:87893166-87893178(+) ACAAGGAAGAAG >mm10_chr4:87893200-87893211(-)::chr4:87893199-87893211(-) TGTAGGAAGTGC >mm10_chr4:87963848-87963859(-)::chr4:87963847-87963859(-) ATGGGGAAGTGG >mm10_chr4:88138678-88138689(-)::chr4:88138677-88138689(-) aagaggaagagA >mm10_chr4:88138684-88138695(-)::chr4:88138683-88138695(-) aggaggaagagg >mm10_chr4:88138713-88138724(-)::chr4:88138712-88138724(-) ggaaggaggtag >mm10_chr4:88138717-88138728(-)::chr4:88138716-88138728(-) gagaggaaggag >mm10_chr4:88270887-88270898(-)::chr4:88270886-88270898(-) GGGCGGAAGTCA >mm10_chr4:88277481-88277492(-)::chr4:88277480-88277492(-) ACAAGGATGTGG >mm10_chr4:88288494-88288505(-)::chr4:88288493-88288505(-) aggaggaAGCAG >mm10_chr4:88288509-88288520(-)::chr4:88288508-88288520(-) aggaggaagagg >mm10_chr4:88288545-88288556(-)::chr4:88288544-88288556(-) TCAaggaagaag >mm10_chr4:88316931-88316942(+)::chr4:88316930-88316942(+) atgaggaagtgt >mm10_chr4:88328220-88328231(-)::chr4:88328219-88328231(-) ACCAGGAAGCAG >mm10_chr4:88478840-88478851(-)::chr4:88478839-88478851(-) GCGAGGAAGAAC >mm10_chr4:88490967-88490978(+)::chr4:88490966-88490978(+) ggcaggaaatga >mm10_chr4:88492654-88492665(+)::chr4:88492653-88492665(+) ATAAGGAAGTGT >mm10_chr4:88523400-88523411(+)::chr4:88523399-88523411(+) ggaaggaagggt >mm10_chr4:88530534-88530545(+)::chr4:88530533-88530545(+) aaaaggaaagta >mm10_chr4:88542677-88542688(+)::chr4:88542676-88542688(+) agcaggaagccc >mm10_chr4:88556693-88556704(+)::chr4:88556692-88556704(+) aggaggaagagg >mm10_chr4:88556732-88556743(+)::chr4:88556731-88556743(+) atgaggaagaaa >mm10_chr4:88696540-88696551(+)::chr4:88696539-88696551(+) AAGAGGAAGAAG >mm10_chr4:88696583-88696594(-)::chr4:88696582-88696594(-) AGCAGGAAGACT >mm10_chr4:88723558-88723569(-)::chr4:88723557-88723569(-) AGAAGGAAGGAG >mm10_chr4:88846226-88846237(-)::chr4:88846225-88846237(-) AAAAGGAAGAGA >mm10_chr4:88846247-88846258(-)::chr4:88846246-88846258(-) AGGAGGAAGTTT >mm10_chr4:88865401-88865412(-)::chr4:88865400-88865412(-) GGAAGGAAGAAA >mm10_chr4:88865405-88865416(-)::chr4:88865404-88865416(-) AAGAGGAAGGAA >mm10_chr4:88877321-88877332(-)::chr4:88877320-88877332(-) AGGAGGAAGGGA >mm10_chr4:88877343-88877354(-)::chr4:88877342-88877354(-) GTAAGGAAGAGG >mm10_chr4:88879998-88880009(+)::chr4:88879997-88880009(+) AGCAGGAAATTC >mm10_chr4:88880290-88880301(-)::chr4:88880289-88880301(-) ACAAGGAAACAC >mm10_chr4:88880361-88880372(-)::chr4:88880360-88880372(-) TAAAGGAAATGT >mm10_chr4:88956102-88956113(-)::chr4:88956101-88956113(-) ACAAGGAAGCAA >mm10_chr4:88956277-88956288(-)::chr4:88956276-88956288(-) TCGAGGAAGTTT >mm10_chr4:89029237-89029248(+)::chr4:89029236-89029248(+) ccaaggaaatgc >mm10_chr4:89037060-89037071(+)::chr4:89037059-89037071(+) AAAAGGAAGGCC >mm10_chr4:89039067-89039078(-)::chr4:89039066-89039078(-) caaaggaaatga >mm10_chr4:89041034-89041045(+)::chr4:89041033-89041045(+) GAAAGGAAGGTC >mm10_chr4:89056152-89056163(-)::chr4:89056151-89056163(-) TTAAGGAAGTCT >mm10_chr4:89057792-89057803(+)::chr4:89057791-89057803(+) GAAAGGAAGGTG >mm10_chr4:89057812-89057823(+)::chr4:89057811-89057823(+) AAGAGGAAGTTA >mm10_chr4:89059728-89059739(+)::chr4:89059727-89059739(+) ttaaggaaggaa >mm10_chr4:89059732-89059743(+)::chr4:89059731-89059743(+) ggaaggaaggat >mm10_chr4:89059740-89059751(-)::chr4:89059739-89059751(-) aaaaggaaatcc >mm10_chr4:89059760-89059771(+)::chr4:89059759-89059771(+) ctaaggaaggcc >mm10_chr4:89070666-89070677(-)::chr4:89070665-89070677(-) GAAAGGAATTGA >mm10_chr4:89073189-89073200(+)::chr4:89073188-89073200(+) TGAAGGAAGTTG >mm10_chr4:89073240-89073251(+)::chr4:89073239-89073251(+) ACCAGGAAGTTC >mm10_chr4:89078611-89078622(-)::chr4:89078610-89078622(-) ggcaggaaggtc >mm10_chr4:89080440-89080451(-)::chr4:89080439-89080451(-) ACAAGGAAGTTC >mm10_chr4:89080472-89080483(-)::chr4:89080471-89080483(-) ACTAGGAAGCGG >mm10_chr4:89212444-89212455(+)::chr4:89212443-89212455(+) aagaggaagagg >mm10_chr4:89349120-89349131(-)::chr4:89349119-89349131(-) ACAAGGAAGGGA >mm10_chr4:89349132-89349143(-)::chr4:89349131-89349143(-) TCAAGGAAGTAA >mm10_chr4:89387486-89387497(+)::chr4:89387485-89387497(+) AGGAGGAAGAGG >mm10_chr4:89438546-89438557(-)::chr4:89438545-89438557(-) TCCAGGAAGTAG >mm10_chr4:89466935-89466946(+)::chr4:89466934-89466946(+) ACCAGGAAGGAT >mm10_chr4:89554455-89554466(+)::chr4:89554454-89554466(+) AAAAGGAACGAA >mm10_chr4:89833731-89833742(+)::chr4:89833730-89833742(+) TGGAGGAAGGGA >mm10_chr4:91597007-91597018(-)::chr4:91597006-91597018(-) GAAAGGAAAATA >mm10_chr4:92221926-92221937(+)::chr4:92221925-92221937(+) AAGAGGAAGGAA >mm10_chr4:93098001-93098012(+)::chr4:93098000-93098012(+) ATAAGGAAGCTG >mm10_chr4:93334717-93334728(-)::chr4:93334716-93334728(-) ACGCGGAAGTTT >mm10_chr4:93375717-93375728(+)::chr4:93375716-93375728(+) ATAAGGAAGCCC >mm10_chr4:93551159-93551170(+)::chr4:93551158-93551170(+) TGAAGGAAGTTT >mm10_chr4:93675405-93675416(-)::chr4:93675404-93675416(-) TGAAGGAAATTG >mm10_chr4:93675429-93675440(+)::chr4:93675428-93675440(+) AGGAGGAACTAA >mm10_chr4:94391508-94391519(+)::chr4:94391507-94391519(+) GACAGGAAGAAC >mm10_chr4:94556760-94556771(-)::chr4:94556759-94556771(-) CTACGGAAGCGG >mm10_chr4:94556801-94556812(-)::chr4:94556800-94556812(-) GACCGGAAGTGA >mm10_chr4:94556949-94556960(-)::chr4:94556948-94556960(-) GAAAGGAATTAA >mm10_chr4:94598424-94598435(+)::chr4:94598423-94598435(+) AATAGGAAGTTC >mm10_chr4:94602774-94602785(+)::chr4:94602773-94602785(+) ACCAGGAAGAGG >mm10_chr4:94614361-94614372(+)::chr4:94614360-94614372(+) TAAAGGAAGGAG >mm10_chr4:94660844-94660855(+)::chr4:94660843-94660855(+) AGAAGGAAGGAA >mm10_chr4:94713630-94713641(-)::chr4:94713629-94713641(-) attaggaagttc >mm10_chr4:94714388-94714399(+)::chr4:94714387-94714399(+) TAGAGGAAGTCT >mm10_chr4:94715359-94715370(+)::chr4:94715358-94715370(+) GAGAGGAAGGAG >mm10_chr4:94742189-94742200(-)::chr4:94742188-94742200(-) AGGGGGAAGTGC >mm10_chr4:94978583-94978594(+)::chr4:94978582-94978594(+) aacaggaagagc >mm10_chr4:95050441-95050452(-)::chr4:95050440-95050452(-) AAAAGGAAGCTG >mm10_chr4:95050486-95050497(-)::chr4:95050485-95050497(-) GAGAGGAAGCGC >mm10_chr4:95075644-95075655(-)::chr4:95075643-95075655(-) GAAAGGAAGCAG >mm10_chr4:95082546-95082557(+)::chr4:95082545-95082557(+) GGCAGGAAGCAT >mm10_chr4:95084205-95084216(-)::chr4:95084204-95084216(-) acaaggaattca >mm10_chr4:95084241-95084252(-)::chr4:95084240-95084252(-) ttaaggaaggaa >mm10_chr4:95084276-95084287(+)::chr4:95084275-95084287(+) aaaaggaaatca >mm10_chr4:95095118-95095129(-)::chr4:95095117-95095129(-) ACAAGGAAAAAG >mm10_chr4:95143476-95143487(+)::chr4:95143475-95143487(+) GAGAGGAAGTGC >mm10_chr4:95152628-95152639(+)::chr4:95152627-95152639(+) AGGAGGAAGTGG >mm10_chr4:95152642-95152653(+)::chr4:95152641-95152653(+) GAGAGGAAGAAA >mm10_chr4:95152662-95152673(+)::chr4:95152661-95152673(+) TGGAGGAAGTGG >mm10_chr4:95152682-95152693(+)::chr4:95152681-95152693(+) aggaggaagagt >mm10_chr4:95152699-95152710(+)::chr4:95152698-95152710(+) gccaggaagagg >mm10_chr4:95154250-95154261(-)::chr4:95154249-95154261(-) GGAAGGAAGCAC >mm10_chr4:95178757-95178768(+)::chr4:95178756-95178768(+) ATAAGGAAATTG >mm10_chr4:95184317-95184328(-)::chr4:95184316-95184328(-) AGAAGGAAAAAG >mm10_chr4:95193141-95193152(+)::chr4:95193140-95193152(+) AGCAGGAAGCAG >mm10_chr4:95193148-95193159(+)::chr4:95193147-95193159(+) AGCAGGAAGAGG >mm10_chr4:95193168-95193179(+)::chr4:95193167-95193179(+) AGGAGGAAGTAA >mm10_chr4:95204481-95204492(-)::chr4:95204480-95204492(-) GAAAGGAAGAGC >mm10_chr4:95230592-95230603(+)::chr4:95230591-95230603(+) AGGAGGAAGTGG >mm10_chr4:95230619-95230630(+)::chr4:95230618-95230630(+) AGCAGGAAGATG >mm10_chr4:95276433-95276444(+)::chr4:95276432-95276444(+) AGCAGGAAGCAC >mm10_chr4:95324170-95324181(+)::chr4:95324169-95324181(+) ttaaagaagtaa >mm10_chr4:95339492-95339503(+)::chr4:95339491-95339503(+) TGCAGGAAGGAG >mm10_chr4:95354240-95354251(-)::chr4:95354239-95354251(-) CTCAGGAAGTGG >mm10_chr4:95361395-95361406(+)::chr4:95361394-95361406(+) AGGAGGAAGGCT >mm10_chr4:95376920-95376931(+)::chr4:95376919-95376931(+) AGGAGGAAGAGC >mm10_chr4:95426398-95426409(+)::chr4:95426397-95426409(+) aggaggaagagg >mm10_chr4:95433706-95433717(-)::chr4:95433705-95433717(-) TGACGGAAGTGT >mm10_chr4:95433752-95433763(+)::chr4:95433751-95433763(+) GACAGGAAGGAA >mm10_chr4:95434651-95434662(+)::chr4:95434650-95434662(+) CCCAGGAAGTCT >mm10_chr4:95439539-95439550(-)::chr4:95439538-95439550(-) ATAAGGAAAGAC >mm10_chr4:95505981-95505992(-)::chr4:95505980-95505992(-) aagaggaagagg >mm10_chr4:95505987-95505998(-)::chr4:95505986-95505998(-) gagaggaagagg >mm10_chr4:95505999-95506010(-)::chr4:95505998-95506010(-) aagaggaagagg >mm10_chr4:95506005-95506016(-)::chr4:95506004-95506016(-) gagaggaagagg >mm10_chr4:95506017-95506028(-)::chr4:95506016-95506028(-) aagaggaagagg >mm10_chr4:95506023-95506034(-)::chr4:95506022-95506034(-) aagaggaagagg >mm10_chr4:95506029-95506040(-)::chr4:95506028-95506040(-) agaaggaagagg >mm10_chr4:95607077-95607088(-)::chr4:95607076-95607088(-) AGCAGGAAGCAC >mm10_chr4:95607126-95607137(+)::chr4:95607125-95607137(+) ATCAGGAAGCAG >mm10_chr4:95607133-95607144(+)::chr4:95607132-95607144(+) AGCAGGAAGGAG >mm10_chr4:95613557-95613568(-)::chr4:95613556-95613568(-) aagaggaagaaA >mm10_chr4:95613574-95613585(-)::chr4:95613573-95613585(-) aggaggaagagg >mm10_chr4:95613581-95613592(-)::chr4:95613580-95613592(-) agaaggaaggag >mm10_chr4:95613786-95613797(-)::chr4:95613785-95613797(-) AGAAGGAAAACA >mm10_chr4:95613844-95613855(-)::chr4:95613843-95613855(-) AAGAGGAAGGAG >mm10_chr4:95613844-95613855(-)::chr4:95613843-95613855(-) AAGAGGAAGGAG >mm10_chr4:95619144-95619155(+)::chr4:95619143-95619155(+) TCCAGGAAGGTA >mm10_chr4:95722054-95722065(+)::chr4:95722053-95722065(+) tataggaaataa >mm10_chr4:95722126-95722137(+)::chr4:95722125-95722137(+) gtaaggcagtac >mm10_chr4:95748461-95748472(+)::chr4:95748460-95748472(+) ACAAGGAAGAAT >mm10_chr4:95867466-95867477(-)::chr4:95867465-95867477(-) aagaggaagaag >mm10_chr4:95867492-95867503(-)::chr4:95867491-95867503(-) aggaggaagaga >mm10_chr4:95867505-95867516(-)::chr4:95867504-95867516(-) agaaggaagaag >mm10_chr4:95867512-95867523(-)::chr4:95867511-95867523(-) agaaggaagaag >mm10_chr4:95867536-95867547(-)::chr4:95867535-95867547(-) aggaggaagagt >mm10_chr4:95884700-95884711(+)::chr4:95884699-95884711(+) AGAAGAAAGTAG >mm10_chr4:95972784-95972795(-)::chr4:95972783-95972795(-) gacaggaaatag >mm10_chr4:95972817-95972828(-)::chr4:95972816-95972828(-) aagaggaagaaa >mm10_chr4:95972823-95972834(-)::chr4:95972822-95972834(-) aggaggaagagg >mm10_chr4:95972839-95972850(-)::chr4:95972838-95972850(-) agaaggaaggag >mm10_chr4:96139501-96139512(+)::chr4:96139500-96139512(+) tggaggaaataa >mm10_chr4:96553619-96553630(+)::chr4:96553618-96553630(+) CGCAGGAAGTGA >mm10_chr4:96591190-96591201(+)::chr4:96591189-96591201(+) GAAAGGAAGGCG >mm10_chr4:96767666-96767677(+)::chr4:96767665-96767677(+) agaaggaagaac >mm10_chr4:96767689-96767700(+)::chr4:96767688-96767700(+) aggaggaagagt >mm10_chr4:96767716-96767727(+)::chr4:96767715-96767727(+) aggaggaagagg >mm10_chr4:97230945-97230956(-)::chr4:97230944-97230956(-) AGTAGGAAGGGA >mm10_chr4:97237207-97237218(-)::chr4:97237206-97237218(-) AGAAGGAAATTC >mm10_chr4:97323591-97323602(-)::chr4:97323590-97323602(-) tcgaggaagttt >mm10_chr4:97459389-97459400(+)::chr4:97459388-97459400(+) ACAAGGAAGTCA >mm10_chr4:97459425-97459436(+)::chr4:97459424-97459436(+) AGAAGGAGGTGG >mm10_chr4:97465969-97465980(+)::chr4:97465968-97465980(+) AAGAGGAAGGTG >mm10_chr4:97538282-97538293(-)::chr4:97538281-97538293(-) acaaggaagtaa >mm10_chr4:97538318-97538329(-)::chr4:97538317-97538329(-) acaaggaaatgg >mm10_chr4:97564971-97564982(+)::chr4:97564970-97564982(+) GGGAGGAAGAGA >mm10_chr4:97619228-97619239(-)::chr4:97619227-97619239(-) TGAGGGAAGTTT >mm10_chr4:97632033-97632044(-)::chr4:97632032-97632044(-) ACACGGAAGAAT >mm10_chr4:97657706-97657717(-)::chr4:97657705-97657717(-) TGGAGGAAATGG >mm10_chr4:97657727-97657738(+)::chr4:97657726-97657738(+) GAAAGGAAGCTT >mm10_chr4:97751990-97752001(-)::chr4:97751989-97752001(-) CGTAGGAAGGAC >mm10_chr4:97785585-97785596(-)::chr4:97785584-97785596(-) TTAGGGAAGTAT >mm10_chr4:97808499-97808510(-)::chr4:97808498-97808510(-) agaaggaaaagg >mm10_chr4:97808506-97808517(-)::chr4:97808505-97808517(-) atgaggaagaag >mm10_chr4:97826019-97826030(+)::chr4:97826018-97826030(+) ATCAGGAAGAAG >mm10_chr4:97841951-97841962(-)::chr4:97841950-97841962(-) GAAAGGAAGTTG >mm10_chr4:97868580-97868591(+)::chr4:97868579-97868591(+) GAGAGGAAGAGA >mm10_chr4:97868631-97868642(-)::chr4:97868630-97868642(-) GGAAGGAAGGAA >mm10_chr4:97869076-97869087(-)::chr4:97869075-97869087(-) ACAAGGAAATGG >mm10_chr4:97869099-97869110(-)::chr4:97869098-97869110(-) CGGAGGAAGCAA >mm10_chr4:97872203-97872214(-)::chr4:97872202-97872214(-) GTAAGGAAGCAT >mm10_chr4:97872237-97872248(+)::chr4:97872236-97872248(+) AAAAGGAAGAAC >mm10_chr4:97897792-97897803(+)::chr4:97897791-97897803(+) AGCAGGAAGTCT >mm10_chr4:97906010-97906021(+)::chr4:97906009-97906021(+) ATGAGGAAATGT >mm10_chr4:97936542-97936553(+)::chr4:97936541-97936553(+) TGGAGGAAGTAG >mm10_chr4:97937253-97937264(-)::chr4:97937252-97937264(-) GGCAGGAAGTTG >mm10_chr4:97946847-97946858(+)::chr4:97946846-97946858(+) AGGAGGAAGGAA >mm10_chr4:97946901-97946912(+)::chr4:97946900-97946912(+) ACCAGGAAGGGC >mm10_chr4:97946921-97946932(-)::chr4:97946920-97946932(-) ACAAGGAAATAT >mm10_chr4:97963045-97963056(-)::chr4:97963044-97963056(-) TGCAGGAAATGC >mm10_chr4:97986641-97986652(+)::chr4:97986640-97986652(+) TAGAGGAAATGA >mm10_chr4:98004084-98004095(-)::chr4:98004083-98004095(-) AGAAGGAAATGA >mm10_chr4:98087043-98087054(-)::chr4:98087042-98087054(-) ACCAGGAAGTCA >mm10_chr4:98114735-98114746(-)::chr4:98114734-98114746(-) AGAAGGAAGAAG >mm10_chr4:98114747-98114758(-)::chr4:98114746-98114758(-) ACAAGGAGGTGG >mm10_chr4:98115144-98115155(+)::chr4:98115143-98115155(+) AGCAGGAAATTG >mm10_chr4:98115173-98115184(+)::chr4:98115172-98115184(+) AGCAGGAAGCAA >mm10_chr4:98119556-98119567(-)::chr4:98119555-98119567(-) ACAAGGAACTAG >mm10_chr4:98122453-98122464(-)::chr4:98122452-98122464(-) TCCAGGAAGATG >mm10_chr4:98144828-98144839(+)::chr4:98144827-98144839(+) AGAAGGAAGACA >mm10_chr4:98144868-98144879(+)::chr4:98144867-98144879(+) ACAAGGAAGTGT >mm10_chr4:98158050-98158061(-)::chr4:98158049-98158061(-) TCCAGGAAgaat >mm10_chr4:98184741-98184752(+)::chr4:98184740-98184752(+) AAAAGGAAGagt >mm10_chr4:98207670-98207681(+)::chr4:98207669-98207681(+) GAAAGGAAGCTC >mm10_chr4:98278122-98278133(+)::chr4:98278121-98278133(+) tgaaggaagtca >mm10_chr4:98294769-98294780(-)::chr4:98294768-98294780(-) acaaggtagtga >mm10_chr4:98383278-98383289(+)::chr4:98383277-98383289(+) AGAAGGAAGGGC >mm10_chr4:98395824-98395835(-)::chr4:98395823-98395835(-) GGACGGAAGTGC >mm10_chr4:98395853-98395864(-)::chr4:98395852-98395864(-) TGGCGGAAGTAC >mm10_chr4:98728053-98728064(-)::chr4:98728052-98728064(-) ACGAGGAAGCAC >mm10_chr4:98775566-98775577(+)::chr4:98775565-98775577(+) AAAAGGAAGGTG >mm10_chr4:98775592-98775603(-)::chr4:98775591-98775603(-) ACCAGGAAGGGT >mm10_chr4:98789957-98789968(-)::chr4:98789956-98789968(-) GGCAGGAAGTGA >mm10_chr4:98806470-98806481(-)::chr4:98806469-98806481(-) GACAGGAAGTGA >mm10_chr4:98815764-98815775(-)::chr4:98815763-98815775(-) TCAAGGAAATAG >mm10_chr4:98923427-98923438(-)::chr4:98923426-98923438(-) ACCAGGAAGAGC >mm10_chr4:98949626-98949637(-)::chr4:98949625-98949637(-) TAACGGAAGGAA >mm10_chr4:98958940-98958951(-)::chr4:98958939-98958951(-) AGCAGGAAGTAA >mm10_chr4:99061722-99061733(-)::chr4:99061721-99061733(-) aagaggaagagg >mm10_chr4:99061728-99061739(-)::chr4:99061727-99061739(-) aggaggaagagg >mm10_chr4:99061737-99061748(-)::chr4:99061736-99061748(-) aggaggaagagg >mm10_chr4:99061762-99061773(-)::chr4:99061761-99061773(-) aagaggaagaga >mm10_chr4:99061768-99061779(-)::chr4:99061767-99061779(-) aagaggaagagg >mm10_chr4:99061785-99061796(-)::chr4:99061784-99061796(-) ATAAGGAAAAGG >mm10_chr4:99065866-99065877(+)::chr4:99065865-99065877(+) gaaaggaagaag >mm10_chr4:99065873-99065884(+)::chr4:99065872-99065884(+) agaaggaaaatg >mm10_chr4:99068987-99068998(-)::chr4:99068986-99068998(-) tggaggaagaga >mm10_chr4:99086669-99086680(+)::chr4:99086668-99086680(+) AGgaggaagaag >mm10_chr4:99086676-99086687(+)::chr4:99086675-99086687(+) agaaggaagaag >mm10_chr4:99086717-99086728(+)::chr4:99086716-99086728(+) tggaggaagaag >mm10_chr4:99115963-99115974(+)::chr4:99115962-99115974(+) CTAGGGAAGTGT >mm10_chr4:99115990-99116001(+)::chr4:99115989-99116001(+) ACACGGAAGCCA >mm10_chr4:99116020-99116031(+)::chr4:99116019-99116031(+) ACAAGGAAGTTC >mm10_chr4:99140791-99140802(-)::chr4:99140790-99140802(-) ATCAGGAAGACA >mm10_chr4:99152407-99152418(+)::chr4:99152406-99152418(+) TTGAGGAAGTGT >mm10_chr4:99161511-99161522(-)::chr4:99161510-99161522(-) GGGAGGAAGTCT >mm10_chr4:99191043-99191054(-)::chr4:99191042-99191054(-) aggaggaagaag >mm10_chr4:99191056-99191067(-)::chr4:99191055-99191067(-) cggaggaagaag >mm10_chr4:99194529-99194540(-)::chr4:99194528-99194540(-) ACAAGGAAGAGT >mm10_chr4:99211765-99211776(-)::chr4:99211764-99211776(-) AACAGGAAATGT >mm10_chr4:99211777-99211788(-)::chr4:99211776-99211788(-) CGAAGGAATTAC >mm10_chr4:99374134-99374145(-)::chr4:99374133-99374145(-) AAGAGGAAGAAG >mm10_chr4:99572792-99572803(+)::chr4:99572791-99572803(+) TCCAGGAAGAAT >mm10_chr4:99603087-99603098(+)::chr4:99603086-99603098(+) aagaggaagaga >mm10_chr4:99603144-99603155(+)::chr4:99603143-99603155(+) aggaggaagaag >mm10_chr4:99621088-99621099(+)::chr4:99621087-99621099(+) GATAGGAAGAGG >mm10_chr4:99640245-99640256(+)::chr4:99640244-99640256(+) ggcaggaagtac >mm10_chr4:99715309-99715320(+)::chr4:99715308-99715320(+) AGGAGGAAGACC >mm10_chr4:99744708-99744719(-)::chr4:99744707-99744719(-) AAACGGAAGTTC >mm10_chr4:99768048-99768059(-)::chr4:99768047-99768059(-) AAGAGGAAGGGT >mm10_chr4:99768091-99768102(-)::chr4:99768090-99768102(-) AGAAAGAAGTAT >mm10_chr4:99924508-99924519(-)::chr4:99924507-99924519(-) CCAAGGAAGCAC >mm10_chr4:99930709-99930720(+)::chr4:99930708-99930720(+) ATAAGGAAGACG >mm10_chr4:99982132-99982143(+)::chr4:99982131-99982143(+) ACAAGGAAACGG >mm10_chr4:99982154-99982165(-)::chr4:99982153-99982165(-) CCAAGGAAGCAC >mm10_chr4:100028872-100028883(+)::chr4:100028871-100028883(+) TACAGGAAGTAT >mm10_chr4:100031557-100031568(+)::chr4:100031556-100031568(+) AGAAGGAAGAGG >mm10_chr4:100045869-100045880(+)::chr4:100045868-100045880(+) atgaggaagagt >mm10_chr4:100045901-100045912(+)::chr4:100045900-100045912(+) aggaggaagaag >mm10_chr4:100096518-100096529(+)::chr4:100096517-100096529(+) GACAGGAAGTGT >mm10_chr4:100102944-100102955(+)::chr4:100102943-100102955(+) AAGAGGAAGTTG >mm10_chr4:100108057-100108068(-)::chr4:100108056-100108068(-) ACCAGGAAGACT >mm10_chr4:100145428-100145439(-)::chr4:100145427-100145439(-) agaaggaagggg >mm10_chr4:100145445-100145456(-)::chr4:100145444-100145456(-) aggaggaagaga >mm10_chr4:100145467-100145478(-)::chr4:100145466-100145478(-) aagaggaagggg >mm10_chr4:100156818-100156829(-)::chr4:100156817-100156829(-) AAAAGGAAGAAG >mm10_chr4:100175222-100175233(+)::chr4:100175221-100175233(+) atgaggaaggca >mm10_chr4:100187706-100187717(-)::chr4:100187705-100187717(-) ACAAGGAAGGTC >mm10_chr4:100193085-100193096(-)::chr4:100193084-100193096(-) GGGAGGAAATGA >mm10_chr4:100197523-100197534(+)::chr4:100197522-100197534(+) AGCAGGATGTAG >mm10_chr4:100216821-100216832(+)::chr4:100216820-100216832(+) AGGAGGAAGTAC >mm10_chr4:100271550-100271561(+)::chr4:100271549-100271561(+) AGAAGGAACTGA >mm10_chr4:100291213-100291224(-)::chr4:100291212-100291224(-) ATGAGGAAGGTC >mm10_chr4:100354498-100354509(+)::chr4:100354497-100354509(+) TAGAGGAAGACA >mm10_chr4:100368940-100368951(+)::chr4:100368939-100368951(+) AAAAGGAAATCA >mm10_chr4:100369009-100369020(+)::chr4:100369008-100369020(+) ATCAGGAAGAGG >mm10_chr4:100369015-100369026(+)::chr4:100369014-100369026(+) AAGAGGAAGAAA >mm10_chr4:100385044-100385055(+)::chr4:100385043-100385055(+) GAGAGGAAGTTC >mm10_chr4:100385086-100385097(+)::chr4:100385085-100385097(+) GTGAGGAAGTTC >mm10_chr4:100398279-100398290(-)::chr4:100398278-100398290(-) AGGAGGAAGAGG >mm10_chr4:100398286-100398297(-)::chr4:100398285-100398297(-) TGAAGGAAGGAG >mm10_chr4:100402415-100402426(-)::chr4:100402414-100402426(-) AGGAGGAAGTGA >mm10_chr4:100417405-100417416(+)::chr4:100417404-100417416(+) GAAAGGTAGTAA >mm10_chr4:100429716-100429727(+)::chr4:100429715-100429727(+) GCCAGGAAGTAG >mm10_chr4:100429970-100429981(+)::chr4:100429969-100429981(+) GAGAGGAAGGGA >mm10_chr4:100429990-100430001(+)::chr4:100429989-100430001(+) AGAAGGAAGGGG >mm10_chr4:100479603-100479614(-)::chr4:100479602-100479614(-) ggaaggaagagg >mm10_chr4:100479623-100479634(-)::chr4:100479622-100479634(-) agcaggaagagg >mm10_chr4:100479650-100479661(-)::chr4:100479649-100479661(-) agaaggaagggg >mm10_chr4:100482228-100482239(+)::chr4:100482227-100482239(+) ctaaggaagcaa >mm10_chr4:100503492-100503503(+)::chr4:100503491-100503503(+) tggaggaagtgc >mm10_chr4:100503542-100503553(-)::chr4:100503541-100503553(-) aacaggaagtgg >mm10_chr4:100503553-100503564(-)::chr4:100503552-100503564(-) agaaggaagaga >mm10_chr4:100527578-100527589(+)::chr4:100527577-100527589(+) ATACGGAAATGC >mm10_chr4:100567490-100567501(-)::chr4:100567489-100567501(-) AAGAGGAAGAAC >mm10_chr4:100674245-100674256(+)::chr4:100674244-100674256(+) ACAAGGAAGAGG >mm10_chr4:100691860-100691871(+)::chr4:100691859-100691871(+) TGCAGGAAGTCC >mm10_chr4:100768813-100768824(-)::chr4:100768812-100768824(-) atgaggaaggac >mm10_chr4:100802023-100802034(-)::chr4:100802022-100802034(-) gacaggaagaat >mm10_chr4:100802065-100802076(-)::chr4:100802064-100802076(-) tcaaggaagaga >mm10_chr4:100902074-100902085(-)::chr4:100902073-100902085(-) ACTAGGAAGGGG >mm10_chr4:100911892-100911903(-)::chr4:100911891-100911903(-) AGGGGGAAGTGT >mm10_chr4:100911972-100911983(-)::chr4:100911971-100911983(-) aggaggaagttg >mm10_chr4:100950960-100950971(-)::chr4:100950959-100950971(-) GGCAGGAAGACC >mm10_chr4:100990696-100990707(+)::chr4:100990695-100990707(+) ACAAGGAAAGGG >mm10_chr4:101155203-101155214(-)::chr4:101155202-101155214(-) ACAGGGAAGTGG >mm10_chr4:101167235-101167246(+)::chr4:101167234-101167246(+) AAAAGGAAAGTA >mm10_chr4:101167519-101167530(-)::chr4:101167518-101167530(-) TAAAGGAAGTAC >mm10_chr4:101167909-101167920(-)::chr4:101167908-101167920(-) ATAAGGATGTTG >mm10_chr4:101173008-101173019(-)::chr4:101173007-101173019(-) AGGAGGAAGTCA >mm10_chr4:101173789-101173800(+)::chr4:101173788-101173800(+) aggaggaagaaa >mm10_chr4:101173811-101173822(+)::chr4:101173810-101173822(+) ggaaggaaggag >mm10_chr4:101173838-101173849(+)::chr4:101173837-101173849(+) aggaggaagaaa >mm10_chr4:101173850-101173861(+)::chr4:101173849-101173861(+) aggaggaagggc >mm10_chr4:101207760-101207771(+)::chr4:101207759-101207771(+) AAGAGGAAATGC >mm10_chr4:101242260-101242271(+)::chr4:101242259-101242271(+) AAAATGAAGTGC >mm10_chr4:101242288-101242299(-)::chr4:101242287-101242299(-) AGCAGGAAGCAC >mm10_chr4:101242314-101242325(-)::chr4:101242313-101242325(-) AACAGGAAGACT >mm10_chr4:101244076-101244087(+)::chr4:101244075-101244087(+) ACAAGGAAACGC >mm10_chr4:101250061-101250072(+)::chr4:101250060-101250072(+) AGAAGGAAAGAG >mm10_chr4:101253033-101253044(+)::chr4:101253032-101253044(+) AAAAGGAAGTCA >mm10_chr4:101255062-101255073(-)::chr4:101255061-101255073(-) agAAGGAAGCTG >mm10_chr4:101258886-101258897(-)::chr4:101258885-101258897(-) AACAGGAAATGT >mm10_chr4:101260990-101261001(+)::chr4:101260989-101261001(+) CAGAGGAAGTGG >mm10_chr4:101283566-101283577(+)::chr4:101283565-101283577(+) GTAAGGAAGAAG >mm10_chr4:101292191-101292202(-)::chr4:101292190-101292202(-) CAGAGGAAGTGG >mm10_chr4:101314651-101314662(+)::chr4:101314650-101314662(+) AGCAGGAAGGAG >mm10_chr4:101314655-101314666(+)::chr4:101314654-101314666(+) GGAAGGAGGTGA >mm10_chr4:101314663-101314674(+)::chr4:101314662-101314674(+) GTGAGGAAGAAG >mm10_chr4:101314670-101314681(+)::chr4:101314669-101314681(+) AGAAGGAAGAAA >mm10_chr4:101314679-101314690(+)::chr4:101314678-101314690(+) AAAAGGAAGGGA >mm10_chr4:101314690-101314701(+)::chr4:101314689-101314701(+) AAGAGGAAGAAC >mm10_chr4:101328826-101328837(+)::chr4:101328825-101328837(+) AGAAGGAAGCAA >mm10_chr4:101333218-101333229(+)::chr4:101333217-101333229(+) ATACGGAAGTAT >mm10_chr4:101356811-101356822(-)::chr4:101356810-101356822(-) ACCCGGAAGttt >mm10_chr4:101366708-101366719(+)::chr4:101366707-101366719(+) Acagggaaataa >mm10_chr4:101366754-101366765(-)::chr4:101366753-101366765(-) acaagcaagtgt >mm10_chr4:101418614-101418625(-)::chr4:101418613-101418625(-) CCGAGGAAGAGA >mm10_chr4:101418646-101418657(-)::chr4:101418645-101418657(-) AGGAGGAAGAGA >mm10_chr4:101497547-101497558(+)::chr4:101497546-101497558(+) GGGAGGAAGAGT >mm10_chr4:101497577-101497588(+)::chr4:101497576-101497588(+) AGAAGGAAGGCT >mm10_chr4:101502822-101502833(-)::chr4:101502821-101502833(-) AAGAGGAAGGAA >mm10_chr4:101502845-101502856(-)::chr4:101502844-101502856(-) ACCAGGAAGCCA >mm10_chr4:101502862-101502873(-)::chr4:101502861-101502873(-) ACGAGGAAGGCA >mm10_chr4:101507878-101507889(+)::chr4:101507877-101507889(+) CCAAGGAAGGAA >mm10_chr4:101507882-101507893(+)::chr4:101507881-101507893(+) GGAAGGAAGAAG >mm10_chr4:101535718-101535729(+)::chr4:101535717-101535729(+) GAAAGGAAATAG >mm10_chr4:101550613-101550624(-)::chr4:101550612-101550624(-) GAAAGGAAGGGG >mm10_chr4:101575385-101575396(+)::chr4:101575384-101575396(+) acaaggaagcaa >mm10_chr4:101603354-101603365(-)::chr4:101603353-101603365(-) ggaaggaaggGC >mm10_chr4:101603358-101603369(-)::chr4:101603357-101603369(-) aggaggaaggaa >mm10_chr4:101603370-101603381(-)::chr4:101603369-101603381(-) gggaggaaggga >mm10_chr4:101603380-101603391(-)::chr4:101603379-101603391(-) gggaggaagagg >mm10_chr4:101603398-101603409(-)::chr4:101603397-101603409(-) gggaggaaggag >mm10_chr4:101647487-101647498(+)::chr4:101647486-101647498(+) TATAGGAAATAC >mm10_chr4:101669375-101669386(+)::chr4:101669374-101669386(+) accaggaAGGGC >mm10_chr4:101682791-101682802(+)::chr4:101682790-101682802(+) acaGGGAAGTTT >mm10_chr4:101713606-101713617(-)::chr4:101713605-101713617(-) aacaggaagagg >mm10_chr4:101713633-101713644(-)::chr4:101713632-101713644(-) aacaggaagaaa >mm10_chr4:101720805-101720816(-)::chr4:101720804-101720816(-) agcagGAAGCCA >mm10_chr4:101720839-101720850(-)::chr4:101720838-101720850(-) agcaggaagctg >mm10_chr4:101720853-101720864(-)::chr4:101720852-101720864(-) aagaggaaatgc >mm10_chr4:102053171-102053182(-)::chr4:102053170-102053182(-) agcaggaaattc >mm10_chr4:102305884-102305895(-)::chr4:102305883-102305895(-) AGGAGGAAGGAG >mm10_chr4:102305914-102305925(-)::chr4:102305913-102305925(-) GTGAGGAAGTGA >mm10_chr4:102374868-102374879(-)::chr4:102374867-102374879(-) TCACGGAAGTTT >mm10_chr4:102402133-102402144(-)::chr4:102402132-102402144(-) aagaggaagaag >mm10_chr4:102402149-102402160(-)::chr4:102402148-102402160(-) aggaggaagagg >mm10_chr4:102402156-102402167(-)::chr4:102402155-102402167(-) aggaggaaggag >mm10_chr4:102402187-102402198(-)::chr4:102402186-102402198(-) aagaggaagaag >mm10_chr4:102429104-102429115(-)::chr4:102429103-102429115(-) TAGAGGAAGGAG >mm10_chr4:102436168-102436179(+)::chr4:102436167-102436179(+) agcaggaagcag >mm10_chr4:102436175-102436186(+)::chr4:102436174-102436186(+) agcaggaagcag >mm10_chr4:102436182-102436193(+)::chr4:102436181-102436193(+) agcaggaagcag >mm10_chr4:102483672-102483683(-)::chr4:102483671-102483683(-) GCCAGGAAGAGG >mm10_chr4:102495662-102495673(-)::chr4:102495661-102495673(-) AACAGGAAATGA >mm10_chr4:102511665-102511676(+)::chr4:102511664-102511676(+) AGGAGGAAGCCA >mm10_chr4:102511673-102511684(+)::chr4:102511672-102511684(+) GCCAGGAAGACA >mm10_chr4:102515105-102515116(+)::chr4:102515104-102515116(+) TCAGGGAAGTGT >mm10_chr4:102517165-102517176(+)::chr4:102517164-102517176(+) ATGAGGAAGTGT >mm10_chr4:102522023-102522034(-)::chr4:102522022-102522034(-) aagaggaagaag >mm10_chr4:102522029-102522040(-)::chr4:102522028-102522040(-) aagaggaagagg >mm10_chr4:102522045-102522056(-)::chr4:102522044-102522056(-) aagaggaaggaa >mm10_chr4:102527803-102527814(+)::chr4:102527802-102527814(+) AGAAGGAAGAAA >mm10_chr4:102534182-102534193(-)::chr4:102534181-102534193(-) AAGAGGAAGTGG >mm10_chr4:102542985-102542996(+)::chr4:102542984-102542996(+) ATGAGGAAGAAC >mm10_chr4:102543037-102543048(+)::chr4:102543036-102543048(+) CCAGGGAAGTAG >mm10_chr4:102546721-102546732(-)::chr4:102546720-102546732(-) ACAAGGAAGATC >mm10_chr4:102567093-102567104(+)::chr4:102567092-102567104(+) TGAAGGAAGGAA >mm10_chr4:102570020-102570031(+)::chr4:102570019-102570031(+) GAAGGGAAGTCT >mm10_chr4:102573732-102573743(-)::chr4:102573731-102573743(-) ACAAGGAAGCAC >mm10_chr4:102574522-102574533(-)::chr4:102574521-102574533(-) GTCAGGAAGGCG >mm10_chr4:102605952-102605963(-)::chr4:102605951-102605963(-) AGAAGGAAGTAG >mm10_chr4:102608369-102608380(-)::chr4:102608368-102608380(-) GTAGGGAAGTCC >mm10_chr4:102623127-102623138(-)::chr4:102623126-102623138(-) CGAAGGCAGTAG >mm10_chr4:102624193-102624204(-)::chr4:102624192-102624204(-) ATGAGGAAGGCC >mm10_chr4:102637800-102637811(-)::chr4:102637799-102637811(-) GGAAGGAAGCTG >mm10_chr4:102637804-102637815(-)::chr4:102637803-102637815(-) TCAAGGAAGGAA >mm10_chr4:102638561-102638572(-)::chr4:102638560-102638572(-) aggaggaagagg >mm10_chr4:102639909-102639920(+)::chr4:102639908-102639920(+) AGAAGGAAGAAA >mm10_chr4:102639936-102639947(+)::chr4:102639935-102639947(+) TGAAGGAAGTAT >mm10_chr4:102647242-102647253(+)::chr4:102647241-102647253(+) ATGAGGAAGTTC >mm10_chr4:102647269-102647280(+)::chr4:102647268-102647280(+) GTCAGGAAATAG >mm10_chr4:102656672-102656683(+)::chr4:102656671-102656683(+) GAAAGGAAGCCC >mm10_chr4:102656814-102656825(-)::chr4:102656813-102656825(-) AGAAGGAAGGAG >mm10_chr4:102688812-102688823(+)::chr4:102688811-102688823(+) ACAAGGAAACAC >mm10_chr4:102759619-102759630(-)::chr4:102759618-102759630(-) AGCAGGAAATTA >mm10_chr4:102759626-102759637(-)::chr4:102759625-102759637(-) GAAAGGAAGCAG >mm10_chr4:103039986-103039997(-)::chr4:103039985-103039997(-) ACGAGGAAGTGC >mm10_chr4:103313787-103313798(+)::chr4:103313786-103313798(+) GGCAGGAAGTGA >mm10_chr4:103321644-103321655(+)::chr4:103321643-103321655(+) ATAAGGAAATGG >mm10_chr4:103321662-103321673(-)::chr4:103321661-103321673(-) AGGGGGAAGTGC >mm10_chr4:103321701-103321712(+)::chr4:103321700-103321712(+) GTGAGGAAGAAC >mm10_chr4:103353338-103353349(-)::chr4:103353337-103353349(-) gggaggaagcag >mm10_chr4:103353352-103353363(-)::chr4:103353351-103353363(-) gagaggaaggga >mm10_chr4:103353361-103353372(-)::chr4:103353360-103353372(-) gggaggaaggag >mm10_chr4:103353380-103353391(-)::chr4:103353379-103353391(-) caaaggaaggag >mm10_chr4:103699159-103699170(-)::chr4:103699158-103699170(-) AGGAGGAAGAAG >mm10_chr4:103699196-103699207(-)::chr4:103699195-103699207(-) GGCAGGAAGACT >mm10_chr4:103702255-103702266(-)::chr4:103702254-103702266(-) atcaggaagcta >mm10_chr4:103712655-103712666(+)::chr4:103712654-103712666(+) AGAAGGAAGTGC >mm10_chr4:103713265-103713276(-)::chr4:103713264-103713276(-) AACAGGAAGGTC >mm10_chr4:103722951-103722962(-)::chr4:103722950-103722962(-) AACAGGAAATGC >mm10_chr4:103723003-103723014(+)::chr4:103723002-103723014(+) GGTAGGAAGTAC >mm10_chr4:104057271-104057282(+)::chr4:104057270-104057282(+) CCAAGGAAGTGG >mm10_chr4:104057301-104057312(+)::chr4:104057300-104057312(+) AGCAGGAAATAA >mm10_chr4:104070669-104070680(+)::chr4:104070668-104070680(+) GGAAGGAAGGGA >mm10_chr4:104216892-104216903(+)::chr4:104216891-104216903(+) ATGAGGAAGTGA >mm10_chr4:104515016-104515027(-)::chr4:104515015-104515027(-) AGCAGGAAGGCA >mm10_chr4:104581012-104581023(-)::chr4:104581011-104581023(-) ttaaggaagctc >mm10_chr4:104619492-104619503(-)::chr4:104619491-104619503(-) AACAGGAAGACA >mm10_chr4:104635571-104635582(+)::chr4:104635570-104635582(+) TCAAGGAAGTCC >mm10_chr4:104635596-104635607(-)::chr4:104635595-104635607(-) AAGAGGAAGAGT >mm10_chr4:104635602-104635613(-)::chr4:104635601-104635613(-) AAGAGGAAGAGG >mm10_chr4:104635608-104635619(-)::chr4:104635607-104635619(-) AAGAGGAAGAGG >mm10_chr4:104643039-104643050(-)::chr4:104643038-104643050(-) GTGAGGAAGAAC >mm10_chr4:104662974-104662985(-)::chr4:104662973-104662985(-) AGCAGGAAGAAC >mm10_chr4:104663001-104663012(-)::chr4:104663000-104663012(-) GAGAGGAAGTGG >mm10_chr4:104892128-104892139(+)::chr4:104892127-104892139(+) CTAAGGAAGGCA >mm10_chr4:104892136-104892147(+)::chr4:104892135-104892147(+) GGCAGGAAGGCG >mm10_chr4:104892149-104892160(+)::chr4:104892148-104892160(+) GGGAGGAAGTTG >mm10_chr4:104910195-104910206(-)::chr4:104910194-104910206(-) ACGAGGAAATGG >mm10_chr4:104913732-104913743(-)::chr4:104913731-104913743(-) GGAAGGAACTAA >mm10_chr4:104945740-104945751(-)::chr4:104945739-104945751(-) TGGAGGAAGTGA >mm10_chr4:104945755-104945766(+)::chr4:104945754-104945766(+) AGCAGGAAGAGC >mm10_chr4:104949078-104949089(+)::chr4:104949077-104949089(+) AAGAGGAAGAAA >mm10_chr4:104949105-104949116(+)::chr4:104949104-104949116(+) GTGAGGAAGGAG >mm10_chr4:104990277-104990288(+)::chr4:104990276-104990288(+) ACCAGGAAGCCA >mm10_chr4:105071012-105071023(+)::chr4:105071011-105071023(+) agaaggaaggcc >mm10_chr4:105071233-105071244(+)::chr4:105071232-105071244(+) aggaggaagtaa >mm10_chr4:105124406-105124417(+)::chr4:105124405-105124417(+) CTAAGGAAGAAG >mm10_chr4:105162814-105162825(-)::chr4:105162813-105162825(-) ggaaggaagctg >mm10_chr4:105162818-105162829(-)::chr4:105162817-105162829(-) atgaggaaggaa >mm10_chr4:105162979-105162990(-)::chr4:105162978-105162990(-) ACAAGGAAATAG >mm10_chr4:105163025-105163036(-)::chr4:105163024-105163036(-) ggaaggaaggaG >mm10_chr4:105163029-105163040(-)::chr4:105163028-105163040(-) ggaaggaaggaa >mm10_chr4:105163033-105163044(-)::chr4:105163032-105163044(-) ggaaggaaggaa >mm10_chr4:105163037-105163048(-)::chr4:105163036-105163048(-) ggaaggaaggaa >mm10_chr4:105163041-105163052(-)::chr4:105163040-105163052(-) ggaaggaaggaa >mm10_chr4:105163045-105163056(-)::chr4:105163044-105163056(-) ggaaggaaggaa >mm10_chr4:105163049-105163060(-)::chr4:105163048-105163060(-) ggaaggaaggaa >mm10_chr4:105163053-105163064(-)::chr4:105163052-105163064(-) ggaaggaaggaa >mm10_chr4:105163057-105163068(-)::chr4:105163056-105163068(-) ggaaggaaggaa >mm10_chr4:105163061-105163072(-)::chr4:105163060-105163072(-) ggaaggaaggaa >mm10_chr4:105163065-105163076(-)::chr4:105163064-105163076(-) gggaggaaggaa >mm10_chr4:105175590-105175601(+)::chr4:105175589-105175601(+) GGGAGGAAGTAT >mm10_chr4:105176035-105176046(-)::chr4:105176034-105176046(-) TGCAGGAAGGAG >mm10_chr4:105180141-105180152(-)::chr4:105180140-105180152(-) ctaaggaagtct >mm10_chr4:105203278-105203289(+)::chr4:105203277-105203289(+) AATAGGAAGAAA >mm10_chr4:105209195-105209206(+)::chr4:105209194-105209206(+) TGCAGGAAGTCC >mm10_chr4:105214418-105214429(+)::chr4:105214417-105214429(+) GAAAGGAAGAAA >mm10_chr4:105214689-105214700(+)::chr4:105214688-105214700(+) GAAAGGAAGGGA >mm10_chr4:105268960-105268971(+)::chr4:105268959-105268971(+) ATCAGGAAGTGA >mm10_chr4:105278621-105278632(+)::chr4:105278620-105278632(+) CCCGGGAAGTAG >mm10_chr4:105278676-105278687(+)::chr4:105278675-105278687(+) TGAGGGAAGTTA >mm10_chr4:105306238-105306249(-)::chr4:105306237-105306249(-) AGGAGGAAATGG >mm10_chr4:105306252-105306263(+)::chr4:105306251-105306263(+) AGCAGGAAGTGG >mm10_chr4:105336705-105336716(-)::chr4:105336704-105336716(-) AGCAGGAAGACA >mm10_chr4:105364870-105364881(+)::chr4:105364869-105364881(+) TTCAGGAAGTTG >mm10_chr4:105378057-105378068(-)::chr4:105378056-105378068(-) tacaggaagtca >mm10_chr4:105403816-105403827(+)::chr4:105403815-105403827(+) aggaggaaatgg >mm10_chr4:105403877-105403888(+)::chr4:105403876-105403888(+) ggcaggaagcca >mm10_chr4:105422772-105422783(+)::chr4:105422771-105422783(+) TCAAGGAAATTA >mm10_chr4:105424161-105424172(+)::chr4:105424160-105424172(+) AGAAGGAAGTCC >mm10_chr4:105424951-105424962(-)::chr4:105424950-105424962(-) TGCAGGAAGAGG >mm10_chr4:105458018-105458029(+)::chr4:105458017-105458029(+) ATGAGGAAGTCC >mm10_chr4:105458032-105458043(+)::chr4:105458031-105458043(+) GGGAGGAAATAG >mm10_chr4:105458066-105458077(+)::chr4:105458065-105458077(+) GTAAGGAAATGC >mm10_chr4:105705817-105705828(+)::chr4:105705816-105705828(+) AGGAGGAAGCAG >mm10_chr4:105790082-105790093(+)::chr4:105790081-105790093(+) TCGAGGAAGGGA >mm10_chr4:105853513-105853524(-)::chr4:105853512-105853524(-) AATAGGAAGGAT >mm10_chr4:105945096-105945107(-)::chr4:105945095-105945107(-) GTCAGGAAGGAA >mm10_chr4:105945113-105945124(+)::chr4:105945112-105945124(+) AGCAGGAAGGAA >mm10_chr4:105945117-105945128(+)::chr4:105945116-105945128(+) GGAAGGAAGCTT >mm10_chr4:105976943-105976954(-)::chr4:105976942-105976954(-) GCAAGGAAATGG >mm10_chr4:106050141-106050152(-)::chr4:106050140-106050152(-) ATAAGGAAGTGG >mm10_chr4:106100147-106100158(-)::chr4:106100146-106100158(-) accaggaaggcc >mm10_chr4:106100819-106100830(+)::chr4:106100818-106100830(+) TGAAGGAAGCTG >mm10_chr4:106101301-106101312(+)::chr4:106101300-106101312(+) cccaggaagttg >mm10_chr4:106192074-106192085(-)::chr4:106192073-106192085(-) acaaggaattag >mm10_chr4:106192101-106192112(-)::chr4:106192100-106192112(-) atcaggaagttg >mm10_chr4:106194003-106194014(+)::chr4:106194002-106194014(+) TAAAGGAAGTTG >mm10_chr4:106194033-106194044(+)::chr4:106194032-106194044(+) AGGAGGAAATGG >mm10_chr4:106232861-106232872(+)::chr4:106232860-106232872(+) CCCAGGAAGTCA >mm10_chr4:106260501-106260512(+)::chr4:106260500-106260512(+) tagaggaagcat >mm10_chr4:106269855-106269866(-)::chr4:106269854-106269866(-) gtgaggaaatac >mm10_chr4:106269877-106269888(-)::chr4:106269876-106269888(-) ataaggaaggaa >mm10_chr4:106290070-106290081(-)::chr4:106290069-106290081(-) ATCAGGAAGCAA >mm10_chr4:106317886-106317897(+)::chr4:106317885-106317897(+) ACAAGGAAGAAT >mm10_chr4:106328860-106328871(+)::chr4:106328859-106328871(+) ATGAGGAAGTTG >mm10_chr4:106328935-106328946(+)::chr4:106328934-106328946(+) aggaggaaggat >mm10_chr4:106333980-106333991(+)::chr4:106333979-106333991(+) GGGAGGAAGGAA >mm10_chr4:106375989-106376000(-)::chr4:106375988-106376000(-) AAAATGAAGTAA >mm10_chr4:106622172-106622183(+)::chr4:106622171-106622183(+) TGGAGGAAGGAC >mm10_chr4:106622771-106622782(-)::chr4:106622770-106622782(-) AGAAGGAAAAGG >mm10_chr4:106628802-106628813(-)::chr4:106628801-106628813(-) GACAGGAAGAAG >mm10_chr4:106629103-106629114(-)::chr4:106629102-106629114(-) AGGAGGAAGGCT >mm10_chr4:106678933-106678944(-)::chr4:106678932-106678944(-) AAAAGGAAGGGC >mm10_chr4:106704180-106704191(+)::chr4:106704179-106704191(+) CCCAGGAAGCGC >mm10_chr4:106800314-106800325(-)::chr4:106800313-106800325(-) ATAAGGAAGTGG >mm10_chr4:106837153-106837164(-)::chr4:106837152-106837164(-) ACCAGGAAGTAA >mm10_chr4:106837172-106837183(-)::chr4:106837171-106837183(-) ACAAGGAAATTG >mm10_chr4:106847343-106847354(-)::chr4:106847342-106847354(-) GGAAGGAAGCCC >mm10_chr4:106862868-106862879(-)::chr4:106862867-106862879(-) ATGAGGAAGCAA >mm10_chr4:106924499-106924510(+)::chr4:106924498-106924510(+) TCCAGGAAGTTG >mm10_chr4:106933179-106933190(-)::chr4:106933178-106933190(-) AGGAGGAAGTCA >mm10_chr4:106933186-106933197(-)::chr4:106933185-106933197(-) GGAAGGAAGGAG >mm10_chr4:106933190-106933201(-)::chr4:106933189-106933201(-) GCCAGGAAGGAA >mm10_chr4:106945974-106945985(+)::chr4:106945973-106945985(+) AGCAGGAAGGGA >mm10_chr4:106947943-106947954(+)::chr4:106947942-106947954(+) AGAAGGAAGTGA >mm10_chr4:106976615-106976626(+)::chr4:106976614-106976626(+) TTGAGGAAGTAG >mm10_chr4:106981553-106981564(-)::chr4:106981552-106981564(-) AGAAGGAAGTAG >mm10_chr4:106981589-106981600(-)::chr4:106981588-106981600(-) ACAAGGAAGGCC >mm10_chr4:106982532-106982543(-)::chr4:106982531-106982543(-) AGAAGGAAGCTC >mm10_chr4:106995158-106995169(-)::chr4:106995157-106995169(-) ATGAGGAAGGCC >mm10_chr4:107012565-107012576(+)::chr4:107012564-107012576(+) AGAAGGAAAATA >mm10_chr4:107056153-107056164(+)::chr4:107056152-107056164(+) CAAAGGAAATGG >mm10_chr4:107056166-107056177(-)::chr4:107056165-107056177(-) CTGAGGAAGTGA >mm10_chr4:107082379-107082390(+)::chr4:107082378-107082390(+) aacaggaagcaa >mm10_chr4:107099295-107099306(+)::chr4:107099294-107099306(+) AGGAGGAAATCA >mm10_chr4:107178335-107178346(-)::chr4:107178334-107178346(-) GCGAGGAACTGG >mm10_chr4:107178355-107178366(+)::chr4:107178354-107178366(+) CGCAGGAACTAC >mm10_chr4:107213738-107213749(+)::chr4:107213737-107213749(+) ATGAGGAAGAGG >mm10_chr4:107213744-107213755(+)::chr4:107213743-107213755(+) AAGAGGAAGTGT >mm10_chr4:107224015-107224026(-)::chr4:107224014-107224026(-) TGCAGGAAATGT >mm10_chr4:107263498-107263509(+)::chr4:107263497-107263509(+) GCGAGGACGTAG >mm10_chr4:107263508-107263519(+)::chr4:107263507-107263519(+) AGAGGGAAGTCG >mm10_chr4:107360642-107360653(-)::chr4:107360641-107360653(-) GACAGGAAGCAG >mm10_chr4:107535209-107535220(+)::chr4:107535208-107535220(+) TCCAGGAAGAGG >mm10_chr4:107535215-107535226(+)::chr4:107535214-107535226(+) AAGAGGAAGTTG >mm10_chr4:107574165-107574176(-)::chr4:107574164-107574176(-) GCAAGGACGTGA >mm10_chr4:107574180-107574191(-)::chr4:107574179-107574191(-) GGCAGGAAGCAC >mm10_chr4:107586415-107586426(-)::chr4:107586414-107586426(-) accaggaagtga >mm10_chr4:107588234-107588245(-)::chr4:107588233-107588245(-) GGAAGGAAGGTG >mm10_chr4:107588258-107588269(-)::chr4:107588257-107588269(-) ACCAGGAAGCTG >mm10_chr4:107597185-107597196(-)::chr4:107597184-107597196(-) ACAAGGAAGAGT >mm10_chr4:107637945-107637956(+)::chr4:107637944-107637956(+) aggaggaagacg >mm10_chr4:107637969-107637980(+)::chr4:107637968-107637980(+) aggaggaagagg >mm10_chr4:107649918-107649929(+)::chr4:107649917-107649929(+) TGGAGGAAATGA >mm10_chr4:107661054-107661065(-)::chr4:107661053-107661065(-) GTAAGGTAGTGG >mm10_chr4:107777166-107777177(+)::chr4:107777165-107777177(+) AAGAGGAAGTAG >mm10_chr4:107787879-107787890(-)::chr4:107787878-107787890(-) AGAAGGAAGCTC >mm10_chr4:107787890-107787901(-)::chr4:107787889-107787901(-) TCCAGGAAGTAA >mm10_chr4:107804000-107804011(+)::chr4:107803999-107804011(+) AGGAGGAAGAGT >mm10_chr4:107808491-107808502(+)::chr4:107808490-107808502(+) TAGAGGAAGAAC >mm10_chr4:107809809-107809820(-)::chr4:107809808-107809820(-) AGAAGGAAGTCC >mm10_chr4:107815255-107815266(-)::chr4:107815254-107815266(-) GAGAGGAAGGGA >mm10_chr4:107821306-107821317(-)::chr4:107821305-107821317(-) ACAGGGAAGTAT >mm10_chr4:107919575-107919586(+)::chr4:107919574-107919586(+) aacaggaaggca >mm10_chr4:107922358-107922369(+)::chr4:107922357-107922369(+) agcaggaaggat >mm10_chr4:107987446-107987457(+)::chr4:107987445-107987457(+) ACCAGGAAGAAG >mm10_chr4:107987512-107987523(+)::chr4:107987511-107987523(+) ATGAGGAAGGCC >mm10_chr4:107990856-107990867(-)::chr4:107990855-107990867(-) CTCAGGAAGTAG >mm10_chr4:107996256-107996267(-)::chr4:107996255-107996267(-) AGCAGGAAGTTG >mm10_chr4:108051922-108051933(+)::chr4:108051921-108051933(+) tcaaggaagagt >mm10_chr4:108051969-108051980(+)::chr4:108051968-108051980(+) tggaggaagtca >mm10_chr4:108070736-108070747(+)::chr4:108070735-108070747(+) AGGAGGAAGAGA >mm10_chr4:108082455-108082466(+)::chr4:108082454-108082466(+) aacaggaagacc >mm10_chr4:108300424-108300435(+)::chr4:108300423-108300435(+) ACAAGGAAATGG >mm10_chr4:108318837-108318848(-)::chr4:108318836-108318848(-) TGCAGGAAGTAG >mm10_chr4:108328226-108328237(-)::chr4:108328225-108328237(-) TCCAGGAAGGAC >mm10_chr4:108348546-108348557(+)::chr4:108348545-108348557(+) ATCAGGAAGCTG >mm10_chr4:108350046-108350057(+)::chr4:108350045-108350057(+) GACAGGAAGGAA >mm10_chr4:108350050-108350061(+)::chr4:108350049-108350061(+) GGAAGGAAGCTA >mm10_chr4:108460353-108460364(-)::chr4:108460352-108460364(-) AGGAGGAAGTGC >mm10_chr4:108460409-108460420(+)::chr4:108460408-108460420(+) GGAGGGAAGTCG >mm10_chr4:108619685-108619696(+)::chr4:108619684-108619696(+) ACGCGGAAGCGC >mm10_chr4:108721101-108721112(+)::chr4:108721100-108721112(+) aggaggaagacg >mm10_chr4:108721110-108721121(+)::chr4:108721109-108721121(+) acgaggaagaga >mm10_chr4:108721130-108721141(+)::chr4:108721129-108721141(+) aaaaggaagaga >mm10_chr4:108721152-108721163(+)::chr4:108721151-108721163(+) aggaggaagagg >mm10_chr4:108721158-108721169(+)::chr4:108721157-108721169(+) aagaggaagaag >mm10_chr4:108762123-108762134(+)::chr4:108762122-108762134(+) ATAAGGAAGTAG >mm10_chr4:108762188-108762199(-)::chr4:108762187-108762199(-) AGGAGGAAGTCT >mm10_chr4:108779719-108779730(-)::chr4:108779718-108779730(-) GACAGGAAATGT >mm10_chr4:108826899-108826910(+)::chr4:108826898-108826910(+) aagaggaaggga >mm10_chr4:108826910-108826921(+)::chr4:108826909-108826921(+) agaaggaaatga >mm10_chr4:108834526-108834537(-)::chr4:108834525-108834537(-) AGAAGGATGTGG >mm10_chr4:108949435-108949446(-)::chr4:108949434-108949446(-) TTAAGGAAGGCT >mm10_chr4:109000459-109000470(-)::chr4:109000458-109000470(-) CGAAGGAAAAGA >mm10_chr4:109013698-109013709(+)::chr4:109013697-109013709(+) atgaggaaggtt >mm10_chr4:109079833-109079844(+)::chr4:109079832-109079844(+) AAAAGGAAGCAA >mm10_chr4:109089427-109089438(-)::chr4:109089426-109089438(-) AGGAGGAAGTGG >mm10_chr4:109104002-109104013(-)::chr4:109104001-109104013(-) aggaggaagagg >mm10_chr4:109104014-109104025(-)::chr4:109104013-109104025(-) aggaggaagaag >mm10_chr4:109107064-109107075(+)::chr4:109107063-109107075(+) ACAAGGAAAGAA >mm10_chr4:109107115-109107126(+)::chr4:109107114-109107126(+) AAAAGGAAGACC >mm10_chr4:109122969-109122980(-)::chr4:109122968-109122980(-) AAAAGGAAGTGC >mm10_chr4:109123367-109123378(+)::chr4:109123366-109123378(+) aagaggaagcag >mm10_chr4:109123922-109123933(-)::chr4:109123921-109123933(-) ataaggaaacag >mm10_chr4:109123966-109123977(-)::chr4:109123965-109123977(-) ataaggaaacag >mm10_chr4:109133849-109133860(-)::chr4:109133848-109133860(-) TGAAGGAAATAA >mm10_chr4:109133871-109133882(+)::chr4:109133870-109133882(+) ATAAGGAAGTAT >mm10_chr4:109139443-109139454(+)::chr4:109139442-109139454(+) GCCAGGAAGTTG >mm10_chr4:109143608-109143619(+)::chr4:109143607-109143619(+) AAAAGGAAGTAG >mm10_chr4:109156881-109156892(+)::chr4:109156880-109156892(+) AAGAGGAAGAAC >mm10_chr4:109177171-109177182(-)::chr4:109177170-109177182(-) CAGAGGAAGTAT >mm10_chr4:109197190-109197201(+)::chr4:109197189-109197201(+) acaaggaagaca >mm10_chr4:109221548-109221559(+)::chr4:109221547-109221559(+) gggaggaagagg >mm10_chr4:109221560-109221571(+)::chr4:109221559-109221571(+) aggaggaagaag >mm10_chr4:109221584-109221595(+)::chr4:109221583-109221595(+) aggaggaagagg >mm10_chr4:109226299-109226310(+)::chr4:109226298-109226310(+) ggcaggaagtgg >mm10_chr4:109226340-109226351(-)::chr4:109226339-109226351(-) aggaggaagccg >mm10_chr4:109235131-109235142(+)::chr4:109235130-109235142(+) cccaggaagtgg >mm10_chr4:109246924-109246935(+)::chr4:109246923-109246935(+) GGAATGAAGTCA >mm10_chr4:109246965-109246976(+)::chr4:109246964-109246976(+) GGGAGGAAGAAG >mm10_chr4:109246972-109246983(+)::chr4:109246971-109246983(+) AGAAGGAAGAGT >mm10_chr4:109246992-109247003(-)::chr4:109246991-109247003(-) GGAAGGAAGGAA >mm10_chr4:109246996-109247007(-)::chr4:109246995-109247007(-) GTCAGGAAGGAA >mm10_chr4:109255242-109255253(+)::chr4:109255241-109255253(+) AACAGGAAGTGG >mm10_chr4:109255275-109255286(+)::chr4:109255274-109255286(+) GGCAGGAAGTTC >mm10_chr4:109255501-109255512(-)::chr4:109255500-109255512(-) atgaggaagtta >mm10_chr4:109285471-109285482(+)::chr4:109285470-109285482(+) gggaggaagatg >mm10_chr4:109303646-109303657(-)::chr4:109303645-109303657(-) AGAAGGAAGTAC >mm10_chr4:109361851-109361862(+)::chr4:109361850-109361862(+) AACAGGAAATCA >mm10_chr4:109380191-109380202(-)::chr4:109380190-109380202(-) GACAGGAAGGGG >mm10_chr4:109390712-109390723(-)::chr4:109390711-109390723(-) ggaaggaaggaa >mm10_chr4:109390716-109390727(-)::chr4:109390715-109390727(-) ggaaggaaggaa >mm10_chr4:109390720-109390731(-)::chr4:109390719-109390731(-) ggaaggaaggaa >mm10_chr4:109390724-109390735(-)::chr4:109390723-109390735(-) ggaaggaaggaa >mm10_chr4:109391595-109391606(+)::chr4:109391594-109391606(+) TGAAGGAAGtgt >mm10_chr4:109400577-109400588(-)::chr4:109400576-109400588(-) CAAAGGAAGGAG >mm10_chr4:109400832-109400843(-)::chr4:109400831-109400843(-) AGAAGGAAGCTC >mm10_chr4:109405416-109405427(+)::chr4:109405415-109405427(+) ACCaggaaggag >mm10_chr4:109406220-109406231(-)::chr4:109406219-109406231(-) AAGAGGAAGCAA >mm10_chr4:109408015-109408026(+)::chr4:109408014-109408026(+) TGGAGGAAGTCA >mm10_chr4:109420323-109420334(+)::chr4:109420322-109420334(+) GGAAGGAAGGAG >mm10_chr4:109420899-109420910(-)::chr4:109420898-109420910(-) ACAAGGAAAGGG >mm10_chr4:109420920-109420931(-)::chr4:109420919-109420931(-) GCCAGGAAGTTC >mm10_chr4:109420957-109420968(-)::chr4:109420956-109420968(-) TGAAGGAAGAGG >mm10_chr4:109550493-109550504(-)::chr4:109550492-109550504(-) agagggaaggga >mm10_chr4:109550500-109550511(-)::chr4:109550499-109550511(-) gggaggaagagg >mm10_chr4:109577845-109577856(-)::chr4:109577844-109577856(-) aggaggaagaag >mm10_chr4:109577860-109577871(-)::chr4:109577859-109577871(-) aagaggaagaag >mm10_chr4:109577866-109577877(-)::chr4:109577865-109577877(-) aggaggaagagg >mm10_chr4:109577895-109577906(-)::chr4:109577894-109577906(-) AAAAGGAAGATa >mm10_chr4:109609966-109609977(-)::chr4:109609965-109609977(-) ggaaggaagaca >mm10_chr4:109609970-109609981(-)::chr4:109609969-109609981(-) aggaggaaggaa >mm10_chr4:109641702-109641713(+)::chr4:109641701-109641713(+) agcaggaagatg >mm10_chr4:109649699-109649710(+)::chr4:109649698-109649710(+) accaggaagtct >mm10_chr4:109679899-109679910(-)::chr4:109679898-109679910(-) aggaggaagagg >mm10_chr4:109679906-109679917(-)::chr4:109679905-109679917(-) aggaggaaggag >mm10_chr4:109714840-109714851(+)::chr4:109714839-109714851(+) acaaGGAAAAGG >mm10_chr4:109760652-109760663(+)::chr4:109760651-109760663(+) GTCAGGAAATTA >mm10_chr4:109922014-109922025(-)::chr4:109922013-109922025(-) ACCAGGAAGTCT >mm10_chr4:109935523-109935534(+)::chr4:109935522-109935534(+) CGAAGGAAGAAA >mm10_chr4:109987885-109987896(-)::chr4:109987884-109987896(-) AAAAGGAAGAGG >mm10_chr4:109987898-109987909(-)::chr4:109987897-109987909(-) AGAAGGAAAAAA >mm10_chr4:110035341-110035352(+)::chr4:110035340-110035352(+) AAGAggaagata >mm10_chr4:110064779-110064790(-)::chr4:110064778-110064790(-) AGCAGGAAATGG >mm10_chr4:110074812-110074823(-)::chr4:110074811-110074823(-) AGAAGGAAGAAG >mm10_chr4:110644381-110644392(+)::chr4:110644380-110644392(+) AGAAGGAAAATg >mm10_chr4:110644393-110644404(+)::chr4:110644392-110644404(+) aaggggaagtag >mm10_chr4:111254225-111254236(+)::chr4:111254224-111254236(+) AACAGGAAGTGG >mm10_chr4:111254245-111254256(+)::chr4:111254244-111254256(+) AACAGGAAGTAG >mm10_chr4:111331373-111331384(+)::chr4:111331372-111331384(+) AGAATGAAGTCA >mm10_chr4:111331409-111331420(-)::chr4:111331408-111331420(-) AGGAGGAAGAAG >mm10_chr4:111350923-111350934(+)::chr4:111350922-111350934(+) AAGAGGAAGTAG >mm10_chr4:111365404-111365415(-)::chr4:111365403-111365415(-) AAAAGGAAGTGA >mm10_chr4:111365441-111365452(-)::chr4:111365440-111365452(-) ACAAGGAAGAAA >mm10_chr4:111400517-111400528(-)::chr4:111400516-111400528(-) GAAAGGAAATGT >mm10_chr4:111418462-111418473(+)::chr4:111418461-111418473(+) GTGAGGAAGGAT >mm10_chr4:111422247-111422258(+)::chr4:111422246-111422258(+) AGGAGGAAGTGT >mm10_chr4:111425443-111425454(+)::chr4:111425442-111425454(+) AGGAGGAAGGCC >mm10_chr4:111425453-111425464(-)::chr4:111425452-111425464(-) AACAGGAAATGG >mm10_chr4:111440555-111440566(-)::chr4:111440554-111440566(-) AGCAGGAAGCAT >mm10_chr4:111442439-111442450(+)::chr4:111442438-111442450(+) ACCAGGAAGCTG >mm10_chr4:111449689-111449700(-)::chr4:111449688-111449700(-) gtacggaagtag >mm10_chr4:111449697-111449708(-)::chr4:111449696-111449708(-) cacaggaagtac >mm10_chr4:111450076-111450087(-)::chr4:111450075-111450087(-) AGGAGGAAGCAA >mm10_chr4:111451086-111451097(+)::chr4:111451085-111451097(+) GTCAGGAAGTAA >mm10_chr4:111485353-111485364(-)::chr4:111485352-111485364(-) AGGAGGAAGGCA >mm10_chr4:111498584-111498595(+)::chr4:111498583-111498595(+) AGGAGGAAGCAA >mm10_chr4:111586546-111586557(-)::chr4:111586545-111586557(-) AGAAGGAAATTG >mm10_chr4:111586553-111586564(-)::chr4:111586552-111586564(-) GGAAGGAAGAAG >mm10_chr4:111586587-111586598(-)::chr4:111586586-111586598(-) AACAGGAAGAAA >mm10_chr4:111653664-111653675(-)::chr4:111653663-111653675(-) AGGAGGAAGCAC >mm10_chr4:111686990-111687001(+)::chr4:111686989-111687001(+) TAAGGGAAGTGC >mm10_chr4:111901639-111901650(-)::chr4:111901638-111901650(-) ATAAGGAAACAA >mm10_chr4:111951422-111951433(-)::chr4:111951421-111951433(-) AGGAGGAAGGAG >mm10_chr4:112613541-112613552(+)::chr4:112613540-112613552(+) TGTAGGAAGTTC >mm10_chr4:112773207-112773218(+)::chr4:112773206-112773218(+) AAGAGGAAGAGT >mm10_chr4:112773225-112773236(-)::chr4:112773224-112773236(-) TGCAGGAAGAAA >mm10_chr4:114333042-114333053(-)::chr4:114333041-114333053(-) acaaggaagttc >mm10_chr4:114333103-114333114(-)::chr4:114333102-114333114(-) ggaaggaagaag >mm10_chr4:114335076-114335087(-)::chr4:114335075-114335087(-) atgaggaagtag >mm10_chr4:114340817-114340828(+)::chr4:114340816-114340828(+) ATGAGGAAGCAA >mm10_chr4:114386719-114386730(-)::chr4:114386718-114386730(-) CCAAGGAAGCAC >mm10_chr4:114432855-114432866(-)::chr4:114432854-114432866(-) AGCAGGAACTAA >mm10_chr4:114461627-114461638(+)::chr4:114461626-114461638(+) AGGAGGAAATCA >mm10_chr4:114482725-114482736(+)::chr4:114482724-114482736(+) AGGAGGAAGCAA >mm10_chr4:114487826-114487837(+)::chr4:114487825-114487837(+) AGCAGGAAGGGA >mm10_chr4:114501856-114501867(+)::chr4:114501855-114501867(+) TCCAGGAAGTGA >mm10_chr4:114508885-114508896(+)::chr4:114508884-114508896(+) GAAAGGAAGAGA >mm10_chr4:114508893-114508904(+)::chr4:114508892-114508904(+) GAGAGGAAGAAG >mm10_chr4:114508914-114508925(+)::chr4:114508913-114508925(+) ATGAGGAAGGAT >mm10_chr4:114515600-114515611(-)::chr4:114515599-114515611(-) GGAAGGAGGTGA >mm10_chr4:114548027-114548038(+)::chr4:114548026-114548038(+) aggaggaagttt >mm10_chr4:114548094-114548105(+)::chr4:114548093-114548105(+) AGAGGGAAGTTG >mm10_chr4:114582945-114582956(+)::chr4:114582944-114582956(+) AGTAGGAACTAA >mm10_chr4:114595159-114595170(-)::chr4:114595158-114595170(-) AGTAGGAAGAAA >mm10_chr4:114595166-114595177(-)::chr4:114595165-114595177(-) AGAGGGAAGTAG >mm10_chr4:114625588-114625599(-)::chr4:114625587-114625599(-) AAGAGGAAGTGT >mm10_chr4:114652587-114652598(-)::chr4:114652586-114652598(-) agcaggaagtag >mm10_chr4:114652608-114652619(-)::chr4:114652607-114652619(-) tacaggaagcag >mm10_chr4:114652644-114652655(-)::chr4:114652643-114652655(-) aacaggaagcag >mm10_chr4:114779695-114779706(-)::chr4:114779694-114779706(-) AGAAGGAAACAG >mm10_chr4:114781358-114781369(-)::chr4:114781357-114781369(-) AGGAGGAAGCAG >mm10_chr4:114822272-114822283(+)::chr4:114822271-114822283(+) ctaaggaaatgg >mm10_chr4:114822301-114822312(+)::chr4:114822300-114822312(+) aagaggaagaga >mm10_chr4:114835397-114835408(-)::chr4:114835396-114835408(-) AGAAGGGAGTGA >mm10_chr4:114835410-114835421(+)::chr4:114835409-114835421(+) ACAAGGAAAAAG >mm10_chr4:114844813-114844824(+)::chr4:114844812-114844824(+) ATAAGGAAGGAG >mm10_chr4:114917018-114917029(-)::chr4:114917017-114917029(-) AGGAGGAAGGAG >mm10_chr4:114917025-114917036(-)::chr4:114917024-114917036(-) TAAAGGAAGGAG >mm10_chr4:114917063-114917074(+)::chr4:114917062-114917074(+) AAGAGGAAGTAG >mm10_chr4:114949862-114949873(+)::chr4:114949861-114949873(+) AGAGGGAAATAT >mm10_chr4:115056985-115056996(-)::chr4:115056984-115056996(-) GGAAGGAAGAGA >mm10_chr4:115056989-115057000(-)::chr4:115056988-115057000(-) GGAAGGAAGGAA >mm10_chr4:115089180-115089191(+)::chr4:115089179-115089191(+) TCGCGGAAGTGG >mm10_chr4:115096588-115096599(-)::chr4:115096587-115096599(-) GGAAGGAAGCTC >mm10_chr4:115096961-115096972(+)::chr4:115096960-115096972(+) TCCAGGAAGTAA >mm10_chr4:115096989-115097000(-)::chr4:115096988-115097000(-) GGGAGGAAGAGA >mm10_chr4:115101829-115101840(-)::chr4:115101828-115101840(-) CCAAGGAAGAAA >mm10_chr4:115127267-115127278(+)::chr4:115127266-115127278(+) ACAAGGAACTGG >mm10_chr4:115450385-115450396(+)::chr4:115450384-115450396(+) ataaggaaatgt >mm10_chr4:115450596-115450607(+)::chr4:115450595-115450607(+) agaaggaaaaag >mm10_chr4:115450638-115450649(+)::chr4:115450637-115450649(+) acaaggaagaag >mm10_chr4:115450645-115450656(+)::chr4:115450644-115450656(+) agaaggaaggaa >mm10_chr4:115450649-115450660(+)::chr4:115450648-115450660(+) ggaaggaaggag >mm10_chr4:115450662-115450673(+)::chr4:115450661-115450673(+) ataaggaagaat >mm10_chr4:115491600-115491611(-)::chr4:115491599-115491611(-) GCCAGGAAGAAG >mm10_chr4:115491620-115491631(-)::chr4:115491619-115491631(-) ATGAGGAAGAGC >mm10_chr4:115491642-115491653(-)::chr4:115491641-115491653(-) ATGAGGAAGTCT >mm10_chr4:115602282-115602293(+)::chr4:115602281-115602293(+) TAAAGGAAGTTG >mm10_chr4:115740905-115740916(-)::chr4:115740904-115740916(-) AAGAGGAAGTCA >mm10_chr4:115827966-115827977(-)::chr4:115827965-115827977(-) TCCGGGAAGTGG >mm10_chr4:115828917-115828928(-)::chr4:115828916-115828928(-) GTACGGAAGGTA >mm10_chr4:115839211-115839222(-)::chr4:115839210-115839222(-) ACGCGGAAGAGG >mm10_chr4:115847484-115847495(+)::chr4:115847483-115847495(+) AGGAGGAAGGAA >mm10_chr4:115847488-115847499(+)::chr4:115847487-115847499(+) GGAAGGAAGCTG >mm10_chr4:115850132-115850143(-)::chr4:115850131-115850143(-) AACAGGAAGTGT >mm10_chr4:115907134-115907145(+)::chr4:115907133-115907145(+) AGAAGGAAGGGA >mm10_chr4:115908798-115908809(+)::chr4:115908797-115908809(+) AGGAGGAAGAAC >mm10_chr4:115912285-115912296(+)::chr4:115912284-115912296(+) aggaggaagctg >mm10_chr4:115980785-115980796(-)::chr4:115980784-115980796(-) ATGAGGAAATCG >mm10_chr4:115980828-115980839(+)::chr4:115980827-115980839(+) GGAAGGAAGTGC >mm10_chr4:116005736-116005747(+)::chr4:116005735-116005747(+) ACAAGGAAGCCA >mm10_chr4:116005778-116005789(-)::chr4:116005777-116005789(-) TACAGGAAGTAT >mm10_chr4:116017267-116017278(-)::chr4:116017266-116017278(-) AAAGGGAAGCGG >mm10_chr4:116117958-116117969(+)::chr4:116117957-116117969(+) aagaggaagaag >mm10_chr4:116117970-116117981(+)::chr4:116117969-116117981(+) aagaggaagagg >mm10_chr4:116117976-116117987(+)::chr4:116117975-116117987(+) aagaggaagaag >mm10_chr4:116117991-116118002(+)::chr4:116117990-116118002(+) aggaggaagagc >mm10_chr4:116118015-116118026(+)::chr4:116118014-116118026(+) aggaggaagaAG >mm10_chr4:116162937-116162948(-)::chr4:116162936-116162948(-) ACCAGGAAGAGG >mm10_chr4:116162971-116162982(+)::chr4:116162970-116162982(+) AGGAGGAAGTAG >mm10_chr4:116166229-116166240(-)::chr4:116166228-116166240(-) tggaggaagtag >mm10_chr4:116168835-116168846(+)::chr4:116168834-116168846(+) AACAGGAAATGG >mm10_chr4:116168855-116168866(-)::chr4:116168854-116168866(-) GAAAGGAAGAGG >mm10_chr4:116168903-116168914(-)::chr4:116168902-116168914(-) aggaggaagagg >mm10_chr4:116171965-116171976(+)::chr4:116171964-116171976(+) TTAAGGAAGTCA >mm10_chr4:116176724-116176735(+)::chr4:116176723-116176735(+) AGGAGGAAGTGG >mm10_chr4:116177335-116177346(-)::chr4:116177334-116177346(-) GGCCGGAAGTGG >mm10_chr4:116177351-116177362(-)::chr4:116177350-116177362(-) ATGAGGAAGGAA >mm10_chr4:116185562-116185573(+)::chr4:116185561-116185573(+) AGAAGGAAATAG >mm10_chr4:116185577-116185588(-)::chr4:116185576-116185588(-) TCCAGGAAGTTT >mm10_chr4:116233519-116233530(+)::chr4:116233518-116233530(+) agcaggaagaAG >mm10_chr4:116273916-116273927(-)::chr4:116273915-116273927(-) GCCAGGAAGACA >mm10_chr4:116286051-116286062(+)::chr4:116286050-116286062(+) TGTAGGAAATAC >mm10_chr4:116299579-116299590(-)::chr4:116299578-116299590(-) CAGAGGAAGTCC >mm10_chr4:116300585-116300596(-)::chr4:116300584-116300596(-) AAAAGGAAATAC >mm10_chr4:116304755-116304766(-)::chr4:116304754-116304766(-) tagaggaaggag >mm10_chr4:116336485-116336496(-)::chr4:116336484-116336496(-) gagaggaagtcc >mm10_chr4:116336511-116336522(+)::chr4:116336510-116336522(+) gggaggaaggag >mm10_chr4:116336524-116336535(+)::chr4:116336523-116336535(+) gagaggaagtag >mm10_chr4:116344104-116344115(-)::chr4:116344103-116344115(-) aggaggaagaaa >mm10_chr4:116344116-116344127(-)::chr4:116344115-116344127(-) aggaggaagaag >mm10_chr4:116353175-116353186(-)::chr4:116353174-116353186(-) ACAGGGAAGTGA >mm10_chr4:116395648-116395659(+)::chr4:116395647-116395659(+) aggaggaagaag >mm10_chr4:116395693-116395704(+)::chr4:116395692-116395704(+) aggaggaagagg >mm10_chr4:116395699-116395710(+)::chr4:116395698-116395710(+) aagaggaagagg >mm10_chr4:116395716-116395727(+)::chr4:116395715-116395727(+) aggaggaagTTG >mm10_chr4:116472073-116472084(+)::chr4:116472072-116472084(+) CGAAGCAAGTCA >mm10_chr4:116472105-116472116(+)::chr4:116472104-116472116(+) TGCAGGAAGAGA >mm10_chr4:116503154-116503165(+)::chr4:116503153-116503165(+) ttaaggaagaag >mm10_chr4:116503194-116503205(+)::chr4:116503193-116503205(+) gtgaggaaggta >mm10_chr4:116507694-116507705(-)::chr4:116507693-116507705(-) ATGAGGAAGTTC >mm10_chr4:116555961-116555972(-)::chr4:116555960-116555972(-) CTCAGGAAGTGG >mm10_chr4:116556830-116556841(-)::chr4:116556829-116556841(-) TGGAGGAAGTAG >mm10_chr4:116556858-116556869(-)::chr4:116556857-116556869(-) TTCAGGAAGTTA >mm10_chr4:116590585-116590596(-)::chr4:116590584-116590596(-) TTGAGGAAGTAG >mm10_chr4:116597522-116597533(+)::chr4:116597521-116597533(+) TCAAGGAAGAGG >mm10_chr4:116614543-116614554(-)::chr4:116614542-116614554(-) GGCAGGAAGAAT >mm10_chr4:116627642-116627653(-)::chr4:116627641-116627653(-) GGCGGGAAGATA >mm10_chr4:116627688-116627699(+)::chr4:116627687-116627699(+) aaaagaaagtaa >mm10_chr4:116632161-116632172(-)::chr4:116632160-116632172(-) agcaggaaatga >mm10_chr4:116650968-116650979(-)::chr4:116650967-116650979(-) TGGCGGAAGTGG >mm10_chr4:116650977-116650988(-)::chr4:116650976-116650988(-) CCTAGGAAGTGG >mm10_chr4:116656237-116656248(-)::chr4:116656236-116656248(-) ggaaggaaatag >mm10_chr4:116656241-116656252(-)::chr4:116656240-116656252(-) gacaggaaggaa >mm10_chr4:116668423-116668434(+)::chr4:116668422-116668434(+) AGAAGGAAGCAG >mm10_chr4:116668472-116668483(-)::chr4:116668471-116668483(-) GAAATGAAGTTA >mm10_chr4:116672892-116672903(-)::chr4:116672891-116672903(-) GGCAGGAACTAG >mm10_chr4:116685605-116685616(-)::chr4:116685604-116685616(-) CGCAGGAAGAGG >mm10_chr4:116750076-116750087(-)::chr4:116750075-116750087(-) AGAAGGAAACAG >mm10_chr4:116788192-116788203(+)::chr4:116788191-116788203(+) ATGGGGAAGTGA >mm10_chr4:116788245-116788256(-)::chr4:116788244-116788256(-) ACAAGGAAGATT >mm10_chr4:116807628-116807639(-)::chr4:116807627-116807639(-) GAACGGAAGTTG >mm10_chr4:116821629-116821640(-)::chr4:116821628-116821640(-) TGAAGGAACTGC >mm10_chr4:116850987-116850998(-)::chr4:116850986-116850998(-) gaaaGGAAGACC >mm10_chr4:116878731-116878742(-)::chr4:116878730-116878742(-) ACAAGGAAGGCA >mm10_chr4:117034415-117034426(-)::chr4:117034414-117034426(-) AACAGGAAGAAG >mm10_chr4:117061939-117061950(-)::chr4:117061938-117061950(-) TTAAGGAAGCAC >mm10_chr4:117104826-117104837(+)::chr4:117104825-117104837(+) ACCAGGAAGGGG >mm10_chr4:117120699-117120710(-)::chr4:117120698-117120710(-) CCCAGGAAGTTG >mm10_chr4:117136248-117136259(+)::chr4:117136247-117136259(+) agacggaagcta >mm10_chr4:117159954-117159965(+)::chr4:117159953-117159965(+) AAGAGGAAGCAC >mm10_chr4:117218764-117218775(-)::chr4:117218763-117218775(-) AAAAGGAAGTGG >mm10_chr4:117252706-117252717(+)::chr4:117252705-117252717(+) tgatggaagtaa >mm10_chr4:117254115-117254126(-)::chr4:117254114-117254126(-) GAGAGGAAGAAG >mm10_chr4:117254165-117254176(+)::chr4:117254164-117254176(+) AAGAGGAAGTTC >mm10_chr4:117278900-117278911(-)::chr4:117278899-117278911(-) ATGAGGAAATGT >mm10_chr4:117289806-117289817(-)::chr4:117289805-117289817(-) GTGAGGAAGTCT >mm10_chr4:117305526-117305537(-)::chr4:117305525-117305537(-) ggcaggaagaaC >mm10_chr4:117305550-117305561(-)::chr4:117305549-117305561(-) gaaaggaaggca >mm10_chr4:117305558-117305569(-)::chr4:117305557-117305569(-) GATaggaagaaa >mm10_chr4:117311311-117311322(+)::chr4:117311310-117311322(+) AGCAGGAAGGAG >mm10_chr4:117311381-117311392(+)::chr4:117311380-117311392(+) AGGGGGAAGTGA >mm10_chr4:117356332-117356343(-)::chr4:117356331-117356343(-) AACAGGAAGTGG >mm10_chr4:117356353-117356364(-)::chr4:117356352-117356364(-) CCAGGGAAGTGG >mm10_chr4:117375014-117375025(+)::chr4:117375013-117375025(+) gaaaggaagaag >mm10_chr4:117375029-117375040(+)::chr4:117375028-117375040(+) aagaggaagaaa >mm10_chr4:117375041-117375052(+)::chr4:117375040-117375052(+) aagaggaagacc >mm10_chr4:117375064-117375075(+)::chr4:117375063-117375075(+) aggaggaagagg >mm10_chr4:117410302-117410313(+)::chr4:117410301-117410313(+) AAGAGGAAGAAA >mm10_chr4:117410335-117410346(+)::chr4:117410334-117410346(+) AGCAGGAAGGAA >mm10_chr4:117410339-117410350(+)::chr4:117410338-117410350(+) GGAAGGAAGAGG >mm10_chr4:117478057-117478068(-)::chr4:117478056-117478068(-) AGAAGGAAGAGG >mm10_chr4:117478064-117478075(-)::chr4:117478063-117478075(-) GGGAGGAAGAAG >mm10_chr4:117565849-117565860(-)::chr4:117565848-117565860(-) GCAAGGAAGGAA >mm10_chr4:117565868-117565879(-)::chr4:117565867-117565879(-) TCAAGGAAGGTC >mm10_chr4:117566600-117566611(-)::chr4:117566599-117566611(-) ACGAGGAAGTGC >mm10_chr4:117589809-117589820(-)::chr4:117589808-117589820(-) TGCAGGAAGCAG >mm10_chr4:117589836-117589847(+)::chr4:117589835-117589847(+) ATAAGGAAGAGG >mm10_chr4:117595992-117596003(-)::chr4:117595991-117596003(-) aggaggaagaag >mm10_chr4:117596004-117596015(-)::chr4:117596003-117596015(-) aggaggaaggag >mm10_chr4:117596024-117596035(-)::chr4:117596023-117596035(-) aagaggaagaga >mm10_chr4:117596030-117596041(-)::chr4:117596029-117596041(-) aggaggaagagg >mm10_chr4:117601485-117601496(-)::chr4:117601484-117601496(-) GGGAGGAAGAGG >mm10_chr4:117608407-117608418(+)::chr4:117608406-117608418(+) AAGAGGAAATTA >mm10_chr4:117618479-117618490(+)::chr4:117618478-117618490(+) aggaggaaataa >mm10_chr4:117618487-117618498(+)::chr4:117618486-117618498(+) ataaggaagtag >mm10_chr4:117631145-117631156(+)::chr4:117631144-117631156(+) AAAAGGAAGTAA >mm10_chr4:117645899-117645910(-)::chr4:117645898-117645910(-) ACCAGGAAGCAC >mm10_chr4:117682238-117682249(-)::chr4:117682237-117682249(-) AGCCGGAAGTTC >mm10_chr4:117682278-117682289(-)::chr4:117682277-117682289(-) GACCGGAAGTGT >mm10_chr4:117740355-117740366(-)::chr4:117740354-117740366(-) accaggatgtag >mm10_chr4:117740377-117740388(+)::chr4:117740376-117740388(+) agggggaagtaa >mm10_chr4:117740393-117740404(+)::chr4:117740392-117740404(+) tgaaggaagcaa >mm10_chr4:117778677-117778688(+)::chr4:117778676-117778688(+) agaaggaagaag >mm10_chr4:117778689-117778700(+)::chr4:117778688-117778700(+) aggaggaaggaa >mm10_chr4:117778693-117778704(+)::chr4:117778692-117778704(+) ggaaggaagagg >mm10_chr4:117778708-117778719(+)::chr4:117778707-117778719(+) aggaggaagaAG >mm10_chr4:117788518-117788529(-)::chr4:117788517-117788529(-) ACCAGGAAGTTT >mm10_chr4:117830121-117830132(-)::chr4:117830120-117830132(-) GGAAGGAAGGCT >mm10_chr4:117830151-117830162(-)::chr4:117830150-117830162(-) GTCAGGAAGTTC >mm10_chr4:117893548-117893559(+)::chr4:117893547-117893559(+) TAAAGGAAGAAG >mm10_chr4:117913662-117913673(+)::chr4:117913661-117913673(+) GTAAGGAAGGAA >mm10_chr4:117913666-117913677(+)::chr4:117913665-117913677(+) GGAAGGAAGGGC >mm10_chr4:117916037-117916048(+)::chr4:117916036-117916048(+) TGGAGGAAGGCA >mm10_chr4:117916066-117916077(-)::chr4:117916065-117916077(-) GAGAGGAAGTGC >mm10_chr4:117916116-117916127(+)::chr4:117916115-117916127(+) GAAAGGAAATGA >mm10_chr4:117947289-117947300(+)::chr4:117947288-117947300(+) ACAAGGAAGTGT >mm10_chr4:117947652-117947663(-)::chr4:117947651-117947663(-) aaagggaagtga >mm10_chr4:117990996-117991007(+)::chr4:117990995-117991007(+) ttaaggaagtgt >mm10_chr4:117991040-117991051(-)::chr4:117991039-117991051(-) acaaggaagtaa >mm10_chr4:118049338-118049349(-)::chr4:118049337-118049349(-) aagaggaagagc >mm10_chr4:118049593-118049604(+)::chr4:118049592-118049604(+) agaaggaaaaac >mm10_chr4:118049612-118049623(-)::chr4:118049611-118049623(-) aggaggaaatcc >mm10_chr4:118123315-118123326(+)::chr4:118123314-118123326(+) GGGAGGAAATGC >mm10_chr4:118135558-118135569(+)::chr4:118135557-118135569(+) GCCAGGAAGTGC >mm10_chr4:118144489-118144500(+)::chr4:118144488-118144500(+) AAGAGGAAGTTT >mm10_chr4:118144539-118144550(-)::chr4:118144538-118144550(-) TAGAGGAAGTTT >mm10_chr4:118152252-118152263(-)::chr4:118152251-118152263(-) ACTAGGAAGTGT >mm10_chr4:118177206-118177217(-)::chr4:118177205-118177217(-) AAGAGGAAATGG >mm10_chr4:118177254-118177265(+)::chr4:118177253-118177265(+) GAAAGGAAGACT >mm10_chr4:118219280-118219291(+)::chr4:118219279-118219291(+) ataaggaagtcc >mm10_chr4:118237487-118237498(+)::chr4:118237486-118237498(+) ACCAGGAAGAGT >mm10_chr4:118247628-118247639(+)::chr4:118247627-118247639(+) TTCAGGAAGTTT >mm10_chr4:118253323-118253334(+)::chr4:118253322-118253334(+) ATACGGAAGCTA >mm10_chr4:118256157-118256168(+)::chr4:118256156-118256168(+) AGGAGGAAGTGC >mm10_chr4:118256189-118256200(+)::chr4:118256188-118256200(+) AGAAGGAAGAGG >mm10_chr4:118272219-118272230(+)::chr4:118272218-118272230(+) AACAGGAAGAAG >mm10_chr4:118289812-118289823(-)::chr4:118289811-118289823(-) GGAAGGAAGGAC >mm10_chr4:118289844-118289855(-)::chr4:118289843-118289855(-) ACGGGGAAGTAT >mm10_chr4:118295670-118295681(-)::chr4:118295669-118295681(-) GCAAGGAAGCAG >mm10_chr4:118331971-118331982(-)::chr4:118331970-118331982(-) TCCAGGAAGATG >mm10_chr4:118409347-118409358(+)::chr4:118409346-118409358(+) AAAGGGAAGTGG >mm10_chr4:118411180-118411191(+)::chr4:118411179-118411191(+) GCAAGGAAGTAG >mm10_chr4:118417509-118417520(+)::chr4:118417508-118417520(+) AGCAGGAAGCAG >mm10_chr4:118428126-118428137(+)::chr4:118428125-118428137(+) ATGAGGAAGTGG >mm10_chr4:118430173-118430184(+)::chr4:118430172-118430184(+) AGGAGGAAGGCA >mm10_chr4:118445644-118445655(+)::chr4:118445643-118445655(+) GCCAGGAAGAGA >mm10_chr4:118445915-118445926(+)::chr4:118445914-118445926(+) AGCAGGAAGCAG >mm10_chr4:118447072-118447083(+)::chr4:118447071-118447083(+) AGCAGGAAGAAG >mm10_chr4:118447079-118447090(+)::chr4:118447078-118447090(+) AGAAGGAAAGAA >mm10_chr4:118458602-118458613(-)::chr4:118458601-118458613(-) GAAAGGAAGGTG >mm10_chr4:118458610-118458621(-)::chr4:118458609-118458621(-) AGAAGGAAGAAA >mm10_chr4:118470694-118470705(-)::chr4:118470693-118470705(-) AGCAGGAAGGGG >mm10_chr4:118517837-118517848(-)::chr4:118517836-118517848(-) aataggaagtgt >mm10_chr4:118527210-118527221(-)::chr4:118527209-118527221(-) GGGCGGAAGTGC >mm10_chr4:118540450-118540461(+)::chr4:118540449-118540461(+) AGCAGGAAGTGG >mm10_chr4:118620794-118620805(+)::chr4:118620793-118620805(+) AACCGGAAGTGC >mm10_chr4:118620814-118620825(+)::chr4:118620813-118620825(+) ACCCGGAAGCGG >mm10_chr4:118680653-118680664(+)::chr4:118680652-118680664(+) AGGAGGAAGGTT >mm10_chr4:118758299-118758310(+)::chr4:118758298-118758310(+) aggaggaaggta >mm10_chr4:118758303-118758314(+)::chr4:118758302-118758314(+) ggaaggtagtaa >mm10_chr4:118758314-118758325(+)::chr4:118758313-118758325(+) agaaggaaacaa >mm10_chr4:118758340-118758351(+)::chr4:118758339-118758351(+) agaaggaagagc >mm10_chr4:118961367-118961378(+)::chr4:118961366-118961378(+) GGAAGGAAGTAC >mm10_chr4:118961383-118961394(+)::chr4:118961382-118961394(+) CCAGGGAAGTAC >mm10_chr4:118961403-118961414(+)::chr4:118961402-118961414(+) TAAAGGAAGCCC >mm10_chr4:118992016-118992027(+)::chr4:118992015-118992027(+) AGCAGGAAGCCG >mm10_chr4:118999826-118999837(-)::chr4:118999825-118999837(-) AAGAGGAAGTGC >mm10_chr4:119003906-119003917(-)::chr4:119003905-119003917(-) CCAAGGAAGTTT >mm10_chr4:119058107-119058118(+)::chr4:119058106-119058118(+) ATCAGGAAGCCA >mm10_chr4:119076882-119076893(+)::chr4:119076881-119076893(+) tgcaGGAAGAGA >mm10_chr4:119083145-119083156(-)::chr4:119083144-119083156(-) AAAAGGAAGTTG >mm10_chr4:119088590-119088601(-)::chr4:119088589-119088601(-) TAAGGGAAGTGA >mm10_chr4:119090926-119090937(+)::chr4:119090925-119090937(+) aagaggaaggag >mm10_chr4:119090933-119090944(+)::chr4:119090932-119090944(+) aggaggaagggt >mm10_chr4:119090960-119090971(+)::chr4:119090959-119090971(+) atgaggaagaga >mm10_chr4:119090988-119090999(+)::chr4:119090987-119090999(+) aggaggaagaAG >mm10_chr4:119093913-119093924(-)::chr4:119093912-119093924(-) ACAAGGAAGACA >mm10_chr4:119095185-119095196(-)::chr4:119095184-119095196(-) ACAAGGAAATGA >mm10_chr4:119110189-119110200(-)::chr4:119110188-119110200(-) GTAAGGAAGCAC >mm10_chr4:119110211-119110222(+)::chr4:119110210-119110222(+) AAAGGGAAGTAA >mm10_chr4:119115715-119115726(+)::chr4:119115714-119115726(+) ACAGGGAAGTAG >mm10_chr4:119115740-119115751(+)::chr4:119115739-119115751(+) ACCAGGAAGGGC >mm10_chr4:119120041-119120052(-)::chr4:119120040-119120052(-) ACCAGGAAGGCC >mm10_chr4:119120072-119120083(-)::chr4:119120071-119120083(-) GGGAGGAAGAGG >mm10_chr4:119131558-119131569(-)::chr4:119131557-119131569(-) GCAAGGAAGTAG >mm10_chr4:119148929-119148940(+)::chr4:119148928-119148940(+) aacaggaagtgg >mm10_chr4:119206824-119206835(-)::chr4:119206823-119206835(-) AGGAGGAAGATG >mm10_chr4:119206871-119206882(+)::chr4:119206870-119206882(+) ACAGGGAAGTAA >mm10_chr4:119210928-119210939(+)::chr4:119210927-119210939(+) AACAGGAAGCTG >mm10_chr4:119223868-119223879(+)::chr4:119223867-119223879(+) TGCAGGAAGTAC >mm10_chr4:119223889-119223900(+)::chr4:119223888-119223900(+) AATAGGAAGTTT >mm10_chr4:119233727-119233738(-)::chr4:119233726-119233738(-) GGAAGGAAGATG >mm10_chr4:119239563-119239574(+)::chr4:119239562-119239574(+) AGCAGGAAGCCG >mm10_chr4:119239585-119239596(-)::chr4:119239584-119239596(-) ATGAGGAAGGAG >mm10_chr4:119245736-119245747(-)::chr4:119245735-119245747(-) CCAAGGAAGTGT >mm10_chr4:119246052-119246063(+)::chr4:119246051-119246063(+) CCAAGGAAGCAG >mm10_chr4:119257548-119257559(+)::chr4:119257547-119257559(+) AGGAGGAAGTAG >mm10_chr4:119262097-119262108(+)::chr4:119262096-119262108(+) ACCAGGAAGTCG >mm10_chr4:119293148-119293159(+)::chr4:119293147-119293159(+) ACAAGGAAGTAA >mm10_chr4:119293172-119293183(+)::chr4:119293171-119293183(+) ATGAGGAAGAAC >mm10_chr4:119293183-119293194(-)::chr4:119293182-119293194(-) GAAAGGAAATGG >mm10_chr4:119302068-119302079(-)::chr4:119302067-119302079(-) AGGAGGAAATGC >mm10_chr4:119350105-119350116(+)::chr4:119350104-119350116(+) atgaggaagaaa >mm10_chr4:119353122-119353133(-)::chr4:119353121-119353133(-) TTAAGGAAGCAA >mm10_chr4:119422557-119422568(+)::chr4:119422556-119422568(+) TAGAGGAAGAAC >mm10_chr4:119501283-119501294(+)::chr4:119501282-119501294(+) ATAAAGAAGTGC >mm10_chr4:119509975-119509986(-)::chr4:119509974-119509986(-) TGAAGGAAGATC >mm10_chr4:119539252-119539263(+)::chr4:119539251-119539263(+) CGAAGGACGCGT >mm10_chr4:119551074-119551085(+)::chr4:119551073-119551085(+) ggcaggaagttt >mm10_chr4:119612258-119612269(+)::chr4:119612257-119612269(+) AGGAGGAAATGG >mm10_chr4:119612337-119612348(-)::chr4:119612336-119612348(-) AGTAGGAAATGT >mm10_chr4:119708005-119708016(+)::chr4:119708004-119708016(+) AAGAGGAAGGGA >mm10_chr4:119726183-119726194(-)::chr4:119726182-119726194(-) GGAAGGAAATGG >mm10_chr4:119734586-119734597(+)::chr4:119734585-119734597(+) CTAAGGAAGGTT >mm10_chr4:119734622-119734633(+)::chr4:119734621-119734633(+) AGGAGGAAATGG >mm10_chr4:119734641-119734652(+)::chr4:119734640-119734652(+) CTAAGGAAGCAG >mm10_chr4:119738824-119738835(+)::chr4:119738823-119738835(+) AACAGGAAGTGG >mm10_chr4:119751766-119751777(-)::chr4:119751765-119751777(-) AGCAGGAAGCCA >mm10_chr4:119751773-119751784(-)::chr4:119751772-119751784(-) GGGAGGAAGCAG >mm10_chr4:119753488-119753499(+)::chr4:119753487-119753499(+) CCGAGGAAGTTG >mm10_chr4:119757951-119757962(-)::chr4:119757950-119757962(-) CCAAGGAAGGAA >mm10_chr4:119757972-119757983(-)::chr4:119757971-119757983(-) GCAAGGAAGCAA >mm10_chr4:119763853-119763864(+)::chr4:119763852-119763864(+) TGAAGGAAGTGG >mm10_chr4:119763900-119763911(+)::chr4:119763899-119763911(+) ATACGGAAGAAA >mm10_chr4:119777226-119777237(+)::chr4:119777225-119777237(+) AGCAGGAAGACA >mm10_chr4:119780258-119780269(-)::chr4:119780257-119780269(-) caaaggaagaga >mm10_chr4:119786835-119786846(-)::chr4:119786834-119786846(-) AGAAGGAAGAAA >mm10_chr4:119797862-119797873(-)::chr4:119797861-119797873(-) ACCAGGAAGTCC >mm10_chr4:119800619-119800630(+)::chr4:119800618-119800630(+) agaaggaaggtg >mm10_chr4:119817031-119817042(-)::chr4:119817030-119817042(-) AGCAGGAAGAAA >mm10_chr4:119829223-119829234(+)::chr4:119829222-119829234(+) AGCAGGAAGTCT >mm10_chr4:119842143-119842154(+)::chr4:119842142-119842154(+) ACAAGGATGTCC >mm10_chr4:119843443-119843454(-)::chr4:119843442-119843454(-) AGCAGGAAGCAT >mm10_chr4:119843499-119843510(+)::chr4:119843498-119843510(+) AGCAGGAAGAAC >mm10_chr4:119911190-119911201(+)::chr4:119911189-119911201(+) TCCAGGAAGAAT >mm10_chr4:119914353-119914364(-)::chr4:119914352-119914364(-) ACAAGGAAGAGA >mm10_chr4:119922750-119922761(+)::chr4:119922749-119922761(+) tgaaggaagcag >mm10_chr4:119924665-119924676(+)::chr4:119924664-119924676(+) tgaaggcagtag >mm10_chr4:119944395-119944406(-)::chr4:119944394-119944406(-) agtaggaaggaa >mm10_chr4:119944402-119944413(-)::chr4:119944401-119944413(-) gaagggaagtag >mm10_chr4:119944407-119944418(-)::chr4:119944406-119944418(-) agtaggaaggga >mm10_chr4:119944419-119944430(-)::chr4:119944418-119944430(-) gagaggaagaga >mm10_chr4:119944431-119944442(-)::chr4:119944430-119944442(-) aggaggaagtaa >mm10_chr4:119944443-119944454(-)::chr4:119944442-119944454(-) agcaggaagtga >mm10_chr4:119988826-119988837(+)::chr4:119988825-119988837(+) aggaggaaggaa >mm10_chr4:119988830-119988841(+)::chr4:119988829-119988841(+) ggaaggaaggaa >mm10_chr4:119988834-119988845(+)::chr4:119988833-119988845(+) ggaaggaaggag >mm10_chr4:120039512-120039523(+)::chr4:120039511-120039523(+) ACCAGGAAATAG >mm10_chr4:120051457-120051468(+)::chr4:120051456-120051468(+) GTCAGGAAGGAA >mm10_chr4:120051461-120051472(+)::chr4:120051460-120051472(+) GGAAGGAAGCAG >mm10_chr4:120051500-120051511(+)::chr4:120051499-120051511(+) AGCAGGAAGTGG >mm10_chr4:120071874-120071885(+)::chr4:120071873-120071885(+) CAAAGGAAGCCG >mm10_chr4:120073796-120073807(+)::chr4:120073795-120073807(+) TTGAGGAAGTAG >mm10_chr4:120073850-120073861(+)::chr4:120073849-120073861(+) ACAAGGAAATGG >mm10_chr4:120089904-120089915(+)::chr4:120089903-120089915(+) AACAGGAAGACA >mm10_chr4:120089935-120089946(-)::chr4:120089934-120089946(-) AGAAGGAAGTGA >mm10_chr4:120091616-120091627(-)::chr4:120091615-120091627(-) AGAAGGAAGTTG >mm10_chr4:120107944-120107955(+)::chr4:120107943-120107955(+) GATAGGAAGTAC >mm10_chr4:120159178-120159189(-)::chr4:120159177-120159189(-) AAGAGGAAGACC >mm10_chr4:120161768-120161779(-)::chr4:120161767-120161779(-) ATAAGGAAGTTG >mm10_chr4:120189299-120189310(+)::chr4:120189298-120189310(+) AAAAGGAAGATG >mm10_chr4:120189317-120189328(+)::chr4:120189316-120189328(+) CCAAGGAAATGT >mm10_chr4:120190504-120190515(+)::chr4:120190503-120190515(+) gaaaggaaggta >mm10_chr4:120190514-120190525(-)::chr4:120190513-120190525(-) agcaggaagtta >mm10_chr4:120190584-120190595(+)::chr4:120190583-120190595(+) AGGAGGAAGGAG >mm10_chr4:120219437-120219448(-)::chr4:120219436-120219448(-) AGGAGGAAGAGA >mm10_chr4:120283499-120283510(-)::chr4:120283498-120283510(-) TACGGGAAGTCT >mm10_chr4:120307060-120307071(+)::chr4:120307059-120307071(+) acaaggaaggac >mm10_chr4:120307085-120307096(+)::chr4:120307084-120307096(+) ccaaggaaatca >mm10_chr4:120342828-120342839(+)::chr4:120342827-120342839(+) agcaggaagtta >mm10_chr4:120342863-120342874(+)::chr4:120342862-120342874(+) gacaggaagcat >mm10_chr4:120355476-120355487(+)::chr4:120355475-120355487(+) AAGAGGAAGTTC >mm10_chr4:120368892-120368903(+)::chr4:120368891-120368903(+) ACAAGGAAGGAA >mm10_chr4:120368896-120368907(+)::chr4:120368895-120368907(+) GGAAGGAAGTAG >mm10_chr4:120374024-120374035(+)::chr4:120374023-120374035(+) aagaggaagtag >mm10_chr4:120406237-120406248(+)::chr4:120406236-120406248(+) GAAAGGAACGGC >mm10_chr4:120451547-120451558(-)::chr4:120451546-120451558(-) gtcaggaagtgc >mm10_chr4:120451575-120451586(+)::chr4:120451574-120451586(+) agcaggaaggaa >mm10_chr4:120451579-120451590(+)::chr4:120451578-120451590(+) ggaaggaagctt >mm10_chr4:120516745-120516756(+)::chr4:120516744-120516756(+) AGCAGGAAGAAA >mm10_chr4:120518840-120518851(-)::chr4:120518839-120518851(-) GGCAGGAAGGGG >mm10_chr4:120523233-120523244(+)::chr4:120523232-120523244(+) GTGAGGAAGGAG >mm10_chr4:120523240-120523251(+)::chr4:120523239-120523251(+) AGGAGGAAGTGG >mm10_chr4:120523273-120523284(+)::chr4:120523272-120523284(+) GTGAGGAAGGAG >mm10_chr4:120523280-120523291(+)::chr4:120523279-120523291(+) AGGAGGAAGTGG >mm10_chr4:120567797-120567808(+)::chr4:120567796-120567808(+) TGCAGGAAATGG >mm10_chr4:120594172-120594183(-)::chr4:120594171-120594183(-) agaaggaagctg >mm10_chr4:120598382-120598393(+)::chr4:120598381-120598393(+) ggaaggaaggaa >mm10_chr4:120598386-120598397(+)::chr4:120598385-120598397(+) ggaaggaaggaa >mm10_chr4:120598390-120598401(+)::chr4:120598389-120598401(+) ggaaggaaggaa >mm10_chr4:120598394-120598405(+)::chr4:120598393-120598405(+) ggaaggaaggaa >mm10_chr4:120598398-120598409(+)::chr4:120598397-120598409(+) ggaaggaaggaa >mm10_chr4:120598402-120598413(+)::chr4:120598401-120598413(+) ggaaggaaggaa >mm10_chr4:120598406-120598417(+)::chr4:120598405-120598417(+) ggaaggaaggaa >mm10_chr4:120598410-120598421(+)::chr4:120598409-120598421(+) ggaaggaaggaa >mm10_chr4:120598414-120598425(+)::chr4:120598413-120598425(+) ggaaggaaggaa >mm10_chr4:120613932-120613943(-)::chr4:120613931-120613943(-) GGCAGGAAGAGC >mm10_chr4:120615739-120615750(-)::chr4:120615738-120615750(-) AGAAGGAACTGA >mm10_chr4:120616793-120616804(+)::chr4:120616792-120616804(+) TAGAGGAAGAGG >mm10_chr4:120636601-120636612(+)::chr4:120636600-120636612(+) TCGAGGAAGGAA >mm10_chr4:120647544-120647555(+)::chr4:120647543-120647555(+) GCAGGGAAGTCC >mm10_chr4:120647563-120647574(+)::chr4:120647562-120647574(+) AGACGGAAGTGC >mm10_chr4:120647601-120647612(+)::chr4:120647600-120647612(+) AGGAGGAAATCG >mm10_chr4:120687048-120687059(-)::chr4:120687047-120687059(-) ttcaggaagtgg >mm10_chr4:120687067-120687078(-)::chr4:120687066-120687078(-) atatggaagtgg >mm10_chr4:120713273-120713284(-)::chr4:120713272-120713284(-) CTGAGGAAGTCG >mm10_chr4:120730407-120730418(+)::chr4:120730406-120730418(+) GACAGGAAGTGA >mm10_chr4:120730456-120730467(+)::chr4:120730455-120730467(+) TCTAGGAAGTCA >mm10_chr4:120800003-120800014(+)::chr4:120800002-120800014(+) TAAAGGAAATCC >mm10_chr4:120818059-120818070(+)::chr4:120818058-120818070(+) aggaggaaggac >mm10_chr4:120818128-120818139(-)::chr4:120818127-120818139(-) GACAGGAAGGAt >mm10_chr4:120833758-120833769(-)::chr4:120833757-120833769(-) ctcaggaagtca >mm10_chr4:120834207-120834218(-)::chr4:120834206-120834218(-) AGCAGGAAGGGC >mm10_chr4:120846387-120846398(+)::chr4:120846386-120846398(+) AGGAGGAAGGCA >mm10_chr4:120846428-120846439(+)::chr4:120846427-120846439(+) GGCAGGAAATGT >mm10_chr4:120846610-120846621(-)::chr4:120846609-120846621(-) GAGAGGAAGCGC >mm10_chr4:120909981-120909992(-)::chr4:120909980-120909992(-) ggaaggaacttg >mm10_chr4:120909985-120909996(-)::chr4:120909984-120909996(-) agcaggaaggaa >mm10_chr4:120925120-120925131(-)::chr4:120925119-120925131(-) ATAAGGAAATAC >mm10_chr4:120944762-120944773(+)::chr4:120944761-120944773(+) Gggaggaagaag >mm10_chr4:120944774-120944785(+)::chr4:120944773-120944785(+) aacaggaagaga >mm10_chr4:120944797-120944808(+)::chr4:120944796-120944808(+) aggaggaagagg >mm10_chr4:120949123-120949134(-)::chr4:120949122-120949134(-) GGAAGGAAGGCC >mm10_chr4:120949127-120949138(-)::chr4:120949126-120949138(-) AACAGGAAGGAA >mm10_chr4:120986578-120986589(-)::chr4:120986577-120986589(-) ACGAGGAAGACT >mm10_chr4:120999224-120999235(+)::chr4:120999223-120999235(+) AGCAGGAAGGAG >mm10_chr4:120999228-120999239(+)::chr4:120999227-120999239(+) GGAAGGAGGTTA >mm10_chr4:121005175-121005186(-)::chr4:121005174-121005186(-) ctgaggaagttg >mm10_chr4:121010570-121010581(+)::chr4:121010569-121010581(+) ACAAGGAAGTGC >mm10_chr4:121017231-121017242(-)::chr4:121017230-121017242(-) TGCCGGAAGTAG >mm10_chr4:121022064-121022075(-)::chr4:121022063-121022075(-) GGAAGGAAATGC >mm10_chr4:121023313-121023324(+)::chr4:121023312-121023324(+) aaatggaagtga >mm10_chr4:121024097-121024108(+)::chr4:121024096-121024108(+) AACAGGAAGTGG >mm10_chr4:121039378-121039389(+)::chr4:121039377-121039389(+) AGGAGGAAGGCT >mm10_chr4:121098223-121098234(-)::chr4:121098222-121098234(-) AGGCGGAAGTGA >mm10_chr4:121238824-121238835(+)::chr4:121238823-121238835(+) AGCAGGAAATGC >mm10_chr4:121298699-121298710(-)::chr4:121298698-121298710(-) AGAAGTAAGTTT >mm10_chr4:121298706-121298717(-)::chr4:121298705-121298717(-) ACTAGGAAGAAG >mm10_chr4:121537893-121537904(+)::chr4:121537892-121537904(+) agaaggaagaag >mm10_chr4:121537900-121537911(+)::chr4:121537899-121537911(+) agaaggaagatt >mm10_chr4:121579793-121579804(-)::chr4:121579792-121579804(-) TCAAGGAAGGTA >mm10_chr4:121579849-121579860(-)::chr4:121579848-121579860(-) agaaggaaACAA >mm10_chr4:121663651-121663662(-)::chr4:121663650-121663662(-) AGCAGGAAATGA >mm10_chr4:122030780-122030791(+)::chr4:122030779-122030791(+) tcaaggaaatgc >mm10_chr4:122568708-122568719(+)::chr4:122568707-122568719(+) ctgaggaagtat >mm10_chr4:122594805-122594816(-)::chr4:122594804-122594816(-) AGAAGGAAGCAC >mm10_chr4:122617407-122617418(+)::chr4:122617406-122617418(+) gataggaagttc >mm10_chr4:122617435-122617446(+)::chr4:122617434-122617446(+) gaaaggaggtat >mm10_chr4:122726529-122726540(+)::chr4:122726528-122726540(+) AGGAGGAAGAAG >mm10_chr4:122726542-122726553(+)::chr4:122726541-122726553(+) GTAAGGAAGGAG >mm10_chr4:122790678-122790689(-)::chr4:122790677-122790689(-) agaaggaaacaa >mm10_chr4:122872139-122872150(+)::chr4:122872138-122872150(+) AGCAGGAAGTTC >mm10_chr4:122872192-122872203(-)::chr4:122872191-122872203(-) GGAAGGAAGTGA >mm10_chr4:122872196-122872207(-)::chr4:122872195-122872207(-) ATAAGGAAGGAA >mm10_chr4:122885857-122885868(-)::chr4:122885856-122885868(-) TGCCGGAAGTGG >mm10_chr4:122885896-122885907(-)::chr4:122885895-122885907(-) GCCCGGAAGTGG >mm10_chr4:122886178-122886189(+)::chr4:122886177-122886189(+) CAAAGGAAGACA >mm10_chr4:122886509-122886520(-)::chr4:122886508-122886520(-) AACAGGAAGTGG >mm10_chr4:122892378-122892389(-)::chr4:122892377-122892389(-) GACAGGAAGCAG >mm10_chr4:122898526-122898537(+)::chr4:122898525-122898537(+) TTCAGGAAGTCC >mm10_chr4:122898543-122898554(-)::chr4:122898542-122898554(-) TGAAGGAACGGG >mm10_chr4:122923008-122923019(+)::chr4:122923007-122923019(+) GGAAGGAAGGAG >mm10_chr4:122923967-122923978(+)::chr4:122923966-122923978(+) TTAAGGAAGAGG >mm10_chr4:122923973-122923984(+)::chr4:122923972-122923984(+) AAGAGGAAGAGC >mm10_chr4:122933364-122933375(-)::chr4:122933363-122933375(-) CCAAGGAAGAGC >mm10_chr4:122936623-122936634(+)::chr4:122936622-122936634(+) AAGAGGAAGAGA >mm10_chr4:122945898-122945909(-)::chr4:122945897-122945909(-) AGCAGGAAGGAC >mm10_chr4:122959046-122959057(+)::chr4:122959045-122959057(+) GCAAGGAAGAGT >mm10_chr4:122971787-122971798(+)::chr4:122971786-122971798(+) GTGAGGAAGTGC >mm10_chr4:122971841-122971852(+)::chr4:122971840-122971852(+) GAGAGGAAGTAT >mm10_chr4:122981029-122981040(+)::chr4:122981028-122981040(+) AGGAGGAAGGAT >mm10_chr4:122981961-122981972(+)::chr4:122981960-122981972(+) TCCAGGAAGTGA >mm10_chr4:122991300-122991311(+)::chr4:122991299-122991311(+) gtgaggaagtaa >mm10_chr4:122991319-122991330(+)::chr4:122991318-122991330(+) aagaggaagaaa >mm10_chr4:123001255-123001266(-)::chr4:123001254-123001266(-) GGCAGGAAGAAA >mm10_chr4:123002061-123002072(-)::chr4:123002060-123002072(-) GCCAGGAAGTAA >mm10_chr4:123071808-123071819(+)::chr4:123071807-123071819(+) AGGAGGAAGGAG >mm10_chr4:123129069-123129080(-)::chr4:123129068-123129080(-) ACAAGAAAGTAT >mm10_chr4:123129092-123129103(+)::chr4:123129091-123129103(+) GGCAGGAAGAAC >mm10_chr4:123134830-123134841(+)::chr4:123134829-123134841(+) ACCAGGAAATGT >mm10_chr4:123134889-123134900(+)::chr4:123134888-123134900(+) AAAGGGAAGTGA >mm10_chr4:123160776-123160787(+)::chr4:123160775-123160787(+) TAGAGGAAGGAG >mm10_chr4:123160783-123160794(+)::chr4:123160782-123160794(+) AGGAGGAAGGAG >mm10_chr4:123162580-123162591(+)::chr4:123162579-123162591(+) aacaggaagcca >mm10_chr4:123182190-123182201(+)::chr4:123182189-123182201(+) AAGAGGAAATGG >mm10_chr4:123182211-123182222(+)::chr4:123182210-123182222(+) ATAAGGAAGACG >mm10_chr4:123200777-123200788(-)::chr4:123200776-123200788(-) GAAAGGAAGGGA >mm10_chr4:123202190-123202201(+)::chr4:123202189-123202201(+) TAAAGGAACGAT >mm10_chr4:123232606-123232617(+)::chr4:123232605-123232617(+) ATCAGGAAGTAT >mm10_chr4:123262042-123262053(-)::chr4:123262041-123262053(-) AGAAGGAAGGGC >mm10_chr4:123284330-123284341(+)::chr4:123284329-123284341(+) CGAAGGATGTAG >mm10_chr4:123284351-123284362(+)::chr4:123284350-123284362(+) CCCAGGAAGTCT >mm10_chr4:123306094-123306105(-)::chr4:123306093-123306105(-) GGAAGGAAGTAT >mm10_chr4:123306105-123306116(-)::chr4:123306104-123306116(-) AACAGGAAGTGG >mm10_chr4:123345636-123345647(+)::chr4:123345635-123345647(+) aCAaggaagaag >mm10_chr4:123345654-123345665(+)::chr4:123345653-123345665(+) gggaggaagggg >mm10_chr4:123407616-123407627(-)::chr4:123407615-123407627(-) GGAAGGAACTAC >mm10_chr4:123424097-123424108(+)::chr4:123424096-123424108(+) TTAAGGAAGGTA >mm10_chr4:123424108-123424119(+)::chr4:123424107-123424119(+) AAGAGGAAATAC >mm10_chr4:123425873-123425884(+)::chr4:123425872-123425884(+) ACAAGGAAGCTC >mm10_chr4:123425900-123425911(+)::chr4:123425899-123425911(+) CATAGGAAGTTC >mm10_chr4:123489269-123489280(-)::chr4:123489268-123489280(-) AGCAGGAAATGA >mm10_chr4:123489341-123489352(-)::chr4:123489340-123489352(-) TCCAGGAAGTTC >mm10_chr4:123506394-123506405(-)::chr4:123506393-123506405(-) AGGAGGAAGAGG >mm10_chr4:123564708-123564719(-)::chr4:123564707-123564719(-) AGTAGGAAGTTG >mm10_chr4:123564719-123564730(-)::chr4:123564718-123564730(-) AGAAGGAGGTCA >mm10_chr4:123572127-123572138(-)::chr4:123572126-123572138(-) GAAGGGAAGTGC >mm10_chr4:123573004-123573015(+)::chr4:123573003-123573015(+) aggaggaagaag >mm10_chr4:123580246-123580257(+)::chr4:123580245-123580257(+) ggaaggaaggaa >mm10_chr4:123580250-123580261(+)::chr4:123580249-123580261(+) ggaaggaaggaa >mm10_chr4:123580254-123580265(+)::chr4:123580253-123580265(+) ggaaggaaggaa >mm10_chr4:123580258-123580269(+)::chr4:123580257-123580269(+) ggaaggaaggaG >mm10_chr4:123612732-123612743(+)::chr4:123612731-123612743(+) ACAAGGAAATAA >mm10_chr4:123618159-123618170(+)::chr4:123618158-123618170(+) ATGAGGAAGAGA >mm10_chr4:123621841-123621852(+)::chr4:123621840-123621852(+) TGTAGGAAGTAA >mm10_chr4:123624708-123624719(-)::chr4:123624707-123624719(-) CTAAGGAAATGG >mm10_chr4:123624788-123624799(+)::chr4:123624787-123624799(+) GAGAGGAAGAGA >mm10_chr4:123633543-123633554(+)::chr4:123633542-123633554(+) GGAAGGAAGCTG >mm10_chr4:123637352-123637363(+)::chr4:123637351-123637363(+) AGGAGGAAGCAC >mm10_chr4:123699461-123699472(-)::chr4:123699460-123699472(-) ataaggaggtaa >mm10_chr4:123705858-123705869(-)::chr4:123705857-123705869(-) aggaggaagggg >mm10_chr4:123705885-123705896(-)::chr4:123705884-123705896(-) aggaggaagagg >mm10_chr4:123743071-123743082(-)::chr4:123743070-123743082(-) accaggaagtgg >mm10_chr4:123746652-123746663(+)::chr4:123746651-123746663(+) ACAAGGATGTAA >mm10_chr4:123748993-123749004(+)::chr4:123748992-123749004(+) AGGAGGAAATGA >mm10_chr4:123751350-123751361(-)::chr4:123751349-123751361(-) aggaggaagaTT >mm10_chr4:123751374-123751385(-)::chr4:123751373-123751385(-) agaaggaagaag >mm10_chr4:123758574-123758585(-)::chr4:123758573-123758585(-) AGAAGGAAGGCC >mm10_chr4:123788531-123788542(-)::chr4:123788530-123788542(-) AGGAGGAAGTCA >mm10_chr4:123788538-123788549(-)::chr4:123788537-123788549(-) AGCAGGAAGGAG >mm10_chr4:123819288-123819299(+)::chr4:123819287-123819299(+) AGCAGGAAGCAG >mm10_chr4:123898614-123898625(+)::chr4:123898613-123898625(+) TGGAGGAAATAA >mm10_chr4:123904834-123904845(+)::chr4:123904833-123904845(+) AACAGGAAGACG >mm10_chr4:123928242-123928253(-)::chr4:123928241-123928253(-) AAGAGGAAATGC >mm10_chr4:123928262-123928273(+)::chr4:123928261-123928273(+) AGGAGGAAGCTG >mm10_chr4:123956822-123956833(+)::chr4:123956821-123956833(+) AGAAGGAAAAGA >mm10_chr4:123957062-123957073(-)::chr4:123957061-123957073(-) ACAAGGAAGATG >mm10_chr4:124217379-124217390(-)::chr4:124217378-124217390(-) AACAGGAAGTCC >mm10_chr4:124234507-124234518(+)::chr4:124234506-124234518(+) aagaggaagggg >mm10_chr4:124234520-124234531(+)::chr4:124234519-124234531(+) aagaggaaggaa >mm10_chr4:124234524-124234535(+)::chr4:124234523-124234535(+) ggaaggaagggg >mm10_chr4:124349812-124349823(-)::chr4:124349811-124349823(-) GGGAGGAAGTCA >mm10_chr4:124350467-124350478(-)::chr4:124350466-124350478(-) GGAAGGAAGGAG >mm10_chr4:124358795-124358806(+)::chr4:124358794-124358806(+) aggaggaaggga >mm10_chr4:124595141-124595152(+)::chr4:124595140-124595152(+) CAAAGGAAGCTG >mm10_chr4:124618850-124618861(-)::chr4:124618849-124618861(-) ACGAGGAAGAGC >mm10_chr4:124623795-124623806(-)::chr4:124623794-124623806(-) GCCAGGAAGAGC >mm10_chr4:124624228-124624239(+)::chr4:124624227-124624239(+) AGCAGGAAGTGC >mm10_chr4:124624529-124624540(+)::chr4:124624528-124624540(+) CGCAGGAAGCCC >mm10_chr4:124624537-124624548(-)::chr4:124624536-124624548(-) ACTAGGAAGGGC >mm10_chr4:124638210-124638221(-)::chr4:124638209-124638221(-) acaaggaagtcg >mm10_chr4:124683694-124683705(-)::chr4:124683693-124683705(-) ATAAGGAAGCAT >mm10_chr4:124705286-124705297(+)::chr4:124705285-124705297(+) GGCAGGAAATGA >mm10_chr4:124705362-124705373(-)::chr4:124705361-124705373(-) ACCAGGAAGCAG >mm10_chr4:124708641-124708652(+)::chr4:124708640-124708652(+) TGAAGGAAGGTT >mm10_chr4:124709033-124709044(+)::chr4:124709032-124709044(+) GCAAGGAAGCAG >mm10_chr4:124737621-124737632(-)::chr4:124737620-124737632(-) AGCAGGAAGTGG >mm10_chr4:124744422-124744433(-)::chr4:124744421-124744433(-) agaaggaagaag >mm10_chr4:124744434-124744445(-)::chr4:124744433-124744445(-) aagaggaagaag >mm10_chr4:124744491-124744502(-)::chr4:124744490-124744502(-) aggaggaagaag >mm10_chr4:124746122-124746133(-)::chr4:124746121-124746133(-) AAAGGGAAGTTG >mm10_chr4:124746156-124746167(+)::chr4:124746155-124746167(+) TCCAGGAAGTGG >mm10_chr4:124752583-124752594(-)::chr4:124752582-124752594(-) CTGAGGAAGTCC >mm10_chr4:124752598-124752609(-)::chr4:124752597-124752609(-) TCGAGGAAGGAA >mm10_chr4:124755972-124755983(+)::chr4:124755971-124755983(+) TGTAGGAAGTTC >mm10_chr4:124756243-124756254(+)::chr4:124756242-124756254(+) TTAAGGAAGAAG >mm10_chr4:124756250-124756261(+)::chr4:124756249-124756261(+) AGAAGGAAGCAT >mm10_chr4:124759590-124759601(-)::chr4:124759589-124759601(-) AGAAGGAAGACC >mm10_chr4:124764906-124764917(-)::chr4:124764905-124764917(-) aaaaggaagaag >mm10_chr4:124764918-124764929(-)::chr4:124764917-124764929(-) aggaggaagagg >mm10_chr4:124785376-124785387(-)::chr4:124785375-124785387(-) ACGAGGAAGAAA >mm10_chr4:124795631-124795642(-)::chr4:124795630-124795642(-) ACCAGGAAGAGG >mm10_chr4:124802530-124802541(-)::chr4:124802529-124802541(-) CGGAGGAAGGAG >mm10_chr4:124802550-124802561(+)::chr4:124802549-124802561(+) AAGCGGAAGTGA >mm10_chr4:124808746-124808757(+)::chr4:124808745-124808757(+) ATCAGGAAGGCT >mm10_chr4:124978487-124978498(-)::chr4:124978486-124978498(-) gagaggaagtca >mm10_chr4:125066668-125066679(+)::chr4:125066667-125066679(+) AAGCGGAAGTGC >mm10_chr4:125066691-125066702(-)::chr4:125066690-125066702(-) CGTAGGAAGTGA >mm10_chr4:125110756-125110767(+)::chr4:125110755-125110767(+) TGAAGGAAGTAG >mm10_chr4:125110793-125110804(+)::chr4:125110792-125110804(+) AGAAGGAAAATC >mm10_chr4:125115802-125115813(+)::chr4:125115801-125115813(+) ACCAGGAAGAGC >mm10_chr4:125116337-125116348(+)::chr4:125116336-125116348(+) GTCAGGAAGCAT >mm10_chr4:125122374-125122385(-)::chr4:125122373-125122385(-) ACAAGGAAGTCT >mm10_chr4:125125515-125125526(-)::chr4:125125514-125125526(-) AACAGGAAGAGG >mm10_chr4:125144401-125144412(-)::chr4:125144400-125144412(-) AAGAGGAAGGAG >mm10_chr4:125342987-125342998(-)::chr4:125342986-125342998(-) AAGAGGAAGCGA >mm10_chr4:125343005-125343016(+)::chr4:125343004-125343016(+) ATGAGGAAGCAC >mm10_chr4:125343044-125343055(+)::chr4:125343043-125343055(+) AGAAGGAAGGAG >mm10_chr4:125538196-125538207(+)::chr4:125538195-125538207(+) AGAAGGAAGTGT >mm10_chr4:125538249-125538260(+)::chr4:125538248-125538260(+) TGAAGGAACTGA >mm10_chr4:125538261-125538272(-)::chr4:125538260-125538272(-) AGGAGGAAGGAC >mm10_chr4:125601651-125601662(-)::chr4:125601650-125601662(-) GACAGGAAATGG >mm10_chr4:125629673-125629684(-)::chr4:125629672-125629684(-) AGGAGGAAGGAC >mm10_chr4:125637147-125637158(-)::chr4:125637146-125637158(-) ATCAGGAAGCAG >mm10_chr4:125645743-125645754(-)::chr4:125645742-125645754(-) agcaggaagtgt >mm10_chr4:125645750-125645761(-)::chr4:125645749-125645761(-) agcaggaagcag >mm10_chr4:125701030-125701041(-)::chr4:125701029-125701041(-) ACGAGGAAGGGC >mm10_chr4:125701037-125701048(-)::chr4:125701036-125701048(-) TGAAGGAACGAG >mm10_chr4:125701057-125701068(-)::chr4:125701056-125701068(-) TTGAGGAAGATA >mm10_chr4:125702468-125702479(+)::chr4:125702467-125702479(+) AGCAGGAAGAGG >mm10_chr4:125996815-125996826(-)::chr4:125996814-125996826(-) AGGGGGAAGTGG >mm10_chr4:126086238-126086249(+)::chr4:126086237-126086249(+) AAGAGGAAGCAG >mm10_chr4:126096682-126096693(+)::chr4:126096681-126096693(+) GCGCGGAAGGCG >mm10_chr4:126110982-126110993(-)::chr4:126110981-126110993(-) AGGGGGAAGTGG >mm10_chr4:126111001-126111012(-)::chr4:126111000-126111012(-) GAAAGGAAGTGA >mm10_chr4:126113158-126113169(-)::chr4:126113157-126113169(-) atcaggaagtag >mm10_chr4:126120912-126120923(-)::chr4:126120911-126120923(-) AGAAGGAAATAC >mm10_chr4:126120929-126120940(-)::chr4:126120928-126120940(-) GAAAGGAAATAG >mm10_chr4:126148417-126148428(-)::chr4:126148416-126148428(-) tggaggaagggg >mm10_chr4:126148432-126148443(-)::chr4:126148431-126148443(-) gggaggaagagc >mm10_chr4:126155340-126155351(+)::chr4:126155339-126155351(+) gcgaggaagtta >mm10_chr4:126155353-126155364(+)::chr4:126155352-126155364(+) agcaggaaatgt >mm10_chr4:126227521-126227532(+)::chr4:126227520-126227532(+) ACCAGGAAATCA >mm10_chr4:126233416-126233427(+)::chr4:126233415-126233427(+) AAAAGGAAGAGC >mm10_chr4:126245509-126245520(-)::chr4:126245508-126245520(-) GGGAGGAAGGTA >mm10_chr4:126245517-126245528(-)::chr4:126245516-126245528(-) AAGAGGAAGGGA >mm10_chr4:126259956-126259967(+)::chr4:126259955-126259967(+) AGTAGGAAGTGG >mm10_chr4:126260171-126260182(+)::chr4:126260170-126260182(+) AGGAGGAAGGGA >mm10_chr4:126260202-126260213(+)::chr4:126260201-126260213(+) AGGAGGAACTAA >mm10_chr4:126262279-126262290(-)::chr4:126262278-126262290(-) ACCCGGAAGTCC >mm10_chr4:126315911-126315922(-)::chr4:126315910-126315922(-) ACCAGGAAGGGC >mm10_chr4:126315953-126315964(-)::chr4:126315952-126315964(-) ACAAGGCAGTAG >mm10_chr4:126321689-126321700(-)::chr4:126321688-126321700(-) tgccggaagtgg >mm10_chr4:126339744-126339755(+)::chr4:126339743-126339755(+) AAGAGGAAGAGG >mm10_chr4:126436488-126436499(+)::chr4:126436487-126436499(+) AGAAGGAAAAGG >mm10_chr4:126436494-126436505(+)::chr4:126436493-126436505(+) AAAAGGAAGCCA >mm10_chr4:126452095-126452106(+)::chr4:126452094-126452106(+) ACAAGGAAACAA >mm10_chr4:126452103-126452114(+)::chr4:126452102-126452114(+) ACAAGGAATTAC >mm10_chr4:126510962-126510973(-)::chr4:126510961-126510973(-) GGAAGTAAGTCC >mm10_chr4:126510966-126510977(-)::chr4:126510965-126510977(-) GTAAGGAAGTAA >mm10_chr4:126537006-126537017(-)::chr4:126537005-126537017(-) AGCAGGAAGCAG >mm10_chr4:126564881-126564892(-)::chr4:126564880-126564892(-) TCCAGGAAGATA >mm10_chr4:126565484-126565495(+)::chr4:126565483-126565495(+) TGTAGGAAGTTT >mm10_chr4:126568203-126568214(+)::chr4:126568202-126568214(+) AGAAGGAACTGC >mm10_chr4:126604310-126604321(-)::chr4:126604309-126604321(-) GAAAGGAAGGTC >mm10_chr4:126627237-126627248(+)::chr4:126627236-126627248(+) AGGAGGAAATGA >mm10_chr4:126763650-126763661(-)::chr4:126763649-126763661(-) aacaGGAAGTGA >mm10_chr4:126774583-126774594(+)::chr4:126774582-126774594(+) TACAGGAAGGTA >mm10_chr4:126956558-126956569(+)::chr4:126956557-126956569(+) GTAAGGAAGGGA >mm10_chr4:126956602-126956613(+)::chr4:126956601-126956613(+) AATAGGAAATAA >mm10_chr4:127126054-127126065(+)::chr4:127126053-127126065(+) GGGAGGAAGAGT >mm10_chr4:127126068-127126079(+)::chr4:127126067-127126079(+) TCCAGGAAGGCG >mm10_chr4:127132775-127132786(-)::chr4:127132774-127132786(-) aggaggaAGCGg >mm10_chr4:127132796-127132807(-)::chr4:127132795-127132807(-) agaaggaagagg >mm10_chr4:127235551-127235562(+)::chr4:127235550-127235562(+) ACCAGGAAGCCA >mm10_chr4:127318324-127318335(+)::chr4:127318323-127318335(+) ctaaggaagaag >mm10_chr4:127318331-127318342(+)::chr4:127318330-127318342(+) agaaggaagtcc >mm10_chr4:127360642-127360653(-)::chr4:127360641-127360653(-) AAAAGGAAGAGG >mm10_chr4:127365567-127365578(-)::chr4:127365566-127365578(-) ACCAGGAAGAGA >mm10_chr4:127467947-127467958(-)::chr4:127467946-127467958(-) ccaaggaagaaa >mm10_chr4:127668287-127668298(-)::chr4:127668286-127668298(-) TGAAGGAAGTTT >mm10_chr4:127668321-127668332(-)::chr4:127668320-127668332(-) AGCAGGAAGAAA >mm10_chr4:127825271-127825282(+)::chr4:127825270-127825282(+) aacaggaaatag >mm10_chr4:128135799-128135810(+)::chr4:128135798-128135810(+) acaaggaagcag >mm10_chr4:128625938-128625949(-)::chr4:128625937-128625949(-) gacaggaaggga >mm10_chr4:128625955-128625966(-)::chr4:128625954-128625966(-) gagaggaaggag >mm10_chr4:128625982-128625993(-)::chr4:128625981-128625993(-) agaaggaaggga >mm10_chr4:128652708-128652719(-)::chr4:128652707-128652719(-) TTCAGGAAGTGG >mm10_chr4:128680633-128680644(+)::chr4:128680632-128680644(+) TCCAGGAAGAGG >mm10_chr4:128680640-128680651(+)::chr4:128680639-128680651(+) AGAGGGAAGTAG >mm10_chr4:128680886-128680897(-)::chr4:128680885-128680897(-) ACCAGGAAGGGC >mm10_chr4:128680920-128680931(-)::chr4:128680919-128680931(-) ACCAGGAAGGGC >mm10_chr4:128686237-128686248(-)::chr4:128686236-128686248(-) agaagtaagttc >mm10_chr4:128686241-128686252(-)::chr4:128686240-128686252(-) acaaagaagtaa >mm10_chr4:128698428-128698439(+)::chr4:128698427-128698439(+) ATAAGGCAGTCA >mm10_chr4:128698442-128698453(+)::chr4:128698441-128698453(+) AGAAGGAAGAGA >mm10_chr4:128698466-128698477(+)::chr4:128698465-128698477(+) ACTAGGAAGAGG >mm10_chr4:128721666-128721677(-)::chr4:128721665-128721677(-) AGCAGGAAGCGT >mm10_chr4:128729943-128729954(-)::chr4:128729942-128729954(-) GACAGGAAATGA >mm10_chr4:128767006-128767017(-)::chr4:128767005-128767017(-) ACCAGGAAGTGT >mm10_chr4:128769360-128769371(+)::chr4:128769359-128769371(+) agaaggaaagag >mm10_chr4:128769374-128769385(+)::chr4:128769373-128769385(+) aggaggaagagg >mm10_chr4:128769380-128769391(+)::chr4:128769379-128769391(+) aagaggaagaag >mm10_chr4:128769392-128769403(+)::chr4:128769391-128769403(+) aggaggaagTGA >mm10_chr4:128794002-128794013(-)::chr4:128794001-128794013(-) GGAGGGAAGTGC >mm10_chr4:128807221-128807232(+)::chr4:128807220-128807232(+) AGAAGGAAGGAC >mm10_chr4:128811836-128811847(+)::chr4:128811835-128811847(+) ACCAGGAAGAGG >mm10_chr4:128839983-128839994(+)::chr4:128839982-128839994(+) AGCAGGAAGCCA >mm10_chr4:128840239-128840250(-)::chr4:128840238-128840250(-) AGAAGGAACGGT >mm10_chr4:128840456-128840467(-)::chr4:128840455-128840467(-) AGAAGGAAGAAT >mm10_chr4:128840592-128840603(+)::chr4:128840591-128840603(+) atgaggaagtgg >mm10_chr4:128855668-128855679(+)::chr4:128855667-128855679(+) AGGAGGAAGCCG >mm10_chr4:128861036-128861047(-)::chr4:128861035-128861047(-) taaaggaagttg >mm10_chr4:128861048-128861059(-)::chr4:128861047-128861059(-) tacaggaaatgt >mm10_chr4:128861057-128861068(+)::chr4:128861056-128861068(+) gtagggaagTAT >mm10_chr4:128879234-128879245(+)::chr4:128879233-128879245(+) TGGAGGAAGGAG >mm10_chr4:128879255-128879266(+)::chr4:128879254-128879266(+) AGACGGAAGTGT >mm10_chr4:128889212-128889223(-)::chr4:128889211-128889223(-) AGAAGGAAGAGG >mm10_chr4:128889258-128889269(+)::chr4:128889257-128889269(+) ataaggaaaccg >mm10_chr4:128889299-128889310(+)::chr4:128889298-128889310(+) ATAAGGAAGTCA >mm10_chr4:128889703-128889714(+)::chr4:128889702-128889714(+) GGGAGGAAGGAA >mm10_chr4:128889707-128889718(+)::chr4:128889706-128889718(+) GGAAGGAAGAAG >mm10_chr4:128890183-128890194(-)::chr4:128890182-128890194(-) GGCAGGAAGTGG >mm10_chr4:128891418-128891429(-)::chr4:128891417-128891429(-) agcaggaagggg >mm10_chr4:128902664-128902675(+)::chr4:128902663-128902675(+) AGCAGGAAGTGA >mm10_chr4:128924236-128924247(+)::chr4:128924235-128924247(+) AGAAGGAAGGAG >mm10_chr4:128925191-128925202(+)::chr4:128925190-128925202(+) ATACGGAAGTGA >mm10_chr4:128935853-128935864(-)::chr4:128935852-128935864(-) AGACGGAAGATC >mm10_chr4:128946453-128946464(+)::chr4:128946452-128946464(+) AGCAGGAAGGCA >mm10_chr4:128958093-128958104(-)::chr4:128958092-128958104(-) GGCAGGAAGAGA >mm10_chr4:128958108-128958119(+)::chr4:128958107-128958119(+) GTAAGGAACTTG >mm10_chr4:128973864-128973875(+)::chr4:128973863-128973875(+) tggaggaagtgt >mm10_chr4:128980580-128980591(-)::chr4:128980579-128980591(-) atgaggaagttc >mm10_chr4:128980621-128980632(-)::chr4:128980620-128980632(-) tggaggaagagg >mm10_chr4:128981405-128981416(+)::chr4:128981404-128981416(+) AAAAGGAAGCCT >mm10_chr4:129011896-129011907(-)::chr4:129011895-129011907(-) AGCAGGAAGCAG >mm10_chr4:129019020-129019031(-)::chr4:129019019-129019031(-) AACAGGAAATAC >mm10_chr4:129036650-129036661(+)::chr4:129036649-129036661(+) TTGAGGAAGTCT >mm10_chr4:129036682-129036693(+)::chr4:129036681-129036693(+) ATCAGGAAGACC >mm10_chr4:129054914-129054925(-)::chr4:129054913-129054925(-) CCGAGGAAGCGC >mm10_chr4:129054955-129054966(-)::chr4:129054954-129054966(-) AGAAGGAAACAC >mm10_chr4:129081811-129081822(-)::chr4:129081810-129081822(-) TAAAGGAACGGC >mm10_chr4:129082200-129082211(+)::chr4:129082199-129082211(+) AAGAGGAAATGG >mm10_chr4:129082936-129082947(-)::chr4:129082935-129082947(-) ATGAGGAAGGGA >mm10_chr4:129189791-129189802(-)::chr4:129189790-129189802(-) AACAGGAAGGGG >mm10_chr4:129191911-129191922(-)::chr4:129191910-129191922(-) TAAGGGAAGCGG >mm10_chr4:129238173-129238184(-)::chr4:129238172-129238184(-) ATGAGGAAGGCC >mm10_chr4:129242524-129242535(-)::chr4:129242523-129242535(-) GGAAGGAAGCCA >mm10_chr4:129242528-129242539(-)::chr4:129242527-129242539(-) AGCAGGAAGGAA >mm10_chr4:129244887-129244898(-)::chr4:129244886-129244898(-) AGAAGGGAGTTA >mm10_chr4:129244919-129244930(+)::chr4:129244918-129244930(+) TCCAGGAAGTCT >mm10_chr4:129246945-129246956(+)::chr4:129246944-129246956(+) AAAAGGAAGATC >mm10_chr4:129246989-129247000(-)::chr4:129246988-129247000(-) GTCAGGAAGAGG >mm10_chr4:129247005-129247016(-)::chr4:129247004-129247016(-) AGAAGGAACTTT >mm10_chr4:129251316-129251327(+)::chr4:129251315-129251327(+) AGCAGGAAGTTG >mm10_chr4:129251976-129251987(+)::chr4:129251975-129251987(+) AGGAGGAAGAAG >mm10_chr4:129252006-129252017(+)::chr4:129252005-129252017(+) AAGAGGAAGTCT >mm10_chr4:129261387-129261398(-)::chr4:129261386-129261398(-) TGCAGGAAGAAT >mm10_chr4:129263234-129263245(+)::chr4:129263233-129263245(+) TGAAGGAAGGAA >mm10_chr4:129263238-129263249(+)::chr4:129263237-129263249(+) GGAAGGAAGTGC >mm10_chr4:129276659-129276670(-)::chr4:129276658-129276670(-) AGCAGGACGTGT >mm10_chr4:129284964-129284975(-)::chr4:129284963-129284975(-) acgaggaagtaa >mm10_chr4:129320226-129320237(+)::chr4:129320225-129320237(+) AAAAGGAATTTA >mm10_chr4:129374392-129374403(+)::chr4:129374391-129374403(+) ttcaGGAAGTAC >mm10_chr4:129380036-129380047(+)::chr4:129380035-129380047(+) TCAAGGAAGTGG >mm10_chr4:129385889-129385900(-)::chr4:129385888-129385900(-) acaaggaagtaa >mm10_chr4:129385946-129385957(+)::chr4:129385945-129385957(+) acacggaagcca >mm10_chr4:129391384-129391395(-)::chr4:129391383-129391395(-) GGAGGGAAGTCC >mm10_chr4:129436938-129436949(-)::chr4:129436937-129436949(-) ACCAGGAAGATT >mm10_chr4:129464601-129464612(+)::chr4:129464600-129464612(+) TCCAGGAAGTCG >mm10_chr4:129464635-129464646(+)::chr4:129464634-129464646(+) ATGAGGAAGTCA >mm10_chr4:129481313-129481324(+)::chr4:129481312-129481324(+) GGGAGGAAGTTG >mm10_chr4:129496907-129496918(-)::chr4:129496906-129496918(-) CGCAGGAAGTTG >mm10_chr4:129503117-129503128(+)::chr4:129503116-129503128(+) TGAAGGAAGTCC >mm10_chr4:129504791-129504802(-)::chr4:129504790-129504802(-) CTCAGGAAGTTG >mm10_chr4:129509104-129509115(-)::chr4:129509103-129509115(-) TCCAGGAAGTAC >mm10_chr4:129512461-129512472(-)::chr4:129512460-129512472(-) TAGAGGAAGCCG >mm10_chr4:129512499-129512510(+)::chr4:129512498-129512510(+) AAGAGGAAGTAG >mm10_chr4:129556667-129556678(+)::chr4:129556666-129556678(+) ATCAGGAAGGAT >mm10_chr4:129556713-129556724(-)::chr4:129556712-129556724(-) GTAAGGACGCCG >mm10_chr4:129578680-129578691(+)::chr4:129578679-129578691(+) GAAAGGAAGTTG >mm10_chr4:129580013-129580024(+)::chr4:129580012-129580024(+) CCAaggaagagg >mm10_chr4:129580055-129580066(+)::chr4:129580054-129580066(+) agaaggaagggg >mm10_chr4:129580064-129580075(+)::chr4:129580063-129580075(+) gggaggaagcag >mm10_chr4:129600654-129600665(-)::chr4:129600653-129600665(-) ATCCGGAAGTCG >mm10_chr4:129608957-129608968(+)::chr4:129608956-129608968(+) AACAGGAAGCCA >mm10_chr4:129614291-129614302(+)::chr4:129614290-129614302(+) CCAAGGAACTAA >mm10_chr4:129650737-129650748(-)::chr4:129650736-129650748(-) ACAAGGAAAGAA >mm10_chr4:129650823-129650834(+)::chr4:129650822-129650834(+) ATGAGGAAGGAG >mm10_chr4:129707066-129707077(-)::chr4:129707065-129707077(-) TAAAGGAAGACT >mm10_chr4:129708691-129708702(-)::chr4:129708690-129708702(-) AGCAGGAAGTGG >mm10_chr4:129742457-129742468(-)::chr4:129742456-129742468(-) TGAAGGAAGGCG >mm10_chr4:129750484-129750495(-)::chr4:129750483-129750495(-) TCAAGGAAGTTA >mm10_chr4:129774895-129774906(-)::chr4:129774894-129774906(-) ATAAGGAAGGCA >mm10_chr4:129774919-129774930(-)::chr4:129774918-129774930(-) AGGAGGAAATGC >mm10_chr4:129782119-129782130(-)::chr4:129782118-129782130(-) ATAAGGAAGTGC >mm10_chr4:129782408-129782419(+)::chr4:129782407-129782419(+) AGAAGGAAGCAG >mm10_chr4:129782437-129782448(+)::chr4:129782436-129782448(+) AAAGGGAAGTTG >mm10_chr4:129783064-129783075(-)::chr4:129783063-129783075(-) ggaaggaagagc >mm10_chr4:129795500-129795511(+)::chr4:129795499-129795511(+) AGGAGGAAGTGG >mm10_chr4:129811607-129811618(-)::chr4:129811606-129811618(-) TAaaggaagtaa >mm10_chr4:129818548-129818559(+)::chr4:129818547-129818559(+) ACCAGGAAATGC >mm10_chr4:129818584-129818595(-)::chr4:129818583-129818595(-) GAGAGGAAGAAC >mm10_chr4:129870975-129870986(-)::chr4:129870974-129870986(-) acaaggcagtga >mm10_chr4:129877572-129877583(-)::chr4:129877571-129877583(-) ttaaggaagtgc >mm10_chr4:129877583-129877594(+)::chr4:129877582-129877594(+) aggaggaaataa >mm10_chr4:129881957-129881968(+)::chr4:129881956-129881968(+) atcaggaagggc >mm10_chr4:129882000-129882011(-)::chr4:129881999-129882011(-) actaggaagtgg >mm10_chr4:129883120-129883131(-)::chr4:129883119-129883131(-) AAAAGGAAGAAg >mm10_chr4:129892992-129893003(-)::chr4:129892991-129893003(-) CAAGGGAAGTAG >mm10_chr4:129895048-129895059(+)::chr4:129895047-129895059(+) tacaggaagttg >mm10_chr4:129937261-129937272(-)::chr4:129937260-129937272(-) agaaggaaggag >mm10_chr4:129937268-129937279(-)::chr4:129937267-129937279(-) ggaaggaagaag >mm10_chr4:129937272-129937283(-)::chr4:129937271-129937283(-) ggaaggaaggaa >mm10_chr4:129966299-129966310(-)::chr4:129966298-129966310(-) GGAAAGAAGTGA >mm10_chr4:129966334-129966345(+)::chr4:129966333-129966345(+) GGGAGGAAGTAC >mm10_chr4:130001830-130001841(+)::chr4:130001829-130001841(+) gccaggaagtGA >mm10_chr4:130001917-130001928(+)::chr4:130001916-130001928(+) AGGAGGAAGTAT >mm10_chr4:130045682-130045693(-)::chr4:130045681-130045693(-) AAAAGGAAGGAA >mm10_chr4:130063417-130063428(-)::chr4:130063416-130063428(-) agcaggaagttc >mm10_chr4:130075066-130075077(-)::chr4:130075065-130075077(-) AGGAGGAAGGGC >mm10_chr4:130094908-130094919(-)::chr4:130094907-130094919(-) gggaggaagatc >mm10_chr4:130094920-130094931(-)::chr4:130094919-130094931(-) aggaggaagaag >mm10_chr4:130096172-130096183(-)::chr4:130096171-130096183(-) GGAAGGTAGTGC >mm10_chr4:130101269-130101280(-)::chr4:130101268-130101280(-) AAGAGGAAATGG >mm10_chr4:130102259-130102270(-)::chr4:130102258-130102270(-) ATAGGGAAGTGA >mm10_chr4:130102312-130102323(-)::chr4:130102311-130102323(-) AGCAGGAAGGGG >mm10_chr4:130120528-130120539(+)::chr4:130120527-130120539(+) aagaggaagtca >mm10_chr4:130125966-130125977(-)::chr4:130125965-130125977(-) ACCAGGAAGGCC >mm10_chr4:130131048-130131059(+)::chr4:130131047-130131059(+) AGCAGGAAGGGG >mm10_chr4:130148595-130148606(-)::chr4:130148594-130148606(-) AGGAGGAAGTTA >mm10_chr4:130148650-130148661(-)::chr4:130148649-130148661(-) AAAAGGAAATGA >mm10_chr4:130156936-130156947(+)::chr4:130156935-130156947(+) AGCAGGAAGTGA >mm10_chr4:130168371-130168382(-)::chr4:130168370-130168382(-) GGCAGGAAGGAG >mm10_chr4:130176363-130176374(+)::chr4:130176362-130176374(+) accAGGAAGTGG >mm10_chr4:130179160-130179171(+)::chr4:130179159-130179171(+) ACCAGGAAGGCA >mm10_chr4:130180053-130180064(+)::chr4:130180052-130180064(+) aggaggaagtaa >mm10_chr4:130189841-130189852(+)::chr4:130189840-130189852(+) AGCAGGAAGTCC >mm10_chr4:130189876-130189887(-)::chr4:130189875-130189887(-) GCCAGGAAATGT >mm10_chr4:130198536-130198547(-)::chr4:130198535-130198547(-) gacaggaagtag >mm10_chr4:130211890-130211901(-)::chr4:130211889-130211901(-) GAAAGGAAATGG >mm10_chr4:130211925-130211936(-)::chr4:130211924-130211936(-) AAGAGGAAATGC >mm10_chr4:130211932-130211943(-)::chr4:130211931-130211943(-) AGAAGGAAAGAG >mm10_chr4:130211944-130211955(-)::chr4:130211943-130211955(-) GGGAGGAAGGAA >mm10_chr4:130216252-130216263(+)::chr4:130216251-130216263(+) aggaggaagaga >mm10_chr4:130216261-130216272(+)::chr4:130216260-130216272(+) agaaggaagagA >mm10_chr4:130247153-130247164(-)::chr4:130247152-130247164(-) aggaggaagagg >mm10_chr4:130247194-130247205(-)::chr4:130247193-130247205(-) taaaggaagagg >mm10_chr4:130247212-130247223(-)::chr4:130247211-130247223(-) aggaggaaatag >mm10_chr4:130297250-130297261(-)::chr4:130297249-130297261(-) ATAAGGAAACCG >mm10_chr4:130300183-130300194(-)::chr4:130300182-130300194(-) TCCAGGAAGTTC >mm10_chr4:130303839-130303850(-)::chr4:130303838-130303850(-) ACGAGGAAATCT >mm10_chr4:130304826-130304837(+)::chr4:130304825-130304837(+) GGCAGGAAGGCC >mm10_chr4:130304874-130304885(-)::chr4:130304873-130304885(-) AAGAGGAAGCAC >mm10_chr4:130305874-130305885(-)::chr4:130305873-130305885(-) AGTAGGAAGTAG >mm10_chr4:130305881-130305892(-)::chr4:130305880-130305892(-) CCGAGGAAGTAG >mm10_chr4:130323236-130323247(+)::chr4:130323235-130323247(+) aaaaggaagaga >mm10_chr4:130323245-130323256(+)::chr4:130323244-130323256(+) agaaggaagaag >mm10_chr4:130323264-130323275(+)::chr4:130323263-130323275(+) aggaggaagagg >mm10_chr4:130323288-130323299(+)::chr4:130323287-130323299(+) aaaaggaagaag >mm10_chr4:130386869-130386880(+)::chr4:130386868-130386880(+) TGAGGGAAGTGG >mm10_chr4:130386887-130386898(-)::chr4:130386886-130386898(-) AGATGGAAGTTA >mm10_chr4:130631660-130631671(+)::chr4:130631659-130631671(+) atgaggaagtgt >mm10_chr4:130638199-130638210(+)::chr4:130638198-130638210(+) acaaggaaaaca >mm10_chr4:130660083-130660094(+)::chr4:130660082-130660094(+) CCAGGGAAGTAG >mm10_chr4:130660104-130660115(+)::chr4:130660103-130660115(+) AGAAGGAAGGCT >mm10_chr4:130660156-130660167(-)::chr4:130660155-130660167(-) AGGAGGAAGTGA >mm10_chr4:130664059-130664070(+)::chr4:130664058-130664070(+) ATCAGGAAGTTT >mm10_chr4:130693197-130693208(-)::chr4:130693196-130693208(-) GCAAGGAAGCAG >mm10_chr4:130760773-130760784(-)::chr4:130760772-130760784(-) gaaaggaagcca >mm10_chr4:130761955-130761966(-)::chr4:130761954-130761966(-) GGAAGGAAATCA >mm10_chr4:130872270-130872281(-)::chr4:130872269-130872281(-) TCGAGGAAGAAG >mm10_chr4:130872294-130872305(-)::chr4:130872293-130872305(-) GGGAGGAAGAAT >mm10_chr4:130898785-130898796(-)::chr4:130898784-130898796(-) GGGAGGAAATGT >mm10_chr4:131024142-131024153(-)::chr4:131024141-131024153(-) acaaggaagctt >mm10_chr4:131109009-131109020(+)::chr4:131109008-131109020(+) CGAAGGATGTGC >mm10_chr4:131341836-131341847(+)::chr4:131341835-131341847(+) CCCAGGAAGTGT >mm10_chr4:131341887-131341898(+)::chr4:131341886-131341898(+) AGGAGGAAGCAG >mm10_chr4:131438734-131438745(+)::chr4:131438733-131438745(+) CACAGGAAGTGC >mm10_chr4:131743400-131743411(+)::chr4:131743399-131743411(+) ACCAGGAAGCAG >mm10_chr4:131752859-131752870(+)::chr4:131752858-131752870(+) acaAGgaagagg >mm10_chr4:131752865-131752876(+)::chr4:131752864-131752876(+) aagaggaagaag >mm10_chr4:131752877-131752888(+)::chr4:131752876-131752888(+) aggaggaagaaa >mm10_chr4:131770321-131770332(-)::chr4:131770320-131770332(-) AGGAGGAAGCTG >mm10_chr4:131797521-131797532(-)::chr4:131797520-131797532(-) AATAGGAAGGGA >mm10_chr4:131824511-131824522(+)::chr4:131824510-131824522(+) ACAGGGAAGTTC >mm10_chr4:131850504-131850515(-)::chr4:131850503-131850515(-) CTAAGGAAgtat >mm10_chr4:131861490-131861501(+)::chr4:131861489-131861501(+) AGGAGGAAGTAG >mm10_chr4:131873431-131873442(+)::chr4:131873430-131873442(+) CGGGGGAAGTGC >mm10_chr4:131876590-131876601(+)::chr4:131876589-131876601(+) GAAAGGAAGCAA >mm10_chr4:131910591-131910602(+)::chr4:131910590-131910602(+) ACGAGGAAACGG >mm10_chr4:131911660-131911671(-)::chr4:131911659-131911671(-) GCCAGGAAGGGA >mm10_chr4:131911673-131911684(-)::chr4:131911672-131911684(-) TTAAGGAACTGC >mm10_chr4:131926886-131926897(+)::chr4:131926885-131926897(+) AAAAGGAAGAGG >mm10_chr4:131926913-131926924(+)::chr4:131926912-131926924(+) GGAAGGAAGTTA >mm10_chr4:131928847-131928858(-)::chr4:131928846-131928858(-) GAAAGCAAGTAA >mm10_chr4:131977530-131977541(+)::chr4:131977529-131977541(+) TCTAGGAAGTGG >mm10_chr4:132041314-132041325(+)::chr4:132041313-132041325(+) AGAAGGAAGAGA >mm10_chr4:132065787-132065798(-)::chr4:132065786-132065798(-) AAGAGGAAGTCC >mm10_chr4:132068051-132068062(+)::chr4:132068050-132068062(+) GCCAGGAAATGG >mm10_chr4:132080038-132080049(+)::chr4:132080037-132080049(+) ACAAGGAAGAGG >mm10_chr4:132088409-132088420(-)::chr4:132088408-132088420(-) GGGAGGAAGGAG >mm10_chr4:132137757-132137768(-)::chr4:132137756-132137768(-) ttaaggaagaac >mm10_chr4:132166554-132166565(-)::chr4:132166553-132166565(-) GCCAGGAAGTGT >mm10_chr4:132215027-132215038(+)::chr4:132215026-132215038(+) ACAAGGAAGGAA >mm10_chr4:132238621-132238632(+)::chr4:132238620-132238632(+) aggaggaagaga >mm10_chr4:132260509-132260520(-)::chr4:132260508-132260520(-) ACCAGGAAGTAG >mm10_chr4:132261650-132261661(-)::chr4:132261649-132261661(-) ATAAGGACGCGT >mm10_chr4:132274384-132274395(+)::chr4:132274383-132274395(+) TGCCGGAAGTGC >mm10_chr4:132278383-132278394(-)::chr4:132278382-132278394(-) AGAAGGAGGTGG >mm10_chr4:132278395-132278406(-)::chr4:132278394-132278406(-) aggaggaagaTG >mm10_chr4:132278409-132278420(-)::chr4:132278408-132278420(-) aagaggaagaaa >mm10_chr4:132278415-132278426(-)::chr4:132278414-132278426(-) aagaggaagagg >mm10_chr4:132306138-132306149(+)::chr4:132306137-132306149(+) atgaggaagaca >mm10_chr4:132306147-132306158(+)::chr4:132306146-132306158(+) acaaggaaggaa >mm10_chr4:132320694-132320705(-)::chr4:132320693-132320705(-) GGAAGGAAGGAG >mm10_chr4:132320698-132320709(-)::chr4:132320697-132320709(-) TCCAGGAAGGAA >mm10_chr4:132329234-132329245(-)::chr4:132329233-132329245(-) AACAGGAAGGGT >mm10_chr4:132357765-132357776(-)::chr4:132357764-132357776(-) AGAAGGAAGCCA >mm10_chr4:132382522-132382533(-)::chr4:132382521-132382533(-) aagaggaagTTG >mm10_chr4:132382528-132382539(-)::chr4:132382527-132382539(-) aggaggaagagg >mm10_chr4:132382550-132382561(-)::chr4:132382549-132382561(-) aagaggaaggga >mm10_chr4:132403251-132403262(-)::chr4:132403250-132403262(-) AGAAGGAAGATG >mm10_chr4:132411761-132411772(-)::chr4:132411760-132411772(-) ggaaggaaggga >mm10_chr4:132411773-132411784(-)::chr4:132411772-132411784(-) ggaaggaagggg >mm10_chr4:132411784-132411795(-)::chr4:132411783-132411795(-) ACAGGGAAGTCg >mm10_chr4:132421757-132421768(-)::chr4:132421756-132421768(-) AAAAAGAAGTGA >mm10_chr4:132422482-132422493(+)::chr4:132422481-132422493(+) GGTAGGAAGTGC >mm10_chr4:132428078-132428089(-)::chr4:132428077-132428089(-) ggaaggaactac >mm10_chr4:132452540-132452551(+)::chr4:132452539-132452551(+) GCTAGGAAGTGT >mm10_chr4:132456449-132456460(-)::chr4:132456448-132456460(-) aggaggaagagg >mm10_chr4:132456472-132456483(-)::chr4:132456471-132456483(-) aggaggaagaga >mm10_chr4:132456487-132456498(-)::chr4:132456486-132456498(-) aagaggaaggag >mm10_chr4:132456505-132456516(-)::chr4:132456504-132456516(-) gaaaggaagaag >mm10_chr4:132463906-132463917(-)::chr4:132463905-132463917(-) TACCGGAAGTGC >mm10_chr4:132463978-132463989(+)::chr4:132463977-132463989(+) GCAAGGAACGCG >mm10_chr4:132509676-132509687(+)::chr4:132509675-132509687(+) GAGAGGAAGGGA >mm10_chr4:132515775-132515786(+)::chr4:132515774-132515786(+) AGCAGGAAGGGA >mm10_chr4:132529520-132529531(-)::chr4:132529519-132529531(-) TTGAGGAAGTCT >mm10_chr4:132545950-132545961(+)::chr4:132545949-132545961(+) AAAAGGAAGGCG >mm10_chr4:132546030-132546041(-)::chr4:132546029-132546041(-) CTAAGGAACTAC >mm10_chr4:132563477-132563488(-)::chr4:132563476-132563488(-) GGTAGGAAGAGA >mm10_chr4:132563537-132563548(-)::chr4:132563536-132563548(-) TGGAGGAAGGAG >mm10_chr4:132579492-132579503(-)::chr4:132579491-132579503(-) ATCAGGAAGAGC >mm10_chr4:132579558-132579569(-)::chr4:132579557-132579569(-) CACAGGAAGTTG >mm10_chr4:132579882-132579893(-)::chr4:132579881-132579893(-) acgaggaagaag >mm10_chr4:132579894-132579905(-)::chr4:132579893-132579905(-) ataaggaagaag >mm10_chr4:132606009-132606020(+)::chr4:132606008-132606020(+) AGCAGGAAGTGG >mm10_chr4:132747813-132747824(-)::chr4:132747812-132747824(-) AGGAGGAAGTTA >mm10_chr4:132747825-132747836(-)::chr4:132747824-132747836(-) TTGAGGAAGTGG >mm10_chr4:132747851-132747862(-)::chr4:132747850-132747862(-) GGAAGGAAGCAG >mm10_chr4:132747864-132747875(+)::chr4:132747863-132747875(+) TACAGGAAGCCG >mm10_chr4:132756288-132756299(-)::chr4:132756287-132756299(-) TAAAGGAAGAAA >mm10_chr4:132756332-132756343(-)::chr4:132756331-132756343(-) ACCAGGAAGACA >mm10_chr4:132768110-132768121(+)::chr4:132768109-132768121(+) CCCCGGAAGTAT >mm10_chr4:132769113-132769124(+)::chr4:132769112-132769124(+) GACAGGAAGGAC >mm10_chr4:132780468-132780479(+)::chr4:132780467-132780479(+) ATGAGGAAGTGA >mm10_chr4:132780535-132780546(-)::chr4:132780534-132780546(-) TTGAGGAAGTTC >mm10_chr4:132842340-132842351(+)::chr4:132842339-132842351(+) ACCAGGAAGAGC >mm10_chr4:132904940-132904951(-)::chr4:132904939-132904951(-) GACAGGAAATGA >mm10_chr4:132905182-132905193(-)::chr4:132905181-132905193(-) AGGAGGAAGCAG >mm10_chr4:132945360-132945371(+)::chr4:132945359-132945371(+) ggcaggaagagg >mm10_chr4:132947785-132947796(+)::chr4:132947784-132947796(+) aagaGGAAGAGG >mm10_chr4:132947791-132947802(+)::chr4:132947790-132947802(+) AAGAGGAAGGGG >mm10_chr4:132947815-132947826(+)::chr4:132947814-132947826(+) GTAAGGAAATAG >mm10_chr4:132959602-132959613(-)::chr4:132959601-132959613(-) GTCAGGAAATGA >mm10_chr4:132960812-132960823(+)::chr4:132960811-132960823(+) agGAGGAAGGAG >mm10_chr4:132960819-132960830(+)::chr4:132960818-132960830(+) AGGAGGAAGGAG >mm10_chr4:132961033-132961044(-)::chr4:132961032-132961044(-) GAAAGGAAGAGG >mm10_chr4:133000144-133000155(+)::chr4:133000143-133000155(+) ACCGGGAAGTGT >mm10_chr4:133032933-133032944(+)::chr4:133032932-133032944(+) AAAGGGAAGTGG >mm10_chr4:133056191-133056202(-)::chr4:133056190-133056202(-) AAAAGGAAGTGA >mm10_chr4:133079280-133079291(+)::chr4:133079279-133079291(+) AGGAGGAAGTCC >mm10_chr4:133082510-133082521(+)::chr4:133082509-133082521(+) GGCAGGAAGTAC >mm10_chr4:133084585-133084596(-)::chr4:133084584-133084596(-) ACAAGGAAGgac >mm10_chr4:133094060-133094071(+)::chr4:133094059-133094071(+) AAGAGGAAGAag >mm10_chr4:133108756-133108767(-)::chr4:133108755-133108767(-) AGAAGGAAATTG >mm10_chr4:133108763-133108774(-)::chr4:133108762-133108774(-) GGCAGGAAGAAG >mm10_chr4:133118306-133118317(-)::chr4:133118305-133118317(-) AAGAGGAAGGAT >mm10_chr4:133118312-133118323(-)::chr4:133118311-133118323(-) GGCAGGAAGAGG >mm10_chr4:133122565-133122576(-)::chr4:133122564-133122576(-) gtcaggaagtac >mm10_chr4:133131363-133131374(+)::chr4:133131362-133131374(+) AAGAGGAAGAAA >mm10_chr4:133132271-133132282(+)::chr4:133132270-133132282(+) TAGAGGAAGGAA >mm10_chr4:133132275-133132286(+)::chr4:133132274-133132286(+) GGAAGGAAGGAA >mm10_chr4:133145435-133145446(-)::chr4:133145434-133145446(-) aaaaggaagctg >mm10_chr4:133145455-133145466(-)::chr4:133145454-133145466(-) agaaggaaggac >mm10_chr4:133154213-133154224(-)::chr4:133154212-133154224(-) aagaggaagaag >mm10_chr4:133154222-133154233(-)::chr4:133154221-133154233(-) aagaggaagaag >mm10_chr4:133154228-133154239(-)::chr4:133154227-133154239(-) aacaggaagagg >mm10_chr4:133154246-133154257(-)::chr4:133154245-133154257(-) aggaggaagaga >mm10_chr4:133156691-133156702(-)::chr4:133156690-133156702(-) tacaggaagtac >mm10_chr4:133157145-133157156(+)::chr4:133157144-133157156(+) TGAAGGAAGGTG >mm10_chr4:133157205-133157216(+)::chr4:133157204-133157216(+) GCAAGGAAGGAA >mm10_chr4:133157209-133157220(+)::chr4:133157208-133157220(+) GGAAGGAAGGAA >mm10_chr4:133169699-133169710(+)::chr4:133169698-133169710(+) AGCAGGAAGTGG >mm10_chr4:133169727-133169738(+)::chr4:133169726-133169738(+) TTGAGGAAGTTT >mm10_chr4:133169737-133169748(-)::chr4:133169736-133169748(-) ACAAGGAAGAAA >mm10_chr4:133170363-133170374(-)::chr4:133170362-133170374(-) ACAAGGAAAATG >mm10_chr4:133269803-133269814(-)::chr4:133269802-133269814(-) AACAGGAAGACA >mm10_chr4:133277780-133277791(-)::chr4:133277779-133277791(-) TCCCGGAAGTGA >mm10_chr4:133284937-133284948(+)::chr4:133284936-133284948(+) ATGCGGAAGTTG >mm10_chr4:133286640-133286651(-)::chr4:133286639-133286651(-) AAACGGAAGGAG >mm10_chr4:133286646-133286657(-)::chr4:133286645-133286657(-) GCAAGGAAACGG >mm10_chr4:133330593-133330604(+)::chr4:133330592-133330604(+) ACAAGGAAGCCA >mm10_chr4:133346841-133346852(+)::chr4:133346840-133346852(+) GACAGGAAGACA >mm10_chr4:133370217-133370228(-)::chr4:133370216-133370228(-) AGGAGGAAGAAG >mm10_chr4:133390744-133390755(+)::chr4:133390743-133390755(+) aggaggaagcag >mm10_chr4:133390763-133390774(+)::chr4:133390762-133390774(+) gggcggaagtga >mm10_chr4:133443231-133443242(-)::chr4:133443230-133443242(-) AGAAGGAAGCTG >mm10_chr4:133459250-133459261(+)::chr4:133459249-133459261(+) aacaggaagaac >mm10_chr4:133459258-133459269(-)::chr4:133459257-133459269(-) gtcaggaagttc >mm10_chr4:133459309-133459320(+)::chr4:133459308-133459320(+) aaaaggaactga >mm10_chr4:133518987-133518998(+)::chr4:133518986-133518998(+) GGCAGGAAGTGT >mm10_chr4:133563171-133563182(-)::chr4:133563170-133563182(-) gagaggaagtgg >mm10_chr4:133579949-133579960(+)::chr4:133579948-133579960(+) AGGAGGAAGGAG >mm10_chr4:133579953-133579964(+)::chr4:133579952-133579964(+) GGAAGGAGGTAA >mm10_chr4:133579966-133579977(+)::chr4:133579965-133579977(+) ACCAGGAAGCAg >mm10_chr4:133584330-133584341(-)::chr4:133584329-133584341(-) GAGAGGAAGTCC >mm10_chr4:133584360-133584371(+)::chr4:133584359-133584371(+) ATCCGGAAGTCG >mm10_chr4:133584379-133584390(-)::chr4:133584378-133584390(-) GCAAGGAAGGGA >mm10_chr4:133598853-133598864(+)::chr4:133598852-133598864(+) ACCAGGAAGTGA >mm10_chr4:133599777-133599788(+)::chr4:133599776-133599788(+) ACCGGGAAGGCG >mm10_chr4:133604330-133604341(-)::chr4:133604329-133604341(-) AGCAGGAACTGA >mm10_chr4:133613663-133613674(+)::chr4:133613662-133613674(+) GAGAGGAAGTTG >mm10_chr4:133613672-133613683(-)::chr4:133613671-133613683(-) TCAAGGAAGCAA >mm10_chr4:133613687-133613698(+)::chr4:133613686-133613698(+) ACTAGGAAGAAG >mm10_chr4:133621845-133621856(+)::chr4:133621844-133621856(+) aggaggaagaaa >mm10_chr4:133625981-133625992(+)::chr4:133625980-133625992(+) AGCAGGAAGGAG >mm10_chr4:133631482-133631493(+)::chr4:133631481-133631493(+) ACTAGGAAGATA >mm10_chr4:133631527-133631538(-)::chr4:133631526-133631538(-) AGGAGGAAGGCG >mm10_chr4:133650118-133650129(+)::chr4:133650117-133650129(+) ggcaggaaggac >mm10_chr4:133650168-133650179(+)::chr4:133650167-133650179(+) aacaggaagcca >mm10_chr4:133651730-133651741(-)::chr4:133651729-133651741(-) gggaggaagaaa >mm10_chr4:133651970-133651981(-)::chr4:133651969-133651981(-) aCGAGGAAGTGA >mm10_chr4:133666249-133666260(+)::chr4:133666248-133666260(+) AGCAGGAagcca >mm10_chr4:133674382-133674393(+)::chr4:133674381-133674393(+) ACCAGGAAGGCA >mm10_chr4:133674391-133674402(+)::chr4:133674390-133674402(+) GCAAGGAAGACA >mm10_chr4:133707093-133707104(+)::chr4:133707092-133707104(+) AACAGGAAATGG >mm10_chr4:133730333-133730344(-)::chr4:133730332-133730344(-) GGGAGGAAGTGG >mm10_chr4:133730387-133730398(+)::chr4:133730386-133730398(+) AAGAGGAAGTAC >mm10_chr4:133740820-133740831(+)::chr4:133740819-133740831(+) AAGAGGAAGAAC >mm10_chr4:133746490-133746501(+)::chr4:133746489-133746501(+) AGGAGGAAGGCA >mm10_chr4:133775089-133775100(-)::chr4:133775088-133775100(-) ATCAGGAAGAGA >mm10_chr4:133813247-133813258(+)::chr4:133813246-133813258(+) TGCAGGAAGTGC >mm10_chr4:133814756-133814767(-)::chr4:133814755-133814767(-) TCAAGGAAGCGC >mm10_chr4:133814828-133814839(+)::chr4:133814827-133814839(+) GAGAGGAAGGAA >mm10_chr4:133815827-133815838(-)::chr4:133815826-133815838(-) AAGAGGAAGAGT >mm10_chr4:133816367-133816378(+)::chr4:133816366-133816378(+) TCAAGGAAGTGA >mm10_chr4:133816394-133816405(+)::chr4:133816393-133816405(+) TAGAGGAAGCGT >mm10_chr4:133821059-133821070(-)::chr4:133821058-133821070(-) GGAAGTAAGTCA >mm10_chr4:133821063-133821074(-)::chr4:133821062-133821074(-) AACAGGAAGTAA >mm10_chr4:133841246-133841257(+)::chr4:133841245-133841257(+) CAGAGGAAGTGA >mm10_chr4:133874771-133874782(-)::chr4:133874770-133874782(-) AGAGGGAAGTGA >mm10_chr4:133874784-133874795(+)::chr4:133874783-133874795(+) AGCAGGAAGGAG >mm10_chr4:133885027-133885038(+)::chr4:133885026-133885038(+) GGCAGGAAGCAG >mm10_chr4:133886059-133886070(+)::chr4:133886058-133886070(+) AGCAGGAAGTGC >mm10_chr4:133892446-133892457(+)::chr4:133892445-133892457(+) TAAAGGAAGGGA >mm10_chr4:133892485-133892496(-)::chr4:133892484-133892496(-) GGAAGGAAGAGA >mm10_chr4:133892507-133892518(-)::chr4:133892506-133892518(-) AAGAGGAAGAGT >mm10_chr4:133905395-133905406(+)::chr4:133905394-133905406(+) gtgaggaagtgg >mm10_chr4:133905414-133905425(+)::chr4:133905413-133905425(+) aggaGGAAGGAg >mm10_chr4:133922916-133922927(-)::chr4:133922915-133922927(-) gagaggaagaga >mm10_chr4:133922978-133922989(-)::chr4:133922977-133922989(-) agcaggaaataa >mm10_chr4:133972054-133972065(+)::chr4:133972053-133972065(+) TACAGGAAGCAC >mm10_chr4:134017164-134017175(-)::chr4:134017163-134017175(-) ACAAGGAAGAGA >mm10_chr4:134061628-134061639(+)::chr4:134061627-134061639(+) atccggaagtag >mm10_chr4:134095093-134095104(+)::chr4:134095092-134095104(+) GGGAGGAAGGAG >mm10_chr4:134095124-134095135(-)::chr4:134095123-134095135(-) AGAAGGAAGCTC >mm10_chr4:134098777-134098788(-)::chr4:134098776-134098788(-) GCTAGGAAGTGG >mm10_chr4:134106636-134106647(-)::chr4:134106635-134106647(-) aagaggaagagg >mm10_chr4:134106642-134106653(-)::chr4:134106641-134106653(-) aggaggaagagg >mm10_chr4:134106663-134106674(-)::chr4:134106662-134106674(-) aggaggaagaaa >mm10_chr4:134106673-134106684(-)::chr4:134106672-134106684(-) aggaggaaggag >mm10_chr4:134106682-134106693(-)::chr4:134106681-134106693(-) aagaggaagagg >mm10_chr4:134106688-134106699(-)::chr4:134106687-134106699(-) aagaggaagagg >mm10_chr4:134106694-134106705(-)::chr4:134106693-134106705(-) aggaggaagagg >mm10_chr4:134106715-134106726(-)::chr4:134106714-134106726(-) AAgaggaagaag >mm10_chr4:134110168-134110179(+)::chr4:134110167-134110179(+) TAGAGGAAGAGC >mm10_chr4:134115353-134115364(-)::chr4:134115352-134115364(-) cccAGGAAGTCA >mm10_chr4:134128754-134128765(-)::chr4:134128753-134128765(-) GGAAGGAAACCG >mm10_chr4:134128758-134128769(-)::chr4:134128757-134128769(-) AGCAGGAAGGAA >mm10_chr4:134159186-134159197(+)::chr4:134159185-134159197(+) atagggaaggaa >mm10_chr4:134203744-134203755(+)::chr4:134203743-134203755(+) ACAAGGAAAATA >mm10_chr4:134203779-134203790(-)::chr4:134203778-134203790(-) CCTAGGAAGTGG >mm10_chr4:134230701-134230712(-)::chr4:134230700-134230712(-) ACCAGGAAGCAA >mm10_chr4:134237830-134237841(-)::chr4:134237829-134237841(-) gccaggaagtga >mm10_chr4:134238445-134238456(+)::chr4:134238444-134238456(+) GTAAGGCAGTGC >mm10_chr4:134238478-134238489(-)::chr4:134238477-134238489(-) AGGAGGAAGACA >mm10_chr4:134257775-134257786(-)::chr4:134257774-134257786(-) TCGAGGAAGGCC >mm10_chr4:134258479-134258490(+)::chr4:134258478-134258490(+) ttaaggaagaga >mm10_chr4:134258533-134258544(+)::chr4:134258532-134258544(+) aacaggaagccg >mm10_chr4:134263387-134263398(-)::chr4:134263386-134263398(-) ATCAGGAAGGTG >mm10_chr4:134263406-134263417(-)::chr4:134263405-134263417(-) ACCGGGAAGTTG >mm10_chr4:134265253-134265264(-)::chr4:134265252-134265264(-) gaaaggaaggaa >mm10_chr4:134265282-134265293(-)::chr4:134265281-134265293(-) aagaggaagaag >mm10_chr4:134265288-134265299(-)::chr4:134265287-134265299(-) Agaaggaagagg >mm10_chr4:134266386-134266397(+)::chr4:134266385-134266397(+) TAAAGGAAATCC >mm10_chr4:134272835-134272846(-)::chr4:134272834-134272846(-) AGGAGGAAGGAA >mm10_chr4:134286410-134286421(-)::chr4:134286409-134286421(-) ACAAGGAAGTAA >mm10_chr4:134286442-134286453(-)::chr4:134286441-134286453(-) TCCAGGAAGAAA >mm10_chr4:134288605-134288616(-)::chr4:134288604-134288616(-) GGCAGGAAGCAA >mm10_chr4:134303801-134303812(-)::chr4:134303800-134303812(-) TGCAGGAAGATT >mm10_chr4:134307730-134307741(-)::chr4:134307729-134307741(-) TGGAGGAAGTGA >mm10_chr4:134312056-134312067(+)::chr4:134312055-134312067(+) CTGAGGAAGTCA >mm10_chr4:134312067-134312078(+)::chr4:134312066-134312078(+) AACAGGAAGCTG >mm10_chr4:134328278-134328289(-)::chr4:134328277-134328289(-) aggaggaaatga >mm10_chr4:134328299-134328310(-)::chr4:134328298-134328310(-) acgaggtagtgg >mm10_chr4:134339180-134339191(+)::chr4:134339179-134339191(+) aacaggaagggg >mm10_chr4:134343468-134343479(+)::chr4:134343467-134343479(+) GAAAGGAAATTG >mm10_chr4:134345218-134345229(-)::chr4:134345217-134345229(-) acaaggaagtgt >mm10_chr4:134346676-134346687(-)::chr4:134346675-134346687(-) AGAGGGAAATAC >mm10_chr4:134352097-134352108(+)::chr4:134352096-134352108(+) TAAAGGAAGAAG >mm10_chr4:134353828-134353839(-)::chr4:134353827-134353839(-) GGAAGGAAGAAA >mm10_chr4:134353832-134353843(-)::chr4:134353831-134353843(-) ACCAGGAAGGAA >mm10_chr4:134356096-134356107(+)::chr4:134356095-134356107(+) TCCAGGAAGGAC >mm10_chr4:134373333-134373344(+)::chr4:134373332-134373344(+) ACAAGGAAGTAT >mm10_chr4:134397085-134397096(-)::chr4:134397084-134397096(-) ACACGGAAATAT >mm10_chr4:134398765-134398776(+)::chr4:134398764-134398776(+) ACAAGGAAGTTC >mm10_chr4:134455457-134455468(-)::chr4:134455456-134455468(-) GGAAGGAAGTTT >mm10_chr4:134455497-134455508(-)::chr4:134455496-134455508(-) CAGAGGAAGCGA >mm10_chr4:134470912-134470923(+)::chr4:134470911-134470923(+) CAAAGGAAGAAA >mm10_chr4:134470949-134470960(+)::chr4:134470948-134470960(+) AGTAGGAAATGT >mm10_chr4:134510922-134510933(+)::chr4:134510921-134510933(+) AGCAGGAAGAGC >mm10_chr4:134510948-134510959(-)::chr4:134510947-134510959(-) CTAAGGAAGCCG >mm10_chr4:134550931-134550942(+)::chr4:134550930-134550942(+) GTAAGGAAATCC >mm10_chr4:134595204-134595215(+)::chr4:134595203-134595215(+) tggaggaagtgc >mm10_chr4:134595248-134595259(-)::chr4:134595247-134595259(-) aacaggaagttg >mm10_chr4:134595268-134595279(-)::chr4:134595267-134595279(-) gacaggaagttg >mm10_chr4:134632542-134632553(-)::chr4:134632541-134632553(-) TCCAGGAAGTCA >mm10_chr4:134651496-134651507(+)::chr4:134651495-134651507(+) GCAAGGAAGGGA >mm10_chr4:134670189-134670200(+)::chr4:134670188-134670200(+) GAAAGGAAGGCG >mm10_chr4:134671279-134671290(-)::chr4:134671278-134671290(-) TTACGGAAGTAT >mm10_chr4:134671287-134671298(+)::chr4:134671286-134671298(+) GTAAGGAAATAA >mm10_chr4:134671295-134671306(+)::chr4:134671294-134671306(+) ATAAGGAAGAGA >mm10_chr4:134674248-134674259(+)::chr4:134674247-134674259(+) ACCAGGAAGGCG >mm10_chr4:134677026-134677037(+)::chr4:134677025-134677037(+) ACCAGGAAGAGG >mm10_chr4:134677032-134677043(+)::chr4:134677031-134677043(+) AAGAGGAAGCAA >mm10_chr4:134688458-134688469(-)::chr4:134688457-134688469(-) agcaggaagtag >mm10_chr4:134688482-134688493(-)::chr4:134688481-134688493(-) ccaaggaactcg >mm10_chr4:134696640-134696651(+)::chr4:134696639-134696651(+) AACAGGAAGCAG >mm10_chr4:134703073-134703084(-)::chr4:134703072-134703084(-) CGCAGGAAGAGA >mm10_chr4:134703106-134703117(-)::chr4:134703105-134703117(-) GGGAGGAAGGCA >mm10_chr4:134703396-134703407(+)::chr4:134703395-134703407(+) AAAAGGAACTTC >mm10_chr4:134709136-134709147(+)::chr4:134709135-134709147(+) aggaggaagaag >mm10_chr4:134709168-134709179(+)::chr4:134709167-134709179(+) aacaggaagagg >mm10_chr4:134709182-134709193(+)::chr4:134709181-134709193(+) gggaggaagaag >mm10_chr4:134709189-134709200(+)::chr4:134709188-134709200(+) agaaggaagaga >mm10_chr4:134750461-134750472(+)::chr4:134750460-134750472(+) AACAGGAAATGG >mm10_chr4:134760866-134760877(-)::chr4:134760865-134760877(-) CGGAGGAAATGG >mm10_chr4:134760908-134760919(-)::chr4:134760907-134760919(-) GAGCGGAAGTGG >mm10_chr4:134760918-134760929(-)::chr4:134760917-134760929(-) GGAATGAAGTGA >mm10_chr4:134775403-134775414(-)::chr4:134775402-134775414(-) AGGAGGAAGCAG >mm10_chr4:134779614-134779625(-)::chr4:134779613-134779625(-) AAAAGGAAGGGA >mm10_chr4:134780499-134780510(+)::chr4:134780498-134780510(+) ACAAGGAAATGG >mm10_chr4:134827979-134827990(+)::chr4:134827978-134827990(+) AAGAGGAAGGGA >mm10_chr4:134830642-134830653(-)::chr4:134830641-134830653(-) AGAAGGAAGTTC >mm10_chr4:134830652-134830663(-)::chr4:134830651-134830663(-) TTAAGGAAGCAG >mm10_chr4:134883452-134883463(+)::chr4:134883451-134883463(+) aggaggaagagc >mm10_chr4:134930780-134930791(-)::chr4:134930779-134930791(-) ATGAGGAAGTGC >mm10_chr4:134930948-134930959(+)::chr4:134930947-134930959(+) CGCCGGAAGTGC >mm10_chr4:134930970-134930981(+)::chr4:134930969-134930981(+) TGACGGAAGTAG >mm10_chr4:134966768-134966779(-)::chr4:134966767-134966779(-) agaaggaaatgc >mm10_chr4:134983961-134983972(-)::chr4:134983960-134983972(-) GGAAGGAAGGAA >mm10_chr4:134983965-134983976(-)::chr4:134983964-134983976(-) TGTAGGAAGGAA >mm10_chr4:134983961-134983972(-)::chr4:134983960-134983972(-) GGAAGGAAGGAA >mm10_chr4:134983965-134983976(-)::chr4:134983964-134983976(-) TGTAGGAAGGAA >mm10_chr4:134983984-134983995(-)::chr4:134983983-134983995(-) ACCAGGAAGTGT >mm10_chr4:134984893-134984904(-)::chr4:134984892-134984904(-) aggaggaagagg >mm10_chr4:134984914-134984925(-)::chr4:134984913-134984925(-) aggaggaagaag >mm10_chr4:135019930-135019941(-)::chr4:135019929-135019941(-) ggaaggaagaga >mm10_chr4:135019941-135019952(-)::chr4:135019940-135019952(-) agaaggaagagg >mm10_chr4:135019965-135019976(-)::chr4:135019964-135019976(-) aagaggaagagg >mm10_chr4:135020010-135020021(-)::chr4:135020009-135020021(-) GGAaggaagaag >mm10_chr4:135020014-135020025(-)::chr4:135020013-135020025(-) GGAAGGAaggaa >mm10_chr4:135054508-135054519(-)::chr4:135054507-135054519(-) ATGAGGAAGTCA >mm10_chr4:135054529-135054540(-)::chr4:135054528-135054540(-) ACCAGGAAATGT >mm10_chr4:135067257-135067268(-)::chr4:135067256-135067268(-) GGGAGGAAGTGG >mm10_chr4:135067267-135067278(-)::chr4:135067266-135067278(-) AGAAGGAATTGG >mm10_chr4:135068311-135068322(-)::chr4:135068310-135068322(-) ACAAGGAAGAGG >mm10_chr4:135070020-135070031(-)::chr4:135070019-135070031(-) ACCGGGAAGTGG >mm10_chr4:135165867-135165878(-)::chr4:135165866-135165878(-) gagaggaagagg >mm10_chr4:135165885-135165896(-)::chr4:135165884-135165896(-) gggaggaagagg >mm10_chr4:135167257-135167268(+)::chr4:135167256-135167268(+) ACCAGGAAGTCT >mm10_chr4:135204341-135204352(-)::chr4:135204340-135204352(-) AGCAGGAAGCTG >mm10_chr4:135204381-135204392(+)::chr4:135204380-135204392(+) ATAAGGAAAAGC >mm10_chr4:135206401-135206412(+)::chr4:135206400-135206412(+) AAGAGGAAGGAA >mm10_chr4:135206405-135206416(+)::chr4:135206404-135206416(+) GGAAGGAAGCCG >mm10_chr4:135241908-135241919(-)::chr4:135241907-135241919(-) GGAAGGAAGTCC >mm10_chr4:135245135-135245146(+)::chr4:135245134-135245146(+) agaaGGAAAAGA >mm10_chr4:135245208-135245219(-)::chr4:135245207-135245219(-) ACAAGGAACTCT >mm10_chr4:135253406-135253417(-)::chr4:135253405-135253417(-) acgaggaaGTAG >mm10_chr4:135253446-135253457(+)::chr4:135253445-135253457(+) ttaaggaagagt >mm10_chr4:135261845-135261856(-)::chr4:135261844-135261856(-) AAGAGGAAGCAA >mm10_chr4:135261856-135261867(-)::chr4:135261855-135261867(-) ACAAGGAAGGGA >mm10_chr4:135275935-135275946(-)::chr4:135275934-135275946(-) agaaggaagcag >mm10_chr4:135282784-135282795(-)::chr4:135282783-135282795(-) AGGAGGAAGATC >mm10_chr4:135283179-135283190(+)::chr4:135283178-135283190(+) GAAAGGAAGAGC >mm10_chr4:135298259-135298270(-)::chr4:135298258-135298270(-) TGGGGGAAGTAG >mm10_chr4:135300489-135300500(+)::chr4:135300488-135300500(+) gaaaggaagTAA >mm10_chr4:135306988-135306999(+)::chr4:135306987-135306999(+) GGGAGGAAGGAG >mm10_chr4:135307679-135307690(+)::chr4:135307678-135307690(+) GGGAGGAAGGAA >mm10_chr4:135357346-135357357(-)::chr4:135357345-135357357(-) atcaggaaataa >mm10_chr4:135385942-135385953(-)::chr4:135385941-135385953(-) AAAAGGAGGTGG >mm10_chr4:135406287-135406298(-)::chr4:135406286-135406298(-) GAAATGAAGTGA >mm10_chr4:135406292-135406303(-)::chr4:135406291-135406303(-) AAGAGGAAATGA >mm10_chr4:135406340-135406351(+)::chr4:135406339-135406351(+) GCCAGGAAGAGC >mm10_chr4:135436470-135436481(+)::chr4:135436469-135436481(+) CAAAGGAAGAGT >mm10_chr4:135436543-135436554(-)::chr4:135436542-135436554(-) GGAAGGATGTGG >mm10_chr4:135436547-135436558(-)::chr4:135436546-135436558(-) AAGAGGAAGGAT >mm10_chr4:135494162-135494173(-)::chr4:135494161-135494173(-) TGCAGGAAATAG >mm10_chr4:135547705-135547716(-)::chr4:135547704-135547716(-) CCCAGGAAGTTG >mm10_chr4:135549513-135549524(-)::chr4:135549512-135549524(-) ttaaggaactca >mm10_chr4:135587938-135587949(-)::chr4:135587937-135587949(-) ATGAGGAAGACG >mm10_chr4:135587952-135587963(-)::chr4:135587951-135587963(-) CCAAGGAAGACT >mm10_chr4:135592024-135592035(+)::chr4:135592023-135592035(+) AGTAGGAAGAAG >mm10_chr4:135592031-135592042(+)::chr4:135592030-135592042(+) AGAAGGAATTGC >mm10_chr4:135666344-135666355(-)::chr4:135666343-135666355(-) TCCAGGAAGTGG >mm10_chr4:135690397-135690408(-)::chr4:135690396-135690408(-) ATGAGGAAGCAG >mm10_chr4:135690414-135690425(+)::chr4:135690413-135690425(+) CCAAGGAAGTGA >mm10_chr4:135711780-135711791(+)::chr4:135711779-135711791(+) AGCAGGAAGAGG >mm10_chr4:135711983-135711994(-)::chr4:135711982-135711994(-) aggaggaagact >mm10_chr4:135722975-135722986(+)::chr4:135722974-135722986(+) gagaggaaatag >mm10_chr4:135729514-135729525(+)::chr4:135729513-135729525(+) ACCAGGAAGTGT >mm10_chr4:135729539-135729550(+)::chr4:135729538-135729550(+) GCGGGGAAGTGG >mm10_chr4:135767106-135767117(+)::chr4:135767105-135767117(+) TGAAGGAAGTTG >mm10_chr4:135802943-135802954(-)::chr4:135802942-135802954(-) tccaggaagtcc >mm10_chr4:135824472-135824483(+)::chr4:135824471-135824483(+) ttaaggaagaaa >mm10_chr4:135827652-135827663(+)::chr4:135827651-135827663(+) tcaaggaagaaa >mm10_chr4:135827660-135827671(+)::chr4:135827659-135827671(+) gaaaggaagaga >mm10_chr4:135827685-135827696(-)::chr4:135827684-135827696(-) ccagggaagtgt >mm10_chr4:135832195-135832206(-)::chr4:135832194-135832206(-) TCGAGGAAGCAA >mm10_chr4:135837816-135837827(+)::chr4:135837815-135837827(+) aggaggaagagg >mm10_chr4:135837855-135837866(+)::chr4:135837854-135837866(+) aggaggaAGGGC >mm10_chr4:135841512-135841523(-)::chr4:135841511-135841523(-) TGGAGGAAATGA >mm10_chr4:135841524-135841535(-)::chr4:135841523-135841535(-) TCAAGGAAGTGA >mm10_chr4:135841544-135841555(+)::chr4:135841543-135841555(+) GTGAGGAAGAAG >mm10_chr4:135841551-135841562(+)::chr4:135841550-135841562(+) AGAAGGAAGTGT >mm10_chr4:135848784-135848795(-)::chr4:135848783-135848795(-) aggaggaagagg >mm10_chr4:135848796-135848807(-)::chr4:135848795-135848807(-) aaaaggaagagg >mm10_chr4:135848823-135848834(-)::chr4:135848822-135848834(-) aggaggaagggg >mm10_chr4:135859795-135859806(-)::chr4:135859794-135859806(-) ACAAGGAAATGA >mm10_chr4:135859807-135859818(+)::chr4:135859806-135859818(+) CCAAGGAAGTGG >mm10_chr4:135873603-135873614(-)::chr4:135873602-135873614(-) AAGAGGAAGTGA >mm10_chr4:135873807-135873818(-)::chr4:135873806-135873818(-) GAAAGGCAGTAG >mm10_chr4:135875332-135875343(-)::chr4:135875331-135875343(-) GAGAGGAAGAGG >mm10_chr4:135891687-135891698(-)::chr4:135891686-135891698(-) atgaggaagttg >mm10_chr4:135891726-135891737(+)::chr4:135891725-135891737(+) tgaaggaagtga >mm10_chr4:135919124-135919135(+)::chr4:135919123-135919135(+) GGGAGGAAGAGA >mm10_chr4:135919149-135919160(-)::chr4:135919148-135919160(-) ATAAGGAAACAC >mm10_chr4:135919171-135919182(+)::chr4:135919170-135919182(+) AGGAGGAAGTGC >mm10_chr4:135921510-135921521(-)::chr4:135921509-135921521(-) ACAAGGAAGTCA >mm10_chr4:135921535-135921546(+)::chr4:135921534-135921546(+) TAAAGGAAGAAG >mm10_chr4:135921542-135921553(+)::chr4:135921541-135921553(+) AGAAGGAAGGAT >mm10_chr4:135943442-135943453(+)::chr4:135943441-135943453(+) GGAAGGAAGGAA >mm10_chr4:135943446-135943457(+)::chr4:135943445-135943457(+) GGAAGGAAGGAA >mm10_chr4:135943450-135943461(+)::chr4:135943449-135943461(+) GGAAGGAAGAGG >mm10_chr4:135948359-135948370(+)::chr4:135948358-135948370(+) TGGAGGAAGTGG >mm10_chr4:135948393-135948404(+)::chr4:135948392-135948404(+) AAAAGGAAGTTG >mm10_chr4:135958447-135958458(-)::chr4:135958446-135958458(-) ACAAGGAAGCAG >mm10_chr4:135964764-135964775(-)::chr4:135964763-135964775(-) GGGAGGAAGCAG >mm10_chr4:135972608-135972619(-)::chr4:135972607-135972619(-) GAACGGAAGTTC >mm10_chr4:135972646-135972657(+)::chr4:135972645-135972657(+) TGCCGGAAGTAG >mm10_chr4:135981084-135981095(+)::chr4:135981083-135981095(+) acaaggaagtca >mm10_chr4:136020842-136020853(-)::chr4:136020841-136020853(-) AAGAGGAAGTGG >mm10_chr4:136028238-136028249(-)::chr4:136028237-136028249(-) AAGAGGAAGTGG >mm10_chr4:136028250-136028261(-)::chr4:136028249-136028261(-) AGGAGGAAGTAG >mm10_chr4:136053361-136053372(+)::chr4:136053360-136053372(+) AACAGGAAGAGA >mm10_chr4:136143610-136143621(-)::chr4:136143609-136143621(-) ATGAGGAAGCGC >mm10_chr4:136148509-136148520(-)::chr4:136148508-136148520(-) GGAAGGAAGGAG >mm10_chr4:136148513-136148524(-)::chr4:136148512-136148524(-) TGAAGGAAGGAA >mm10_chr4:136173389-136173400(-)::chr4:136173388-136173400(-) ACCAGGAAATCC >mm10_chr4:136185589-136185600(-)::chr4:136185588-136185600(-) GGAAGGAAGTGA >mm10_chr4:136185593-136185604(-)::chr4:136185592-136185604(-) ATGAGGAAGGAA >mm10_chr4:136211002-136211013(-)::chr4:136211001-136211013(-) TTAAGGAAGGCC >mm10_chr4:136231192-136231203(+)::chr4:136231191-136231203(+) acagggaagtat >mm10_chr4:136233278-136233289(+)::chr4:136233277-136233289(+) AGGAGGAAGCCA >mm10_chr4:136249596-136249607(+)::chr4:136249595-136249607(+) ctaaggaagaca >mm10_chr4:136282778-136282789(+)::chr4:136282777-136282789(+) GGCAGGAAGTGG >mm10_chr4:136282787-136282798(-)::chr4:136282786-136282798(-) AGGAGGAAGCCA >mm10_chr4:136282842-136282853(+)::chr4:136282841-136282853(+) AACAGGAAGCCC >mm10_chr4:136419245-136419256(-)::chr4:136419244-136419256(-) AACAGGAAATTC >mm10_chr4:136419290-136419301(+)::chr4:136419289-136419301(+) CGGAGGAAATTG >mm10_chr4:136430874-136430885(-)::chr4:136430873-136430885(-) AGAAGGAAGTGG >mm10_chr4:136450006-136450017(+)::chr4:136450005-136450017(+) atagggaagtgg >mm10_chr4:136450019-136450030(+)::chr4:136450018-136450030(+) agcaggaagatc >mm10_chr4:136459012-136459023(-)::chr4:136459011-136459023(-) agaaggaaacag >mm10_chr4:136462521-136462532(-)::chr4:136462520-136462532(-) CGAAGGACGGGG >mm10_chr4:136548521-136548532(+)::chr4:136548520-136548532(+) GCCAGGAAGCTA >mm10_chr4:136586451-136586462(+)::chr4:136586450-136586462(+) ACAAGGAAGCAA >mm10_chr4:136602787-136602798(-)::chr4:136602786-136602798(-) TCCAGGACGTCG >mm10_chr4:136649044-136649055(-)::chr4:136649043-136649055(-) ATAGGGAAGGGA >mm10_chr4:136653189-136653200(-)::chr4:136653188-136653200(-) agaaggaagagg >mm10_chr4:136653198-136653209(-)::chr4:136653197-136653209(-) aggaggaagaga >mm10_chr4:136673912-136673923(+)::chr4:136673911-136673923(+) TGTAGGAAGGAA >mm10_chr4:136673916-136673927(+)::chr4:136673915-136673927(+) GGAAGGAAGAGG >mm10_chr4:136790000-136790011(-)::chr4:136789999-136790011(-) TCCAGGAAGTGA >mm10_chr4:136832438-136832449(-)::chr4:136832437-136832449(-) AGAAGGAAGACC >mm10_chr4:136832500-136832511(+)::chr4:136832499-136832511(+) CCAAGGAAATGC >mm10_chr4:136899261-136899272(-)::chr4:136899260-136899272(-) GTAAGGAAGAAA >mm10_chr4:136978151-136978162(+)::chr4:136978150-136978162(+) AAAGGGAAGTCC >mm10_chr4:136995626-136995637(-)::chr4:136995625-136995637(-) TGGAGGAAGTGG >mm10_chr4:136997488-136997499(-)::chr4:136997487-136997499(-) TGCAGGAAGTTG >mm10_chr4:136998533-136998544(-)::chr4:136998532-136998544(-) TGGAGGAAGTAG >mm10_chr4:137033518-137033529(+)::chr4:137033517-137033529(+) AGAAGCAAGTTG >mm10_chr4:137043911-137043922(+)::chr4:137043910-137043922(+) AGAAGGAAGGCA >mm10_chr4:137070205-137070216(-)::chr4:137070204-137070216(-) aagaggaagagg >mm10_chr4:137070223-137070234(-)::chr4:137070222-137070234(-) agtaggaagagg >mm10_chr4:137231765-137231776(+)::chr4:137231764-137231776(+) AACAGGAAATAC >mm10_chr4:137345953-137345964(+)::chr4:137345952-137345964(+) aggaggaagtgg >mm10_chr4:137345981-137345992(-)::chr4:137345980-137345992(-) actaggaaatat >mm10_chr4:137346003-137346014(-)::chr4:137346002-137346014(-) agcaggaagtag >mm10_chr4:137356895-137356906(-)::chr4:137356894-137356906(-) AGCAGGAAATTT >mm10_chr4:137356911-137356922(+)::chr4:137356910-137356922(+) ACGAGGAACTGA >mm10_chr4:137388408-137388419(-)::chr4:137388407-137388419(-) ACCCGGAAGTCC >mm10_chr4:137388429-137388440(+)::chr4:137388428-137388440(+) AAGCGGAAGTTG >mm10_chr4:137459089-137459100(-)::chr4:137459088-137459100(-) AGGAGGAAGATG >mm10_chr4:137490216-137490227(-)::chr4:137490215-137490227(-) GGAAGGAAGCAG >mm10_chr4:137527402-137527413(-)::chr4:137527401-137527413(-) ACAAGGATGTTA >mm10_chr4:137527428-137527439(-)::chr4:137527427-137527439(-) TCTAGGAAGTGG >mm10_chr4:137559348-137559359(-)::chr4:137559347-137559359(-) GGCAGGAAGGAG >mm10_chr4:137559382-137559393(+)::chr4:137559381-137559393(+) TACAGGAAGTTT >mm10_chr4:137578856-137578867(+)::chr4:137578855-137578867(+) TCCAGGAAATCG >mm10_chr4:137578867-137578878(-)::chr4:137578866-137578878(-) TTGAGGAAGCGC >mm10_chr4:137587395-137587406(-)::chr4:137587394-137587406(-) agaaggaaagac >mm10_chr4:137645746-137645757(-)::chr4:137645745-137645757(-) acaaggaaggcc >mm10_chr4:137654207-137654218(+)::chr4:137654206-137654218(+) AGAAGGAAGTTA >mm10_chr4:137654230-137654241(+)::chr4:137654229-137654241(+) GTGAGGAAGGAC >mm10_chr4:137658172-137658183(-)::chr4:137658171-137658183(-) agtaggaagatc >mm10_chr4:137658179-137658190(-)::chr4:137658178-137658190(-) aggaggaagtag >mm10_chr4:137660401-137660412(-)::chr4:137660400-137660412(-) GACAGGAAGTGT >mm10_chr4:137685098-137685109(-)::chr4:137685097-137685109(-) GGTAGGAAGTTT >mm10_chr4:137685110-137685121(-)::chr4:137685109-137685121(-) ATCAGGAAATAT >mm10_chr4:137687283-137687294(+)::chr4:137687282-137687294(+) AGGAGGAAGCTG >mm10_chr4:137726972-137726983(+)::chr4:137726971-137726983(+) GAACGGAAGTGC >mm10_chr4:137726999-137727010(-)::chr4:137726998-137727010(-) TGGAGGAAGATC >mm10_chr4:137783900-137783911(+)::chr4:137783899-137783911(+) AGGAGGAAATTG >mm10_chr4:137785116-137785127(+)::chr4:137785115-137785127(+) AGCAGGAAGCTC >mm10_chr4:137799817-137799828(+)::chr4:137799816-137799828(+) tccaggaagtac >mm10_chr4:137816485-137816496(-)::chr4:137816484-137816496(-) acaaggaagttg >mm10_chr4:137820896-137820907(+)::chr4:137820895-137820907(+) ctcaggaagtgg >mm10_chr4:137841294-137841305(-)::chr4:137841293-137841305(-) aggaggaagaag >mm10_chr4:137841312-137841323(-)::chr4:137841311-137841323(-) aggaggaagaag >mm10_chr4:137841339-137841350(-)::chr4:137841338-137841350(-) aggaggaagagg >mm10_chr4:137841351-137841362(-)::chr4:137841350-137841362(-) aagaggaagaag >mm10_chr4:137841357-137841368(-)::chr4:137841356-137841368(-) agaaggaagagg >mm10_chr4:137855598-137855609(+)::chr4:137855597-137855609(+) ggaaggaaggaa >mm10_chr4:137855602-137855613(+)::chr4:137855601-137855613(+) ggaaggaaggaa >mm10_chr4:137855606-137855617(+)::chr4:137855605-137855617(+) ggaaggaaggaa >mm10_chr4:137855610-137855621(+)::chr4:137855609-137855621(+) ggaaggaaggaa >mm10_chr4:137855648-137855659(+)::chr4:137855647-137855659(+) ACAAGGAAGGTC >mm10_chr4:137856103-137856114(-)::chr4:137856102-137856114(-) ACCAGGAAGGGC >mm10_chr4:137856127-137856138(-)::chr4:137856126-137856138(-) CAAAGGAAATGC >mm10_chr4:137856158-137856169(-)::chr4:137856157-137856169(-) GGGAGGAAGTGC >mm10_chr4:137861858-137861869(-)::chr4:137861857-137861869(-) AGAAGGAAGAAG >mm10_chr4:137866176-137866187(+)::chr4:137866175-137866187(+) ACAAGGAAGGAA >mm10_chr4:137871539-137871550(-)::chr4:137871538-137871550(-) TCGAGGAAGAAA >mm10_chr4:137883828-137883839(-)::chr4:137883827-137883839(-) AGGAGGAAGAAA >mm10_chr4:137883897-137883908(-)::chr4:137883896-137883908(-) GCAAGGAAGGTT >mm10_chr4:137929620-137929631(+)::chr4:137929619-137929631(+) ATCAGGAAGCAG >mm10_chr4:137953564-137953575(-)::chr4:137953563-137953575(-) aagaggaagagg >mm10_chr4:137953573-137953584(-)::chr4:137953572-137953584(-) aggaggaagaag >mm10_chr4:137953588-137953599(-)::chr4:137953587-137953599(-) AGgaggaagaag >mm10_chr4:137968212-137968223(+)::chr4:137968211-137968223(+) GTACGGAAGCAT >mm10_chr4:137984401-137984412(+)::chr4:137984400-137984412(+) GTCAGGAAGTTC >mm10_chr4:137984984-137984995(+)::chr4:137984983-137984995(+) ACAAGGAAGAAG >mm10_chr4:137994715-137994726(+)::chr4:137994714-137994726(+) AGGAGGAAATGT >mm10_chr4:137994735-137994746(-)::chr4:137994734-137994746(-) ACAGGGAAGCGC >mm10_chr4:137994759-137994770(+)::chr4:137994758-137994770(+) TAAAGGAAGAGG >mm10_chr4:137994765-137994776(+)::chr4:137994764-137994776(+) AAGAGGAAGTTA >mm10_chr4:137996100-137996111(+)::chr4:137996099-137996111(+) AGAAGGAAGATG >mm10_chr4:137996121-137996132(+)::chr4:137996120-137996132(+) AACAGGAAGGAA >mm10_chr4:138064084-138064095(+)::chr4:138064083-138064095(+) GAAAGGACGTGT >mm10_chr4:138083191-138083202(-)::chr4:138083190-138083202(-) AGAAGGAAGTGC >mm10_chr4:138083222-138083233(-)::chr4:138083221-138083233(-) AGGAGGAAGTTC >mm10_chr4:138084299-138084310(+)::chr4:138084298-138084310(+) AGGAGGAAGGTT >mm10_chr4:138099766-138099777(-)::chr4:138099765-138099777(-) GCCAGGAAGGAG >mm10_chr4:138099805-138099816(-)::chr4:138099804-138099816(-) AGAAGGAAAGTA >mm10_chr4:138099812-138099823(-)::chr4:138099811-138099823(-) CCAAGGAAGAAG >mm10_chr4:138119611-138119622(-)::chr4:138119610-138119622(-) ACAAGGAAGGAT >mm10_chr4:138144919-138144930(-)::chr4:138144918-138144930(-) TCAAGGAAGGCC >mm10_chr4:138166642-138166653(+)::chr4:138166641-138166653(+) AACAGGAAGAGG >mm10_chr4:138175335-138175346(-)::chr4:138175334-138175346(-) AGAAGGAAGAAG >mm10_chr4:138175397-138175408(-)::chr4:138175396-138175408(-) CTAAGGAAATGA >mm10_chr4:138184703-138184714(+)::chr4:138184702-138184714(+) AAGAGGAAGTGG >mm10_chr4:138184714-138184725(+)::chr4:138184713-138184725(+) GAGAGGAAGTCC >mm10_chr4:138191983-138191994(-)::chr4:138191982-138191994(-) AACAGGAAGTAA >mm10_chr4:138211179-138211190(+)::chr4:138211178-138211190(+) agaaGGAAGTAG >mm10_chr4:138211186-138211197(+)::chr4:138211185-138211197(+) AGTAGGAAGAcc >mm10_chr4:138307849-138307860(-)::chr4:138307848-138307860(-) TTGAGGAAGTAC >mm10_chr4:138343014-138343025(+)::chr4:138343013-138343025(+) aataggaagtat >mm10_chr4:138343047-138343058(-)::chr4:138343046-138343058(-) tacaggaagacc >mm10_chr4:138345096-138345107(-)::chr4:138345095-138345107(-) CAAAGGAAGGAT >mm10_chr4:138356354-138356365(-)::chr4:138356353-138356365(-) TCAAGGAAGTCA >mm10_chr4:138366914-138366925(-)::chr4:138366913-138366925(-) ggaaggaaggag >mm10_chr4:138366935-138366946(-)::chr4:138366934-138366946(-) agaaggaaggga >mm10_chr4:138366979-138366990(-)::chr4:138366978-138366990(-) gggaggaaggga >mm10_chr4:138368590-138368601(-)::chr4:138368589-138368601(-) gggaggaaggga >mm10_chr4:138368602-138368613(-)::chr4:138368601-138368613(-) ggaaggaagcga >mm10_chr4:138368606-138368617(-)::chr4:138368605-138368617(-) ggaaggaaggaa >mm10_chr4:138368610-138368621(-)::chr4:138368609-138368621(-) ggaaggaaggaa >mm10_chr4:138368614-138368625(-)::chr4:138368613-138368625(-) AAgaggaaggaa >mm10_chr4:138374893-138374904(-)::chr4:138374892-138374904(-) CTAAGGAAGTTG >mm10_chr4:138426806-138426817(-)::chr4:138426805-138426817(-) GTGAGGAAGGAA >mm10_chr4:138426814-138426825(-)::chr4:138426813-138426825(-) CTAGGGAAGTGA >mm10_chr4:138463179-138463190(-)::chr4:138463178-138463190(-) CGCAGGAAGTCG >mm10_chr4:138471395-138471406(+)::chr4:138471394-138471406(+) AGAAGGAAATGC >mm10_chr4:138482992-138483003(-)::chr4:138482991-138483003(-) ACGGGGAAGCCG >mm10_chr4:138483013-138483024(+)::chr4:138483012-138483024(+) AGCAGGAAGTCC >mm10_chr4:138483023-138483034(-)::chr4:138483022-138483034(-) AGCAGGAAATGG >mm10_chr4:138514062-138514073(+)::chr4:138514061-138514073(+) TGCAGGAAGGGG >mm10_chr4:138755537-138755548(-)::chr4:138755536-138755548(-) TGAAGGATGTGG >mm10_chr4:138755558-138755569(+)::chr4:138755557-138755569(+) ATAAGGAAATGT >mm10_chr4:138873395-138873406(-)::chr4:138873394-138873406(-) agaaggaagacc >mm10_chr4:138889391-138889402(-)::chr4:138889390-138889402(-) TCCAGGAAGAGA >mm10_chr4:138911621-138911632(+)::chr4:138911620-138911632(+) ACACGGAAGTCG >mm10_chr4:138952933-138952944(-)::chr4:138952932-138952944(-) agaaggaagtca >mm10_chr4:138969936-138969947(-)::chr4:138969935-138969947(-) TCCAGGAAGTAA >mm10_chr4:138969978-138969989(+)::chr4:138969977-138969989(+) TCAAGGAAATAC >mm10_chr4:138973342-138973353(+)::chr4:138973341-138973353(+) ACCCGGAAGTGC >mm10_chr4:138973602-138973613(-)::chr4:138973601-138973613(-) AGCAGGAAGGGG >mm10_chr4:138975426-138975437(-)::chr4:138975425-138975437(-) AACAGGAAATGC >mm10_chr4:138983680-138983691(-)::chr4:138983679-138983691(-) TCAAGGAAATAT >mm10_chr4:139043799-139043810(+)::chr4:139043798-139043810(+) gtaaggaagtgg >mm10_chr4:139043820-139043831(+)::chr4:139043819-139043831(+) atgaggaagttC >mm10_chr4:139160373-139160384(-)::chr4:139160372-139160384(-) ACTAGGAAGTGG >mm10_chr4:139188169-139188180(+)::chr4:139188168-139188180(+) AGTAGGAAGTCC >mm10_chr4:139197722-139197733(-)::chr4:139197721-139197733(-) GGAAGGAAGGAG >mm10_chr4:139197736-139197747(-)::chr4:139197735-139197747(-) GCAAGGAACTGA >mm10_chr4:139198102-139198113(-)::chr4:139198101-139198113(-) TCCAGGAAGAGG >mm10_chr4:139199193-139199204(+)::chr4:139199192-139199204(+) aagaggaagtag >mm10_chr4:139199250-139199261(-)::chr4:139199249-139199261(-) ACAAGGAAAATG >mm10_chr4:139231918-139231929(+)::chr4:139231917-139231929(+) ACCAGGAAGAAT >mm10_chr4:139249489-139249500(-)::chr4:139249488-139249500(-) AAAAGGAAGGAC >mm10_chr4:139249510-139249521(-)::chr4:139249509-139249521(-) ACGGGGAAGTGG >mm10_chr4:139314412-139314423(-)::chr4:139314411-139314423(-) ACCAGGAAGAGA >mm10_chr4:139317180-139317191(-)::chr4:139317179-139317191(-) AGAAGGAAATGA >mm10_chr4:139317206-139317217(+)::chr4:139317205-139317217(+) ATGAGGAAGTCC >mm10_chr4:139380240-139380251(+)::chr4:139380239-139380251(+) CAGAGGAAGTGA >mm10_chr4:139380609-139380620(-)::chr4:139380608-139380620(-) GGGCGGAAGTGA >mm10_chr4:139425737-139425748(-)::chr4:139425736-139425748(-) AGGAGGAAGTAG >mm10_chr4:139436100-139436111(-)::chr4:139436099-139436111(-) aaaaggaaattg >mm10_chr4:139436152-139436163(+)::chr4:139436151-139436163(+) AGCAGGAAGGAG >mm10_chr4:139500112-139500123(+)::chr4:139500111-139500123(+) AAAAGGAAGCAG >mm10_chr4:139507588-139507599(-)::chr4:139507587-139507599(-) ggaaggaaggaC >mm10_chr4:139507592-139507603(-)::chr4:139507591-139507603(-) agaaggaaggaa >mm10_chr4:139507604-139507615(-)::chr4:139507603-139507615(-) gggaggaaggaa >mm10_chr4:139512173-139512184(-)::chr4:139512172-139512184(-) AACAGGAAGTCA >mm10_chr4:139587497-139587508(-)::chr4:139587496-139587508(-) GGGAGGAAGTAG >mm10_chr4:139589865-139589876(-)::chr4:139589864-139589876(-) ACCAGGAAGGGG >mm10_chr4:139592797-139592808(-)::chr4:139592796-139592808(-) AGAAGGAAGGCA >mm10_chr4:139594233-139594244(+)::chr4:139594232-139594244(+) AACAGGAAGGAA >mm10_chr4:139594237-139594248(+)::chr4:139594236-139594248(+) GGAAGGAAGTCA >mm10_chr4:139630439-139630450(+)::chr4:139630438-139630450(+) GCAAGGAAGAAG >mm10_chr4:139668338-139668349(+)::chr4:139668337-139668349(+) ggaaggaaggaa >mm10_chr4:139668342-139668353(+)::chr4:139668341-139668353(+) ggaaggaaggaa >mm10_chr4:139668346-139668357(+)::chr4:139668345-139668357(+) ggaaggaaggaa >mm10_chr4:139668350-139668361(+)::chr4:139668349-139668361(+) ggaaggaaggaa >mm10_chr4:139668354-139668365(+)::chr4:139668353-139668365(+) ggaaggaaggaa >mm10_chr4:139668358-139668369(+)::chr4:139668357-139668369(+) ggaaggaaggaa >mm10_chr4:139668362-139668373(+)::chr4:139668361-139668373(+) ggaaggaaggaa >mm10_chr4:139668366-139668377(+)::chr4:139668365-139668377(+) ggaaggaagaaa >mm10_chr4:139673621-139673632(+)::chr4:139673620-139673632(+) GGGAGGAAGGTG >mm10_chr4:139775698-139775709(-)::chr4:139775697-139775709(-) GCAAGGAAGATA >mm10_chr4:139899284-139899295(-)::chr4:139899283-139899295(-) ctcaggaagttc >mm10_chr4:139911007-139911018(-)::chr4:139911006-139911018(-) aggaggaagaag >mm10_chr4:139933543-139933554(+)::chr4:139933542-139933554(+) TCAAGGATGTGT >mm10_chr4:139967199-139967210(-)::chr4:139967198-139967210(-) GCCAGGAAGCCG >mm10_chr4:139977310-139977321(+)::chr4:139977309-139977321(+) CAGAGGAAGTGA >mm10_chr4:139977621-139977632(+)::chr4:139977620-139977632(+) TGGGGGAAGTAG >mm10_chr4:140021016-140021027(-)::chr4:140021015-140021027(-) AGGAGGAAGGGC >mm10_chr4:140024262-140024273(-)::chr4:140024261-140024273(-) CTCAGGAAGTGC >mm10_chr4:140024286-140024297(+)::chr4:140024285-140024297(+) CCGAGGAAGAAG >mm10_chr4:140024293-140024304(+)::chr4:140024292-140024304(+) AGAAGGAAGTAA >mm10_chr4:140051619-140051630(-)::chr4:140051618-140051630(-) GGCAGGAAGCCA >mm10_chr4:140080917-140080928(-)::chr4:140080916-140080928(-) GCCAGGAAGTTA >mm10_chr4:140080937-140080948(+)::chr4:140080936-140080948(+) ACAAGGAAGACG >mm10_chr4:140082728-140082739(+)::chr4:140082727-140082739(+) ACCAGGAACTAG >mm10_chr4:140101021-140101032(-)::chr4:140101020-140101032(-) atgaggaagttc >mm10_chr4:140138956-140138967(+)::chr4:140138955-140138967(+) AACAGGAAGTAG >mm10_chr4:140138976-140138987(+)::chr4:140138975-140138987(+) CACAGGAAGTGC >mm10_chr4:140185252-140185263(-)::chr4:140185251-140185263(-) AGGAGGAAGGAC >mm10_chr4:140270616-140270627(-)::chr4:140270615-140270627(-) ATAAGGAAAGGG >mm10_chr4:140300084-140300095(+)::chr4:140300083-140300095(+) AGGAGGAAGTAG >mm10_chr4:140390993-140391004(-)::chr4:140390992-140391004(-) TCACGGAAGTCA >mm10_chr4:140422845-140422856(+)::chr4:140422844-140422856(+) aagaggaagagg >mm10_chr4:140422851-140422862(+)::chr4:140422850-140422862(+) aagaggaagaag >mm10_chr4:140422897-140422908(+)::chr4:140422896-140422908(+) gagaggaaggaa >mm10_chr4:140422901-140422912(+)::chr4:140422900-140422912(+) ggaaggaagagg >mm10_chr4:140592369-140592380(-)::chr4:140592368-140592380(-) TTGAGGAAGTCC >mm10_chr4:140611869-140611880(-)::chr4:140611868-140611880(-) AGCAGGAAGTGA >mm10_chr4:140611906-140611917(-)::chr4:140611905-140611917(-) ATGAGGAAGGGG >mm10_chr4:140617527-140617538(+)::chr4:140617526-140617538(+) TATAGGAAGCAA >mm10_chr4:140629165-140629176(+)::chr4:140629164-140629176(+) accaggaagttc >mm10_chr4:140629217-140629228(-)::chr4:140629216-140629228(-) tgtaggaagaac >mm10_chr4:140629399-140629410(-)::chr4:140629398-140629410(-) agGAGGAAGAGG >mm10_chr4:140646885-140646896(-)::chr4:140646884-140646896(-) tcaaggaagtgg >mm10_chr4:140646904-140646915(-)::chr4:140646903-140646915(-) acgaggaagctg >mm10_chr4:140655118-140655129(-)::chr4:140655117-140655129(-) atcaggaagttc >mm10_chr4:140661366-140661377(+)::chr4:140661365-140661377(+) AGGAGGAAGGTA >mm10_chr4:140661382-140661393(-)::chr4:140661381-140661393(-) GCAAGGAAACCG >mm10_chr4:140662644-140662655(-)::chr4:140662643-140662655(-) GGAAGGAAGTAG >mm10_chr4:140662648-140662659(-)::chr4:140662647-140662659(-) GCCAGGAAGGAA >mm10_chr4:140662696-140662707(+)::chr4:140662695-140662707(+) GGCAGGAAGCCA >mm10_chr4:140666043-140666054(+)::chr4:140666042-140666054(+) ACCAGGAAGGGT >mm10_chr4:140674439-140674450(+)::chr4:140674438-140674450(+) AGCAGGAAGAGC >mm10_chr4:140684750-140684761(+)::chr4:140684749-140684761(+) GGACGGAAGTCA >mm10_chr4:140699662-140699673(-)::chr4:140699661-140699673(-) CTAAGGAAGAAG >mm10_chr4:140713981-140713992(-)::chr4:140713980-140713992(-) ACTAGGAAGAAC >mm10_chr4:140751880-140751891(-)::chr4:140751879-140751891(-) tagaggaagagg >mm10_chr4:140751889-140751900(-)::chr4:140751888-140751900(-) aagaggaagtag >mm10_chr4:140751895-140751906(-)::chr4:140751894-140751906(-) tagaggaagagg >mm10_chr4:140751904-140751915(-)::chr4:140751903-140751915(-) aagaggaaatag >mm10_chr4:140751910-140751921(-)::chr4:140751909-140751921(-) agaaggaagagg >mm10_chr4:140751919-140751930(-)::chr4:140751918-140751930(-) aggaggaagaga >mm10_chr4:140774204-140774215(+)::chr4:140774203-140774215(+) AGCAGGAAGCCC >mm10_chr4:140783023-140783034(-)::chr4:140783022-140783034(-) GGGAGGAAGCAG >mm10_chr4:140811709-140811720(-)::chr4:140811708-140811720(-) ACCAGGAAGACC >mm10_chr4:140812692-140812703(+)::chr4:140812691-140812703(+) gagaggaagagg >mm10_chr4:140812698-140812709(+)::chr4:140812697-140812709(+) aagaggaagagg >mm10_chr4:140812704-140812715(+)::chr4:140812703-140812715(+) aagaggaagagg >mm10_chr4:140813984-140813995(+)::chr4:140813983-140813995(+) AACAGGAAGCAC >mm10_chr4:140814194-140814205(-)::chr4:140814193-140814205(-) TAAAGGAAGATT >mm10_chr4:140826151-140826162(+)::chr4:140826150-140826162(+) AACAGGAAGTAG >mm10_chr4:140840715-140840726(+)::chr4:140840714-140840726(+) ACCAggaagaac >mm10_chr4:140840728-140840739(+)::chr4:140840727-140840739(+) aacaggaagatc >mm10_chr4:140868704-140868715(-)::chr4:140868703-140868715(-) ATGAGGAAGTGG >mm10_chr4:140879926-140879937(+)::chr4:140879925-140879937(+) AAGAGGAAGGAT >mm10_chr4:140885515-140885526(+)::chr4:140885514-140885526(+) AGAAGGAAGTTT >mm10_chr4:140914635-140914646(+)::chr4:140914634-140914646(+) ATCAGGAAGTGT >mm10_chr4:140961249-140961260(-)::chr4:140961248-140961260(-) TACAGGAAGTGC >mm10_chr4:140961275-140961286(+)::chr4:140961274-140961286(+) AACCGGAAGCGG >mm10_chr4:140964277-140964288(+)::chr4:140964276-140964288(+) AGAAGGAAGTCT >mm10_chr4:140967879-140967890(-)::chr4:140967878-140967890(-) AACAGGAAGGCC >mm10_chr4:140972743-140972754(-)::chr4:140972742-140972754(-) ACCAGGAAGCCC >mm10_chr4:141023782-141023793(-)::chr4:141023781-141023793(-) atcaggaagtct >mm10_chr4:141060996-141061007(-)::chr4:141060995-141061007(-) AGGAGGAAGGCA >mm10_chr4:141061009-141061020(-)::chr4:141061008-141061020(-) ATCAGGAAGAAC >mm10_chr4:141068669-141068680(+)::chr4:141068668-141068680(+) ATACGGAAGCCA >mm10_chr4:141078325-141078336(-)::chr4:141078324-141078336(-) AGCCGGAAGTCC >mm10_chr4:141078354-141078365(+)::chr4:141078353-141078365(+) GACCGGAAGTGA >mm10_chr4:141105787-141105798(+)::chr4:141105786-141105798(+) agaaggaagtag >mm10_chr4:141134873-141134884(+)::chr4:141134872-141134884(+) AGGAGGAAATGC >mm10_chr4:141139197-141139208(+)::chr4:141139196-141139208(+) GAAAGGAAGAGA >mm10_chr4:141139230-141139241(+)::chr4:141139229-141139241(+) CGGCGGAAGGCG >mm10_chr4:141147885-141147896(-)::chr4:141147884-141147896(-) CGGAGGAAGCGC >mm10_chr4:141242198-141242209(+)::chr4:141242197-141242209(+) GGGAGGAAGTGG >mm10_chr4:141256539-141256550(-)::chr4:141256538-141256550(-) agcaggaagtcc >mm10_chr4:141271825-141271836(-)::chr4:141271824-141271836(-) AACAGGAAATAA >mm10_chr4:141272013-141272024(+)::chr4:141272012-141272024(+) TGGAGGAAGTGG >mm10_chr4:141272913-141272924(+)::chr4:141272912-141272924(+) TAGAGGAAGTGT >mm10_chr4:141278099-141278110(+)::chr4:141278098-141278110(+) AGGAGGAAGGGG >mm10_chr4:141278357-141278368(-)::chr4:141278356-141278368(-) GGAAGGAAGTGG >mm10_chr4:141285944-141285955(+)::chr4:141285943-141285955(+) TAGAGGAAGAGG >mm10_chr4:141285950-141285961(+)::chr4:141285949-141285961(+) AAGAGGAAGAAG >mm10_chr4:141285962-141285973(+)::chr4:141285961-141285973(+) AAGAGGAAGCGG >mm10_chr4:141285980-141285991(+)::chr4:141285979-141285991(+) TAGAGGAAGGAA >mm10_chr4:141304455-141304466(-)::chr4:141304454-141304466(-) ACAAGGAAGAGG >mm10_chr4:141311233-141311244(+)::chr4:141311232-141311244(+) GTCAGGAAGTGG >mm10_chr4:141311245-141311256(+)::chr4:141311244-141311256(+) GACAGGAAATGA >mm10_chr4:141316076-141316087(-)::chr4:141316075-141316087(-) GCCAGGAAGTAG >mm10_chr4:141317295-141317306(+)::chr4:141317294-141317306(+) aacaggaagtgg >mm10_chr4:141317318-141317329(-)::chr4:141317317-141317329(-) accaggaaggat >mm10_chr4:141324125-141324136(+)::chr4:141324124-141324136(+) aggaggaagctg >mm10_chr4:141325874-141325885(+)::chr4:141325873-141325885(+) GCCCGGAAGTCG >mm10_chr4:141325893-141325904(-)::chr4:141325892-141325904(-) AGGAGGAAGGAG >mm10_chr4:141347735-141347746(+)::chr4:141347734-141347746(+) tggaggaagcaa >mm10_chr4:141348142-141348153(-)::chr4:141348141-141348153(-) TGGAGGAAGAAA >mm10_chr4:141353546-141353557(-)::chr4:141353545-141353557(-) CCAAGGAAGCCA >mm10_chr4:141379877-141379888(-)::chr4:141379876-141379888(-) GAAAGGAATTGA >mm10_chr4:141395439-141395450(-)::chr4:141395438-141395450(-) ACAAGGATGTAA >mm10_chr4:141433399-141433410(+)::chr4:141433398-141433410(+) ATAAGGAAAGTG >mm10_chr4:141439528-141439539(+)::chr4:141439527-141439539(+) gaaaggaaggtg >mm10_chr4:141439565-141439576(+)::chr4:141439564-141439576(+) gacaggaagaga >mm10_chr4:141439608-141439619(+)::chr4:141439607-141439619(+) ACAAGGAAAAAA >mm10_chr4:141444656-141444667(+)::chr4:141444655-141444667(+) CGACGGAAGTCC >mm10_chr4:141446535-141446546(+)::chr4:141446534-141446546(+) GGGAGGAAGCAG >mm10_chr4:141506607-141506618(+)::chr4:141506606-141506618(+) ACAAGGAAGCTT >mm10_chr4:141545154-141545165(+)::chr4:141545153-141545165(+) ACCGGGAAGGCG >mm10_chr4:141552667-141552678(+)::chr4:141552666-141552678(+) tagaggaagtgt >mm10_chr4:141558708-141558719(-)::chr4:141558707-141558719(-) AAGAGGAAGTGA >mm10_chr4:141559259-141559270(-)::chr4:141559258-141559270(-) TACAGGAAGGAG >mm10_chr4:141572286-141572297(+)::chr4:141572285-141572297(+) tcaaggaaggga >mm10_chr4:141573244-141573255(-)::chr4:141573243-141573255(-) GGAAGGAACTGA >mm10_chr4:141598773-141598784(-)::chr4:141598772-141598784(-) GACAGGAAATGA >mm10_chr4:141598785-141598796(+)::chr4:141598784-141598796(+) AAGAGGAAGGAA >mm10_chr4:141598789-141598800(+)::chr4:141598788-141598800(+) GGAAGGAAGGAG >mm10_chr4:141601722-141601733(+)::chr4:141601721-141601733(+) CCCAGGAAGTGT >mm10_chr4:141624029-141624040(-)::chr4:141624028-141624040(-) AACAGGAAGTCT >mm10_chr4:141642226-141642237(-)::chr4:141642225-141642237(-) CTGAGGAAGTGA >mm10_chr4:141652136-141652147(-)::chr4:141652135-141652147(-) AGCAGGAAGCTG >mm10_chr4:141652211-141652222(+)::chr4:141652210-141652222(+) CAAAGGAAGGAA >mm10_chr4:141656580-141656591(+)::chr4:141656579-141656591(+) ACCAGGAAGGAG >mm10_chr4:141659414-141659425(+)::chr4:141659413-141659425(+) AGAAGGAAGAGG >mm10_chr4:141659425-141659436(-)::chr4:141659424-141659436(-) TGAAGGAAGTCC >mm10_chr4:141670459-141670470(-)::chr4:141670458-141670470(-) ACAGGGAAGTGT >mm10_chr4:141725361-141725372(+)::chr4:141725360-141725372(+) aaaaggatgtca >mm10_chr4:141725807-141725818(+)::chr4:141725806-141725818(+) CAAAGGAAGTCT >mm10_chr4:141728125-141728136(+)::chr4:141728124-141728136(+) TGAAGGAAATAG >mm10_chr4:141728164-141728175(-)::chr4:141728163-141728175(-) AGGAGGAAGGAA >mm10_chr4:141728190-141728201(-)::chr4:141728189-141728201(-) ggaaggaagggA >mm10_chr4:141728194-141728205(-)::chr4:141728193-141728205(-) gggaggaaggaa >mm10_chr4:141787167-141787178(+)::chr4:141787166-141787178(+) AACAGGAAGACG >mm10_chr4:141790942-141790953(+)::chr4:141790941-141790953(+) ACCAGGAAGACC >mm10_chr4:141797936-141797947(+)::chr4:141797935-141797947(+) AGAAGGAAGAGG >mm10_chr4:141860634-141860645(-)::chr4:141860633-141860645(-) TGCAGGAAGACA >mm10_chr4:141860675-141860686(+)::chr4:141860674-141860686(+) AGAAGGAACTGC >mm10_chr4:141869570-141869581(+)::chr4:141869569-141869581(+) TCAAGGAAGGAC >mm10_chr4:141869578-141869589(-)::chr4:141869577-141869589(-) AGCAGGAAGTCC >mm10_chr4:141873049-141873060(-)::chr4:141873048-141873060(-) AAGAGGACGTTA >mm10_chr4:141873087-141873098(+)::chr4:141873086-141873098(+) GGAAGGAAGTGG >mm10_chr4:141874927-141874938(-)::chr4:141874926-141874938(-) AAGAGGAAGAGC >mm10_chr4:141874933-141874944(-)::chr4:141874932-141874944(-) GTCAGGAAGAGG >mm10_chr4:141878817-141878828(-)::chr4:141878816-141878828(-) ATGAGGAAGTTC >mm10_chr4:141883002-141883013(+)::chr4:141883001-141883013(+) ACCAGGAAGCCC >mm10_chr4:141892986-141892997(+)::chr4:141892985-141892997(+) GCCAGGAAGGAA >mm10_chr4:141892990-141893001(+)::chr4:141892989-141893001(+) GGAAGGAAGAAA >mm10_chr4:141893020-141893031(-)::chr4:141893019-141893031(-) AGAAGGAAGACA >mm10_chr4:141893041-141893052(-)::chr4:141893040-141893052(-) AGCAGGAAGGAG >mm10_chr4:141893307-141893318(+)::chr4:141893306-141893318(+) AACAGGAAGGGA >mm10_chr4:141918229-141918240(-)::chr4:141918228-141918240(-) GGCAGGAAGTGA >mm10_chr4:141929526-141929537(-)::chr4:141929525-141929537(-) AGAAGGAGGTAG >mm10_chr4:141929566-141929577(-)::chr4:141929565-141929577(-) AGGAGGAAGGCA >mm10_chr4:141959779-141959790(+)::chr4:141959778-141959790(+) GAAAGGAAATCT >mm10_chr4:141978713-141978724(+)::chr4:141978712-141978724(+) ATAAGGAAGTCA >mm10_chr4:141983493-141983504(-)::chr4:141983492-141983504(-) GACAGGAAGTGA >mm10_chr4:141999944-141999955(+)::chr4:141999943-141999955(+) ATCAGGAAGTTG >mm10_chr4:142014957-142014968(+)::chr4:142014956-142014968(+) AGGAGGAAGCTG >mm10_chr4:142018792-142018803(-)::chr4:142018791-142018803(-) gggaggaagaga >mm10_chr4:142018811-142018822(-)::chr4:142018810-142018822(-) aggaggaagaaa >mm10_chr4:142018823-142018834(-)::chr4:142018822-142018834(-) agaaggaaggag >mm10_chr4:142018840-142018851(-)::chr4:142018839-142018851(-) ggaaggaagggg >mm10_chr4:142018844-142018855(-)::chr4:142018843-142018855(-) gagaggaaggaa >mm10_chr4:142024723-142024734(+)::chr4:142024722-142024734(+) ACTAGGAAGTTG >mm10_chr4:142045531-142045542(+)::chr4:142045530-142045542(+) aggaggaagtgG >mm10_chr4:142071818-142071829(+)::chr4:142071817-142071829(+) GGCAGGAAGGTA >mm10_chr4:142074401-142074412(+)::chr4:142074400-142074412(+) AATAGGAAGTGA >mm10_chr4:142074442-142074453(+)::chr4:142074441-142074453(+) AGCAGGAAGAAG >mm10_chr4:142074449-142074460(+)::chr4:142074448-142074460(+) AGAAGGAAGTAG >mm10_chr4:142077209-142077220(+)::chr4:142077208-142077220(+) TAAAGGAAGAGG >mm10_chr4:142077221-142077232(+)::chr4:142077220-142077232(+) AGGAGGAAGCAG >mm10_chr4:142077239-142077250(+)::chr4:142077238-142077250(+) AGCAGGAAGTAG >mm10_chr4:142077923-142077934(-)::chr4:142077922-142077934(-) AACAGGAAGGAG >mm10_chr4:142084555-142084566(+)::chr4:142084554-142084566(+) AGGAGGAAGGAA >mm10_chr4:142084559-142084570(+)::chr4:142084558-142084570(+) GGAAGGAAGGGA >mm10_chr4:142084564-142084575(+)::chr4:142084563-142084575(+) GAAGGGAAGTTT >mm10_chr4:142153639-142153650(+)::chr4:142153638-142153650(+) TGCAGGAAGTAT >mm10_chr4:142153661-142153672(-)::chr4:142153660-142153672(-) GGCAGGAAGACA >mm10_chr4:142166353-142166364(+)::chr4:142166352-142166364(+) ACCAGGAAGCCC >mm10_chr4:142166374-142166385(-)::chr4:142166373-142166385(-) GGGAGGAAGCGC >mm10_chr4:142166407-142166418(+)::chr4:142166406-142166418(+) GGAGGGAAGTGG >mm10_chr4:142193253-142193264(-)::chr4:142193252-142193264(-) TTCAGGAAGTCC >mm10_chr4:142210138-142210149(-)::chr4:142210137-142210149(-) TGAAGGAAATGC >mm10_chr4:142230129-142230140(+)::chr4:142230128-142230140(+) Tggaggaagagg >mm10_chr4:142230135-142230146(+)::chr4:142230134-142230146(+) aagaggaagaag >mm10_chr4:142231529-142231540(-)::chr4:142231528-142231540(-) ACAAGGAAGAAT >mm10_chr4:142235585-142235596(-)::chr4:142235584-142235596(-) GGAAGGAAATGG >mm10_chr4:142235623-142235634(-)::chr4:142235622-142235634(-) ACACGGAAGTGG >mm10_chr4:142261907-142261918(+)::chr4:142261906-142261918(+) GTCAGGAAGCGG >mm10_chr4:142291647-142291658(+)::chr4:142291646-142291658(+) ATAAGGAAACAG >mm10_chr4:142583847-142583858(-)::chr4:142583846-142583858(-) GTAAAGAAGTCG >mm10_chr4:142583875-142583886(-)::chr4:142583874-142583886(-) AGAAGGAAGTAG >mm10_chr4:142583886-142583897(+)::chr4:142583885-142583897(+) TAAAGGAAGCAA >mm10_chr4:142638859-142638870(-)::chr4:142638858-142638870(-) aggaggaagagg >mm10_chr4:142686724-142686735(+)::chr4:142686723-142686735(+) AGAAGGAAGCTA >mm10_chr4:142686770-142686781(+)::chr4:142686769-142686781(+) GGCAGGAAGTGG >mm10_chr4:142773317-142773328(+)::chr4:142773316-142773328(+) AGGAGGAAATTG >mm10_chr4:142856187-142856198(+)::chr4:142856186-142856198(+) ggaaggaaggaa >mm10_chr4:142856191-142856202(+)::chr4:142856190-142856202(+) ggaaggaaggaa >mm10_chr4:142856195-142856206(+)::chr4:142856194-142856206(+) ggaaggaaggaa >mm10_chr4:142856199-142856210(+)::chr4:142856198-142856210(+) ggaaggaaggaa >mm10_chr4:142856203-142856214(+)::chr4:142856202-142856214(+) ggaaggaaggaa >mm10_chr4:142856215-142856226(+)::chr4:142856214-142856226(+) agaaggaaagaa >mm10_chr4:142856223-142856234(+)::chr4:142856222-142856234(+) agaaggaaggaa >mm10_chr4:142856227-142856238(+)::chr4:142856226-142856238(+) ggaaggaaggaa >mm10_chr4:142856231-142856242(+)::chr4:142856230-142856242(+) ggaaggaaggaa >mm10_chr4:142856235-142856246(+)::chr4:142856234-142856246(+) ggaaggaaggGG >mm10_chr4:142983884-142983895(-)::chr4:142983883-142983895(-) ACGAGGAAGAAC >mm10_chr4:143119056-143119067(+)::chr4:143119055-143119067(+) CCAAGGAAGTTG >mm10_chr4:143129360-143129371(+)::chr4:143129359-143129371(+) AGCAGGAAGGTC >mm10_chr4:143129455-143129466(+)::chr4:143129454-143129466(+) TTCAGGAAGTGA >mm10_chr4:143135878-143135889(-)::chr4:143135877-143135889(-) aagaggaagaag >mm10_chr4:143135914-143135925(-)::chr4:143135913-143135925(-) TGAaggaagagg >mm10_chr4:143190694-143190705(-)::chr4:143190693-143190705(-) GAAAGGAAGTAA >mm10_chr4:143219553-143219564(+)::chr4:143219552-143219564(+) GGGAGGAAGCAG >mm10_chr4:143219560-143219571(+)::chr4:143219559-143219571(+) AGCAGGAAATAA >mm10_chr4:143240147-143240158(-)::chr4:143240146-143240158(-) tccaggaagaga >mm10_chr4:143245870-143245881(+)::chr4:143245869-143245881(+) acaaggaagcaa >mm10_chr4:143246071-143246082(-)::chr4:143246070-143246082(-) AATAGGAAGAGA >mm10_chr4:143246078-143246089(-)::chr4:143246077-143246089(-) ATAAGGAAATAG >mm10_chr4:143285580-143285591(-)::chr4:143285579-143285591(-) GTGAGGAAGTTT >mm10_chr4:143287098-143287109(+)::chr4:143287097-143287109(+) agaaggaagagg >mm10_chr4:143287107-143287118(+)::chr4:143287106-143287118(+) aggaggaagagg >mm10_chr4:143287128-143287139(+)::chr4:143287127-143287139(+) agaaggaagaAA >mm10_chr4:143411740-143411751(-)::chr4:143411739-143411751(-) AAGAGGAAGTTG >mm10_chr4:143412821-143412832(+)::chr4:143412820-143412832(+) GGCCGGAAGTAT >mm10_chr4:143412841-143412852(+)::chr4:143412840-143412852(+) ACCCGGAAGTGT >mm10_chr4:144415495-144415506(-)::chr4:144415494-144415506(-) AGGAGGAAGAAC >mm10_chr4:144430907-144430918(+)::chr4:144430906-144430918(+) acaaggaagctg >mm10_chr4:144430952-144430963(-)::chr4:144430951-144430963(-) aggaggaaggag >mm10_chr4:144430967-144430978(-)::chr4:144430966-144430978(-) ggcaggaagtca >mm10_chr4:144441379-144441390(+)::chr4:144441378-144441390(+) aacaggaaatat >mm10_chr4:144448699-144448710(-)::chr4:144448698-144448710(-) TGGAGGAAGATA >mm10_chr4:144448724-144448735(+)::chr4:144448723-144448735(+) ATGAGGAAATGA >mm10_chr4:144495035-144495046(-)::chr4:144495034-144495046(-) AAAAGGAAGCAG >mm10_chr4:144792922-144792933(-)::chr4:144792921-144792933(-) gcaaggaagcta >mm10_chr4:144891128-144891139(-)::chr4:144891127-144891139(-) AGGAGGAAGAGA >mm10_chr4:144891140-144891151(-)::chr4:144891139-144891151(-) GCCAGGAAGTAC >mm10_chr4:144900700-144900711(+)::chr4:144900699-144900711(+) aggaggaagagg >mm10_chr4:144900706-144900717(+)::chr4:144900705-144900717(+) aagaggaagagg >mm10_chr4:144900712-144900723(+)::chr4:144900711-144900723(+) aagaggaagagg >mm10_chr4:144900718-144900729(+)::chr4:144900717-144900729(+) aagaggaagagg >mm10_chr4:144902009-144902020(-)::chr4:144902008-144902020(-) aaacggaagagt >mm10_chr4:144904096-144904107(-)::chr4:144904095-144904107(-) AACAGGAAGTGC >mm10_chr4:144904116-144904127(-)::chr4:144904115-144904127(-) AAGAGGAAGTGG >mm10_chr4:144906884-144906895(+)::chr4:144906883-144906895(+) TGGAGGAAGAGC >mm10_chr4:144915568-144915579(-)::chr4:144915567-144915579(-) GTAAGGAAGGTT >mm10_chr4:144931311-144931322(+)::chr4:144931310-144931322(+) ttaaggaagaaa >mm10_chr4:144931364-144931375(+)::chr4:144931363-144931375(+) gtagggaagcgg >mm10_chr4:144933330-144933341(-)::chr4:144933329-144933341(-) ttgaggaagtcc >mm10_chr4:144961477-144961488(-)::chr4:144961476-144961488(-) aagaggaaggaa >mm10_chr4:144992277-144992288(-)::chr4:144992276-144992288(-) GACAGGAAGATG >mm10_chr4:144998514-144998525(-)::chr4:144998513-144998525(-) AGAAGGAAGTTT >mm10_chr4:144998547-144998558(-)::chr4:144998546-144998558(-) ACCAGGAAGTCC >mm10_chr4:145035710-145035721(+)::chr4:145035709-145035721(+) TTAAGGAAGGGT >mm10_chr4:145037235-145037246(+)::chr4:145037234-145037246(+) AGGAGgaagcag >mm10_chr4:145072471-145072482(-)::chr4:145072470-145072482(-) AAAAGGAAGGCC >mm10_chr4:145072497-145072508(+)::chr4:145072496-145072508(+) AAGAGGAAATAA >mm10_chr4:145074605-145074616(+)::chr4:145074604-145074616(+) AAAAGGAAGGGT >mm10_chr4:145086677-145086688(-)::chr4:145086676-145086688(-) TACAGGAAGGGC >mm10_chr4:145107298-145107309(+)::chr4:145107297-145107309(+) AGCAGGAAGCAG >mm10_chr4:145107305-145107316(+)::chr4:145107304-145107316(+) AGCAGGAAGGGA >mm10_chr4:145107661-145107672(+)::chr4:145107660-145107672(+) accaggaagcag >mm10_chr4:145107674-145107685(+)::chr4:145107673-145107685(+) agcaggaagaac >mm10_chr4:145111855-145111866(+)::chr4:145111854-145111866(+) AAGAGGAAGTGA >mm10_chr4:145119323-145119334(+)::chr4:145119322-145119334(+) AGAAGCAAGTGG >mm10_chr4:145218009-145218020(+)::chr4:145218008-145218020(+) CTAAGGAAGAGC >mm10_chr4:145218036-145218047(+)::chr4:145218035-145218047(+) ATGAGGAAATGG >mm10_chr4:145239501-145239512(+)::chr4:145239500-145239512(+) AGCAGGAAGCAG >mm10_chr4:146456620-146456631(+)::chr4:146456619-146456631(+) agaaggaaaata >mm10_chr4:146457110-146457121(+)::chr4:146457109-146457121(+) GTAAGGTAGTAA >mm10_chr4:146932414-146932425(-)::chr4:146932413-146932425(-) aggaggaagggc >mm10_chr4:146932462-146932473(-)::chr4:146932461-146932473(-) AGGAGGAAGACG >mm10_chr4:146932479-146932490(-)::chr4:146932478-146932490(-) GTAAGGATGGCG >mm10_chr4:147432098-147432109(-)::chr4:147432097-147432109(-) ACAAGGAAGGAG >mm10_chr4:147477960-147477971(-)::chr4:147477959-147477971(-) CTAAGGAAATAA >mm10_chr4:147519657-147519668(-)::chr4:147519656-147519668(-) gtagggaagtta >mm10_chr4:147938474-147938485(-)::chr4:147938473-147938485(-) TGGAGGAAGAGC >mm10_chr4:147940906-147940917(+)::chr4:147940905-147940917(+) AGGAGGAAGCGG >mm10_chr4:147985402-147985413(+)::chr4:147985401-147985413(+) ACCCGGAAGTAG >mm10_chr4:148041040-148041051(-)::chr4:148041039-148041051(-) AGGAGGAAGATG >mm10_chr4:148088008-148088019(-)::chr4:148088007-148088019(-) GCCAGGAAGTTT >mm10_chr4:148112310-148112321(+)::chr4:148112309-148112321(+) agcaggaagccc >mm10_chr4:148112376-148112387(+)::chr4:148112375-148112387(+) aggaggaagcgt >mm10_chr4:148152102-148152113(-)::chr4:148152101-148152113(-) AGGAGGAAGCAG >mm10_chr4:148152118-148152129(+)::chr4:148152117-148152129(+) CGAAGGAAGCGC >mm10_chr4:148164174-148164185(+)::chr4:148164173-148164185(+) aggaggaagagg >mm10_chr4:148192733-148192744(+)::chr4:148192732-148192744(+) CAAAGGAAGACC >mm10_chr4:148201232-148201243(+)::chr4:148201231-148201243(+) AGCAGGAAGCTG >mm10_chr4:148201273-148201284(+)::chr4:148201272-148201284(+) AGGAGGAAGGCT >mm10_chr4:148410690-148410701(+)::chr4:148410689-148410701(+) GAGAGGAAGTTG >mm10_chr4:148418203-148418214(+)::chr4:148418202-148418214(+) GGGAGGAAGGGC >mm10_chr4:148418243-148418254(+)::chr4:148418242-148418254(+) ACAAGGAAGAAG >mm10_chr4:148418263-148418274(+)::chr4:148418262-148418274(+) GGAAGGAAGTGT >mm10_chr4:148487580-148487591(+)::chr4:148487579-148487591(+) agcaggaagtgg >mm10_chr4:148487630-148487641(-)::chr4:148487629-148487641(-) ctgaggaagtga >mm10_chr4:148507751-148507762(-)::chr4:148507750-148507762(-) TCAAGGAAGGAT >mm10_chr4:148583502-148583513(-)::chr4:148583501-148583513(-) aagaggaagaag >mm10_chr4:148583514-148583525(-)::chr4:148583513-148583525(-) aacaggaagctg >mm10_chr4:148586810-148586821(-)::chr4:148586809-148586821(-) acaaggaagttg >mm10_chr4:148587401-148587412(+)::chr4:148587400-148587412(+) GGGAGGAAGAAG >mm10_chr4:148587453-148587464(+)::chr4:148587452-148587464(+) ggcaggaagacc >mm10_chr4:148589603-148589614(-)::chr4:148589602-148589614(-) CCTAGGAAGTAA >mm10_chr4:148626707-148626718(+)::chr4:148626706-148626718(+) AGGAGGAAGCAC >mm10_chr4:148645089-148645100(+)::chr4:148645088-148645100(+) tgaaggcagtac >mm10_chr4:148667155-148667166(-)::chr4:148667154-148667166(-) AGCAGGAAGCAA >mm10_chr4:148667187-148667198(-)::chr4:148667186-148667198(-) ACCAGGAAATAT >mm10_chr4:148686728-148686739(-)::chr4:148686727-148686739(-) GGAAGGAAGAGC >mm10_chr4:148686741-148686752(+)::chr4:148686740-148686752(+) AGAAGGAAGACA >mm10_chr4:148738455-148738466(-)::chr4:148738454-148738466(-) cacaggaagtta >mm10_chr4:148738530-148738541(-)::chr4:148738529-148738541(-) tgtaggaagtgg >mm10_chr4:148739191-148739202(+)::chr4:148739190-148739202(+) TTAAGGAAGGGC >mm10_chr4:148752350-148752361(+)::chr4:148752349-148752361(+) aggaggaagagg >mm10_chr4:148752359-148752370(+)::chr4:148752358-148752370(+) aggaggaagaca >mm10_chr4:148752372-148752383(+)::chr4:148752371-148752383(+) aggaggaagaTG >mm10_chr4:148763576-148763587(+)::chr4:148763575-148763587(+) aggaggaagagg >mm10_chr4:148763582-148763593(+)::chr4:148763581-148763593(+) aagaggaagaag >mm10_chr4:148775018-148775029(-)::chr4:148775017-148775029(-) aagaggaaggga >mm10_chr4:148775024-148775035(-)::chr4:148775023-148775035(-) ggcaggaagagg >mm10_chr4:148781780-148781791(-)::chr4:148781779-148781791(-) AGGAGGAAGGTC >mm10_chr4:148791131-148791142(-)::chr4:148791130-148791142(-) AGCAGGAAGCAG >mm10_chr4:148812283-148812294(+)::chr4:148812282-148812294(+) CAAAGGAAGTCG >mm10_chr4:148812309-148812320(+)::chr4:148812308-148812320(+) AGAAGGAAGAAC >mm10_chr4:148820573-148820584(+)::chr4:148820572-148820584(+) AGAAGGAAAAAG >mm10_chr4:148824360-148824371(-)::chr4:148824359-148824371(-) ACAAGGAAGGAC >mm10_chr4:148824411-148824422(-)::chr4:148824410-148824422(-) CTGAGGAAGTCC >mm10_chr4:148824428-148824439(-)::chr4:148824427-148824439(-) GAAAGGATGTTG >mm10_chr4:148846924-148846935(+)::chr4:148846923-148846935(+) ACCAGGAAGGTC >mm10_chr4:148853953-148853964(-)::chr4:148853952-148853964(-) tcaaggaagaga >mm10_chr4:148853990-148854001(-)::chr4:148853989-148854001(-) ggaaggaagagg >mm10_chr4:148858196-148858207(-)::chr4:148858195-148858207(-) GGAAGGAAGCAA >mm10_chr4:148858200-148858211(-)::chr4:148858199-148858211(-) TGAAGGAAGGAA >mm10_chr4:148867822-148867833(+)::chr4:148867821-148867833(+) TACAGGAAATGG >mm10_chr4:148905512-148905523(+)::chr4:148905511-148905523(+) GGCAGGAAGGAG >mm10_chr4:148923637-148923648(-)::chr4:148923636-148923648(-) AGAAGGAAGGCA >mm10_chr4:148923947-148923958(+)::chr4:148923946-148923958(+) TGCAGGAAGTCA >mm10_chr4:148928274-148928285(+)::chr4:148928273-148928285(+) CGTAGGAAATGG >mm10_chr4:148936972-148936983(+)::chr4:148936971-148936983(+) AGCAGGATGTGA >mm10_chr4:148955293-148955304(-)::chr4:148955292-148955304(-) AGAAGGAAGGAG >mm10_chr4:148955300-148955311(-)::chr4:148955299-148955311(-) TGCAGGAAGAAG >mm10_chr4:148987646-148987657(+)::chr4:148987645-148987657(+) CTAAGGAAGTCC >mm10_chr4:148987696-148987707(+)::chr4:148987695-148987707(+) AGGAGGAAGAGC >mm10_chr4:148997558-148997569(-)::chr4:148997557-148997569(-) ccgaggaagaag >mm10_chr4:148997623-148997634(-)::chr4:148997622-148997634(-) atgaggaagcaa >mm10_chr4:149001730-149001741(-)::chr4:149001729-149001741(-) ATGAGGAAGTGG >mm10_chr4:149049072-149049083(+)::chr4:149049071-149049083(+) TAGAGGAAATGA >mm10_chr4:149049825-149049836(-)::chr4:149049824-149049836(-) ATCAGGAAGGTC >mm10_chr4:149104170-149104181(+)::chr4:149104169-149104181(+) GGGAGGAAGGTT >mm10_chr4:149121824-149121835(-)::chr4:149121823-149121835(-) GGAAGGAAGAAG >mm10_chr4:149121851-149121862(-)::chr4:149121850-149121862(-) AGAAGGAAACAT >mm10_chr4:149137620-149137631(-)::chr4:149137619-149137631(-) GACAGGAAGGAG >mm10_chr4:149143743-149143754(+)::chr4:149143742-149143754(+) AAGAGGAAGACA >mm10_chr4:149147752-149147763(-)::chr4:149147751-149147763(-) AGAAGGAAGTGC >mm10_chr4:149155839-149155850(+)::chr4:149155838-149155850(+) GGAAGGAAATAC >mm10_chr4:149158780-149158791(-)::chr4:149158779-149158791(-) AGGGGGAAGTAA >mm10_chr4:149158787-149158798(-)::chr4:149158786-149158798(-) AACAGGAAGGGG >mm10_chr4:149166620-149166631(+)::chr4:149166619-149166631(+) AGGAGGAAGAAA >mm10_chr4:149167416-149167427(-)::chr4:149167415-149167427(-) ACAAGGAAGAAC >mm10_chr4:149170797-149170808(-)::chr4:149170796-149170808(-) GCAAGGAAATGT >mm10_chr4:149170842-149170853(+)::chr4:149170841-149170853(+) ACAAGGAAGAAA >mm10_chr4:149191802-149191813(+)::chr4:149191801-149191813(+) GGAAGgaagagt >mm10_chr4:149191829-149191840(+)::chr4:149191828-149191840(+) agaaggaagctg >mm10_chr4:149191855-149191866(+)::chr4:149191854-149191866(+) aggaggaagagg >mm10_chr4:149191861-149191872(+)::chr4:149191860-149191872(+) aagaggaagagg >mm10_chr4:149191867-149191878(+)::chr4:149191866-149191878(+) aagaggaagaag >mm10_chr4:149191879-149191890(+)::chr4:149191878-149191890(+) aggaggaagaag >mm10_chr4:149226391-149226402(+)::chr4:149226390-149226402(+) gggaggaaggaa >mm10_chr4:149226395-149226406(+)::chr4:149226394-149226406(+) ggaaggaagaag >mm10_chr4:149226402-149226413(+)::chr4:149226401-149226413(+) agaaggaaacag >mm10_chr4:149226409-149226420(+)::chr4:149226408-149226420(+) aacaggaaatgg >mm10_chr4:149226419-149226430(+)::chr4:149226418-149226430(+) ggaaggaaCTCT >mm10_chr4:149255723-149255734(+)::chr4:149255722-149255734(+) ATAAGGAAAGCA >mm10_chr4:149255976-149255987(+)::chr4:149255975-149255987(+) AGAGGGAAGTTT >mm10_chr4:149256042-149256053(+)::chr4:149256041-149256053(+) ATGAGGAAATTG >mm10_chr4:149340949-149340960(+)::chr4:149340948-149340960(+) ATGAGGAAGTGA >mm10_chr4:149343483-149343494(-)::chr4:149343482-149343494(-) AGCAGGAAGAAT >mm10_chr4:149396721-149396732(+)::chr4:149396720-149396732(+) AGAAGGAAAAGG >mm10_chr4:149396762-149396773(+)::chr4:149396761-149396773(+) GAGAGGAAGTGC >mm10_chr4:149415948-149415959(-)::chr4:149415947-149415959(-) GCCAGGAAATAA >mm10_chr4:149415971-149415982(+)::chr4:149415970-149415982(+) GTAAGGAAGTAG >mm10_chr4:149439901-149439912(-)::chr4:149439900-149439912(-) AAAAGGAAGTCT >mm10_chr4:149439913-149439924(-)::chr4:149439912-149439924(-) AAAAGGAAGAGG >mm10_chr4:149444053-149444064(-)::chr4:149444052-149444064(-) gcaaggaactca >mm10_chr4:149444081-149444092(-)::chr4:149444080-149444092(-) gccaggaagtac >mm10_chr4:149445555-149445566(+)::chr4:149445554-149445566(+) GGAGGGAAGTTG >mm10_chr4:149445605-149445616(+)::chr4:149445604-149445616(+) CCACGGAAGTCC >mm10_chr4:149445613-149445624(-)::chr4:149445612-149445624(-) CAAAGGAAGGAC >mm10_chr4:149446119-149446130(-)::chr4:149446118-149446130(-) AGCCGGAAGTGA >mm10_chr4:149446468-149446479(+)::chr4:149446467-149446479(+) aggaggaaggct >mm10_chr4:149460821-149460832(-)::chr4:149460820-149460832(-) ACCAGGAAGAAA >mm10_chr4:149462164-149462175(+)::chr4:149462163-149462175(+) AGGAGGAAGGAA >mm10_chr4:149462168-149462179(+)::chr4:149462167-149462179(+) GGAAGGAAGCGC >mm10_chr4:149485209-149485220(+)::chr4:149485208-149485220(+) AGCCGGAAGTGC >mm10_chr4:149496854-149496865(+)::chr4:149496853-149496865(+) AATAGGAAATGG >mm10_chr4:149511444-149511455(+)::chr4:149511443-149511455(+) AACGGGAAGTGT >mm10_chr4:149519532-149519543(-)::chr4:149519531-149519543(-) ACCAGGAAGCCA >mm10_chr4:149520206-149520217(+)::chr4:149520205-149520217(+) AGAAGGAAGGAA >mm10_chr4:149520210-149520221(+)::chr4:149520209-149520221(+) GGAAGGAAGTAG >mm10_chr4:149528241-149528252(+)::chr4:149528240-149528252(+) GGGAGGAAGGAA >mm10_chr4:149528245-149528256(+)::chr4:149528244-149528256(+) GGAAGGAAGTGA >mm10_chr4:149528288-149528299(-)::chr4:149528287-149528299(-) CCAAGGAAGGAG >mm10_chr4:149529752-149529763(-)::chr4:149529751-149529763(-) TCCAGGAAGTTC >mm10_chr4:149529768-149529779(-)::chr4:149529767-149529779(-) TCAAGGAAGAAG >mm10_chr4:149563038-149563049(-)::chr4:149563037-149563049(-) AGCAGGAAATGC >mm10_chr4:149570389-149570400(+)::chr4:149570388-149570400(+) aggaggaagaag >mm10_chr4:149570401-149570412(+)::chr4:149570400-149570412(+) aagaggaagagg >mm10_chr4:149571363-149571374(+)::chr4:149571362-149571374(+) GCTAGGAAGTGG >mm10_chr4:149571422-149571433(-)::chr4:149571421-149571433(-) ACAAGGATGTGG >mm10_chr4:149571788-149571799(+)::chr4:149571787-149571799(+) CCCAGGAAGTGC >mm10_chr4:149573061-149573072(+)::chr4:149573060-149573072(+) ATGAGGAAGGAA >mm10_chr4:149585023-149585034(-)::chr4:149585022-149585034(-) TCTAGGAAGTTC >mm10_chr4:149585049-149585060(+)::chr4:149585048-149585060(+) CAAAGGAAGTCT >mm10_chr4:149593423-149593434(-)::chr4:149593422-149593434(-) ggaaggaagaag >mm10_chr4:149593427-149593438(-)::chr4:149593426-149593438(-) ggaaggaaggaa >mm10_chr4:149593431-149593442(-)::chr4:149593430-149593442(-) agaaggaaggaa >mm10_chr4:149593438-149593449(-)::chr4:149593437-149593449(-) agaaggaagaag >mm10_chr4:149593445-149593456(-)::chr4:149593444-149593456(-) aggaggaagaag >mm10_chr4:149593452-149593463(-)::chr4:149593451-149593463(-) aggaggaaggag >mm10_chr4:149621668-149621679(+)::chr4:149621667-149621679(+) AGCAGGAAGGAC >mm10_chr4:149621685-149621696(+)::chr4:149621684-149621696(+) AGGAGGAAATGG >mm10_chr4:149679584-149679595(-)::chr4:149679583-149679595(-) ACCCGGAAGTGT >mm10_chr4:149702874-149702885(-)::chr4:149702873-149702885(-) CTACGGAAGTTG >mm10_chr4:149710656-149710667(-)::chr4:149710655-149710667(-) GTAAGGAAGCAA >mm10_chr4:149776092-149776103(-)::chr4:149776091-149776103(-) AGACGGAAGACA >mm10_chr4:149780797-149780808(+)::chr4:149780796-149780808(+) cacaggaAGTAA >mm10_chr4:149783290-149783301(+)::chr4:149783289-149783301(+) AGAAGGAAATTA >mm10_chr4:149790770-149790781(+)::chr4:149790769-149790781(+) aacaggaagtgt >mm10_chr4:149791639-149791650(-)::chr4:149791638-149791650(-) TGAAGGAAGAAA >mm10_chr4:149792597-149792608(-)::chr4:149792596-149792608(-) TTAAGGAAGTCG >mm10_chr4:149801502-149801513(-)::chr4:149801501-149801513(-) aagaggaagagg >mm10_chr4:149801508-149801519(-)::chr4:149801507-149801519(-) atgaggaagagg >mm10_chr4:149801927-149801938(+)::chr4:149801926-149801938(+) CCAAGGAAGAGA >mm10_chr4:149801967-149801978(+)::chr4:149801966-149801978(+) CCAAGGAAGTCT >mm10_chr4:149802376-149802387(-)::chr4:149802375-149802387(-) acaaggaagtcg >mm10_chr4:149858747-149858758(+)::chr4:149858746-149858758(+) AGAAGGAAGTGG >mm10_chr4:149884105-149884116(-)::chr4:149884104-149884116(-) ACAAGGAAGCAT >mm10_chr4:149889175-149889186(+)::chr4:149889174-149889186(+) TTCAGGAAGTGG >mm10_chr4:149890076-149890087(-)::chr4:149890075-149890087(-) AGGAGGAAGGAG >mm10_chr4:149909776-149909787(-)::chr4:149909775-149909787(-) ACCAGGAAGACT >mm10_chr4:149954057-149954068(-)::chr4:149954056-149954068(-) GCCAGGAAGTTG >mm10_chr4:149954931-149954942(-)::chr4:149954930-149954942(-) GGGAGGAAGCGA >mm10_chr4:149995604-149995615(-)::chr4:149995603-149995615(-) TGAAGGAAGACC >mm10_chr4:149996402-149996413(+)::chr4:149996401-149996413(+) ACAAGGAAGAAT >mm10_chr4:149996441-149996452(+)::chr4:149996440-149996452(+) AGAAGGAACATA >mm10_chr4:149996466-149996477(-)::chr4:149996465-149996477(-) GGCAGGAAATGT >mm10_chr4:150022509-150022520(-)::chr4:150022508-150022520(-) GGCAGGAAGTGT >mm10_chr4:150050338-150050349(+)::chr4:150050337-150050349(+) TCCAGGAAGGAC >mm10_chr4:150075553-150075564(+)::chr4:150075552-150075564(+) GCCAGGAAGATC >mm10_chr4:150076096-150076107(+)::chr4:150076095-150076107(+) aggaggaagaca >mm10_chr4:150119687-150119698(+)::chr4:150119686-150119698(+) ACAAGGAAGTTT >mm10_chr4:150176757-150176768(-)::chr4:150176756-150176768(-) GCAAGGAAGGTG >mm10_chr4:150177006-150177017(-)::chr4:150177005-150177017(-) GGAAGGAAGTCA >mm10_chr4:150191049-150191060(+)::chr4:150191048-150191060(+) aggaggaagaga >mm10_chr4:150205074-150205085(+)::chr4:150205073-150205085(+) TCAAGGAAGGTG >mm10_chr4:150219298-150219309(+)::chr4:150219297-150219309(+) gagaggaaggaa >mm10_chr4:150219302-150219313(+)::chr4:150219301-150219313(+) ggaaggaaggaa >mm10_chr4:150219306-150219317(+)::chr4:150219305-150219317(+) ggaaggaagaag >mm10_chr4:150219313-150219324(+)::chr4:150219312-150219324(+) agaaggaaggaa >mm10_chr4:150219317-150219328(+)::chr4:150219316-150219328(+) ggaaggaaggaa >mm10_chr4:150219321-150219332(+)::chr4:150219320-150219332(+) ggaaggaaggaa >mm10_chr4:150219325-150219336(+)::chr4:150219324-150219336(+) ggaaggaaggaa >mm10_chr4:150219329-150219340(+)::chr4:150219328-150219340(+) ggaaggaaggaa >mm10_chr4:150219333-150219344(+)::chr4:150219332-150219344(+) ggaaggaaggGC >mm10_chr4:150237990-150238001(-)::chr4:150237989-150238001(-) AACAGGAAGTTT >mm10_chr4:150239110-150239121(-)::chr4:150239109-150239121(-) TGAAGGAAGGTC >mm10_chr4:150239132-150239143(-)::chr4:150239131-150239143(-) AGAAGGAAGTGG >mm10_chr4:150258931-150258942(+)::chr4:150258930-150258942(+) ACCAggatgtaa >mm10_chr4:150262233-150262244(-)::chr4:150262232-150262244(-) AGGAGGAAATAT >mm10_chr4:150266886-150266897(+)::chr4:150266885-150266897(+) gggaggaagcgt >mm10_chr4:150289507-150289518(+)::chr4:150289506-150289518(+) TGAAGGAAGTCA >mm10_chr4:150292893-150292904(-)::chr4:150292892-150292904(-) ACTAGGAAGTAG >mm10_chr4:150325680-150325691(+)::chr4:150325679-150325691(+) CCCAGGAAGTCC >mm10_chr4:150343088-150343099(+)::chr4:150343087-150343099(+) aggaggaaggag >mm10_chr4:150343095-150343106(+)::chr4:150343094-150343106(+) aggaggaagaag >mm10_chr4:150343113-150343124(+)::chr4:150343112-150343124(+) aggaggaagaga >mm10_chr4:150343125-150343136(+)::chr4:150343124-150343136(+) aggaggaagaAG >mm10_chr4:150362564-150362575(-)::chr4:150362563-150362575(-) TACAGGAAATGT >mm10_chr4:150362573-150362584(+)::chr4:150362572-150362584(+) GTAGGGAAGTAA >mm10_chr4:150367022-150367033(+)::chr4:150367021-150367033(+) AAAAGGAAGTGA >mm10_chr4:150380073-150380084(+)::chr4:150380072-150380084(+) TCCAGGAAGTGT >mm10_chr4:150397493-150397504(+)::chr4:150397492-150397504(+) ACAAGGAAGTAA >mm10_chr4:150399242-150399253(-)::chr4:150399241-150399253(-) AACAGGAAGCCA >mm10_chr4:150405865-150405876(-)::chr4:150405864-150405876(-) tgaaggaaaata >mm10_chr4:150422793-150422804(+)::chr4:150422792-150422804(+) gggaggaagagg >mm10_chr4:150422799-150422810(+)::chr4:150422798-150422810(+) aagaggaagagg >mm10_chr4:150422805-150422816(+)::chr4:150422804-150422816(+) aagaggaagagg >mm10_chr4:150422811-150422822(+)::chr4:150422810-150422822(+) aagaggaagGAA >mm10_chr4:150422815-150422826(+)::chr4:150422814-150422826(+) ggaagGAAGGAA >mm10_chr4:150488208-150488219(-)::chr4:150488207-150488219(-) GCAGGGAAGTGG >mm10_chr4:150490591-150490602(+)::chr4:150490590-150490602(+) ATCAGGAAGTAG >mm10_chr4:150545043-150545054(-)::chr4:150545042-150545054(-) AGGAGGAAGCAG >mm10_chr4:150545266-150545277(+)::chr4:150545265-150545277(+) AAAAGGAAATGG >mm10_chr4:150561688-150561699(+)::chr4:150561687-150561699(+) TGTAGGAAGTAA >mm10_chr4:150568709-150568720(-)::chr4:150568708-150568720(-) ACAAGGAAGAGC >mm10_chr4:150568745-150568756(-)::chr4:150568744-150568756(-) AAGAGGAAGCAA >mm10_chr4:150583692-150583703(-)::chr4:150583691-150583703(-) CCAAGGAAGAAA >mm10_chr4:150588407-150588418(-)::chr4:150588406-150588418(-) AGAGGGAAGACG >mm10_chr4:150588419-150588430(+)::chr4:150588418-150588430(+) TCCAGGAAGTCT >mm10_chr4:150594040-150594051(+)::chr4:150594039-150594051(+) ACCAGGAAGTGC >mm10_chr4:150594054-150594065(+)::chr4:150594053-150594065(+) GGGAGGAAATGG >mm10_chr4:150608846-150608857(+)::chr4:150608845-150608857(+) CTGAGGAAGTGC >mm10_chr4:150608860-150608871(+)::chr4:150608859-150608871(+) CTGAGGAAGTGC >mm10_chr4:150608874-150608885(+)::chr4:150608873-150608885(+) CTGAGGAAGTGC >mm10_chr4:150608888-150608899(+)::chr4:150608887-150608899(+) CTGAGGAAGTGC >mm10_chr4:150616445-150616456(+)::chr4:150616444-150616456(+) ACCAGGAAGTAT >mm10_chr4:150641856-150641867(-)::chr4:150641855-150641867(-) gcccggaagtta >mm10_chr4:150662778-150662789(-)::chr4:150662777-150662789(-) ATAAGGAAGGAA >mm10_chr4:150662786-150662797(-)::chr4:150662785-150662797(-) ACAGGGAAATAA >mm10_chr4:150673019-150673030(-)::chr4:150673018-150673030(-) GGGAGGAAGTAC >mm10_chr4:150673040-150673051(-)::chr4:150673039-150673051(-) AGGAGGAAGAGA >mm10_chr4:150685320-150685331(+)::chr4:150685319-150685331(+) GACAGGAAGCAG >mm10_chr4:150687475-150687486(+)::chr4:150687474-150687486(+) ggaaggaagata >mm10_chr4:150687503-150687514(-)::chr4:150687502-150687514(-) tgaaggaaggac >mm10_chr4:150687526-150687537(+)::chr4:150687525-150687537(+) tcaaggaactgg >mm10_chr4:150691586-150691597(-)::chr4:150691585-150691597(-) ATAAGGAAGGAG >mm10_chr4:150691617-150691628(+)::chr4:150691616-150691628(+) ACCAGGAAGTTC >mm10_chr4:150707803-150707814(-)::chr4:150707802-150707814(-) TGAAGGAAGGGC >mm10_chr4:150707838-150707849(+)::chr4:150707837-150707849(+) AACAGGAAGACC >mm10_chr4:150716660-150716671(+)::chr4:150716659-150716671(+) tgaaggaagaag >mm10_chr4:150716722-150716733(-)::chr4:150716721-150716733(-) gtgaggaagttg >mm10_chr4:150725298-150725309(-)::chr4:150725297-150725309(-) acgaggaaattg >mm10_chr4:150725368-150725379(-)::chr4:150725367-150725379(-) AGACGGAAGGGC >mm10_chr4:150743050-150743061(+)::chr4:150743049-150743061(+) ATGAGGAAGAAA >mm10_chr4:150748687-150748698(-)::chr4:150748686-150748698(-) TGGAGGAAGGCA >mm10_chr4:150782924-150782935(+)::chr4:150782923-150782935(+) ATAAGGAAGAGC >mm10_chr4:150795351-150795362(-)::chr4:150795350-150795362(-) ATAAGGAAGTCT >mm10_chr4:150799633-150799644(+)::chr4:150799632-150799644(+) ATAAGGAAGTGA >mm10_chr4:150824251-150824262(-)::chr4:150824250-150824262(-) ATGAGGAAGTGT >mm10_chr4:150825025-150825036(+)::chr4:150825024-150825036(+) ACAAGGAACCGC >mm10_chr4:150825108-150825119(+)::chr4:150825107-150825119(+) AGTAGGAAGGAA >mm10_chr4:150825112-150825123(+)::chr4:150825111-150825123(+) GGAAGGAAGCAG >mm10_chr4:150860481-150860492(+)::chr4:150860480-150860492(+) CATAGGAAGTAG >mm10_chr4:150860685-150860696(+)::chr4:150860684-150860696(+) AGAAGGAAATTA >mm10_chr4:150860711-150860722(+)::chr4:150860710-150860722(+) ATAAGGAAGCAC >mm10_chr4:150862796-150862807(+)::chr4:150862795-150862807(+) GCAAGCAAGTAG >mm10_chr4:150862803-150862814(+)::chr4:150862802-150862814(+) AGTAGGAAGTTC >mm10_chr4:150864259-150864270(+)::chr4:150864258-150864270(+) GAAAGGAAGGAA >mm10_chr4:150864263-150864274(+)::chr4:150864262-150864274(+) GGAAGGAAGCTA >mm10_chr4:150898869-150898880(+)::chr4:150898868-150898880(+) ACCAGGAAGTTT >mm10_chr4:150921132-150921143(+)::chr4:150921131-150921143(+) gagaggaagttt >mm10_chr4:150927312-150927323(-)::chr4:150927311-150927323(-) agaaggaaggag >mm10_chr4:150927319-150927330(-)::chr4:150927318-150927330(-) ggaaggaagaag >mm10_chr4:151051309-151051320(-)::chr4:151051308-151051320(-) agaaggaagttg >mm10_chr4:151057558-151057569(+)::chr4:151057557-151057569(+) GCGAGGAAGGAG >mm10_chr4:151057586-151057597(-)::chr4:151057585-151057597(-) CCGAGGAAGCGG >mm10_chr4:151057619-151057630(-)::chr4:151057618-151057630(-) ACGCGGAAGGAC >mm10_chr4:151124789-151124800(-)::chr4:151124788-151124800(-) gggaggaagtat >mm10_chr4:151124805-151124816(-)::chr4:151124804-151124816(-) acaaggaagcct >mm10_chr4:151161058-151161069(+)::chr4:151161057-151161069(+) ACGAGGAAGCCA >mm10_chr4:151549821-151549832(+)::chr4:151549820-151549832(+) agaaggaagaag >mm10_chr4:151549828-151549839(+)::chr4:151549827-151549839(+) agaaggaaggga >mm10_chr4:151549840-151549851(+)::chr4:151549839-151549851(+) agaaggaagaag >mm10_chr4:151549847-151549858(+)::chr4:151549846-151549858(+) agaaggaaggga >mm10_chr4:151549859-151549870(+)::chr4:151549858-151549870(+) agaaggaagaag >mm10_chr4:151549866-151549877(+)::chr4:151549865-151549877(+) agaaggaaggga >mm10_chr4:151549901-151549912(+)::chr4:151549900-151549912(+) GAGAGGAAGGGA >mm10_chr4:151618618-151618629(-)::chr4:151618617-151618629(-) ggcaggaagtca >mm10_chr4:151634893-151634904(-)::chr4:151634892-151634904(-) AGGAGGAAGTGA >mm10_chr4:151657620-151657631(+)::chr4:151657619-151657631(+) ttcaggaagtag >mm10_chr4:151657686-151657697(-)::chr4:151657685-151657697(-) aggaggaagcga >mm10_chr4:151657833-151657844(-)::chr4:151657832-151657844(-) aataggaagtaa >mm10_chr4:151669084-151669095(+)::chr4:151669083-151669095(+) aagaggaagtca >mm10_chr4:151669131-151669142(+)::chr4:151669130-151669142(+) gaacggaagtca >mm10_chr4:151670118-151670129(+)::chr4:151670117-151670129(+) AGAAGGAAAGAA >mm10_chr4:151670158-151670169(+)::chr4:151670157-151670169(+) TACAGGAAGGAA >mm10_chr4:151670162-151670173(+)::chr4:151670161-151670173(+) GGAAGGAAGGAG >mm10_chr4:151670185-151670196(+)::chr4:151670184-151670196(+) AACAGGAAGAGA >mm10_chr4:151675314-151675325(-)::chr4:151675313-151675325(-) AGACGGAAGCTG >mm10_chr4:151675373-151675384(-)::chr4:151675372-151675384(-) ATGAGGAAGATG >mm10_chr4:151676153-151676164(-)::chr4:151676152-151676164(-) AGAAGGAAGAGA >mm10_chr4:151676166-151676177(-)::chr4:151676165-151676177(-) AGAAGGAAGTTC >mm10_chr4:151751896-151751907(-)::chr4:151751895-151751907(-) AGCAGGAAGTAT >mm10_chr4:151753363-151753374(-)::chr4:151753362-151753374(-) TCCAGGAAGGAC >mm10_chr4:151818365-151818376(+)::chr4:151818364-151818376(+) AAaaggaagcaa >mm10_chr4:151818413-151818424(+)::chr4:151818412-151818424(+) tggaggaagggg >mm10_chr4:151818432-151818443(+)::chr4:151818431-151818443(+) tagaggaaggaa >mm10_chr4:151827971-151827982(+)::chr4:151827970-151827982(+) AGAAGGAAGAAC >mm10_chr4:151828007-151828018(+)::chr4:151828006-151828018(+) CCAAGGAAGTGG >mm10_chr4:151828037-151828048(-)::chr4:151828036-151828048(-) AGGAGGAAGTGG >mm10_chr4:151836594-151836605(+)::chr4:151836593-151836605(+) AGGAGGAAGTCA >mm10_chr4:151862049-151862060(-)::chr4:151862048-151862060(-) TCGAGGAAATAT >mm10_chr4:151900747-151900758(+)::chr4:151900746-151900758(+) ggaaggaaatct >mm10_chr4:151902621-151902632(+)::chr4:151902620-151902632(+) GGGAGGAAATGT >mm10_chr4:151902676-151902687(+)::chr4:151902675-151902687(+) AGGAGGAAGGAA >mm10_chr4:151902680-151902691(+)::chr4:151902679-151902691(+) GGAAGGAACTAA >mm10_chr4:151903043-151903054(+)::chr4:151903042-151903054(+) TGTAGGAAGCCG >mm10_chr4:151926917-151926928(-)::chr4:151926916-151926928(-) TGGAGGAAGAAC >mm10_chr4:151933678-151933689(+)::chr4:151933677-151933689(+) CGCGGGAAGCGC >mm10_chr4:151986190-151986201(+)::chr4:151986189-151986201(+) AACAGGAAGAAG >mm10_chr4:151986674-151986685(+)::chr4:151986673-151986685(+) aggaggaaggga >mm10_chr4:151999694-151999705(+)::chr4:151999693-151999705(+) AACAGGAAGTTG >mm10_chr4:152011603-152011614(+)::chr4:152011602-152011614(+) CCCAGGAAGTCT >mm10_chr4:152038265-152038276(+)::chr4:152038264-152038276(+) AGGAGGAAGTCC >mm10_chr4:152083435-152083446(+)::chr4:152083434-152083446(+) GACAGGAAGACA >mm10_chr4:152083472-152083483(+)::chr4:152083471-152083483(+) TAAAGGAAGGGA >mm10_chr4:152154066-152154077(-)::chr4:152154065-152154077(-) AGGCGGAAGTGG >mm10_chr4:152191004-152191015(+)::chr4:152191003-152191015(+) AGGAGGAAGTGA >mm10_chr4:152199824-152199835(-)::chr4:152199823-152199835(-) AAAGGGAAGTTG >mm10_chr4:152201989-152202000(-)::chr4:152201988-152202000(-) gaaaggacgacg >mm10_chr4:152209974-152209985(+)::chr4:152209973-152209985(+) aggaggaagaca >mm10_chr4:152210001-152210012(+)::chr4:152210000-152210012(+) tccaggaagttg >mm10_chr4:152230624-152230635(+)::chr4:152230623-152230635(+) accaggaagtct >mm10_chr4:152234414-152234425(+)::chr4:152234413-152234425(+) AGAAGGAAGTAC >mm10_chr4:152264286-152264297(+)::chr4:152264285-152264297(+) AGCAGGAAGTGT >mm10_chr4:152310885-152310896(+)::chr4:152310884-152310896(+) TCCAGGAAGGAC >mm10_chr4:152315177-152315188(-)::chr4:152315176-152315188(-) AGGAGGAAGGCC >mm10_chr4:152322830-152322841(-)::chr4:152322829-152322841(-) GGGAGGAAGAAA >mm10_chr4:152334422-152334433(+)::chr4:152334421-152334433(+) TCACGGAAGTCA >mm10_chr4:152514795-152514806(-)::chr4:152514794-152514806(-) agaaggaaggcc >mm10_chr4:153627229-153627240(+)::chr4:153627228-153627240(+) GACGGGAAGTGC >mm10_chr4:154037101-154037112(+)::chr4:154037100-154037112(+) aggaggaAGGAA >mm10_chr4:154037114-154037125(+)::chr4:154037113-154037125(+) AAAAGGAACTGA >mm10_chr4:154037125-154037136(+)::chr4:154037124-154037136(+) AGGAGGAAGAGC >mm10_chr4:154037146-154037157(+)::chr4:154037145-154037157(+) ATGAGGAAGAGG >mm10_chr4:154042137-154042148(-)::chr4:154042136-154042148(-) AGGAGGAAGTCA >mm10_chr4:154142372-154142383(-)::chr4:154142371-154142383(-) GGCCGGAAGTAC >mm10_chr4:154183710-154183721(+)::chr4:154183709-154183721(+) GGAGGGAAGTGA >mm10_chr4:154184672-154184683(-)::chr4:154184671-154184683(-) ACCAGGAACTAG >mm10_chr4:154184751-154184762(-)::chr4:154184750-154184762(-) ACAAGGAAGGCG >mm10_chr4:154189078-154189089(-)::chr4:154189077-154189089(-) GGCAGGAAGCAG >mm10_chr4:154189099-154189110(-)::chr4:154189098-154189110(-) AGAAGGAAAAGC >mm10_chr4:154217552-154217563(-)::chr4:154217551-154217563(-) TGCAGGAAATGA >mm10_chr4:154299914-154299925(-)::chr4:154299913-154299925(-) CGCAGGAAGTAG >mm10_chr4:154300163-154300174(-)::chr4:154300162-154300174(-) AGAAGGAAGCGG >mm10_chr4:154358550-154358561(+)::chr4:154358549-154358561(+) TAGCGGAAGTGC >mm10_chr4:154477938-154477949(-)::chr4:154477937-154477949(-) TCAGGGAAGTGT >mm10_chr4:154482072-154482083(-)::chr4:154482071-154482083(-) AACGGGAAGTGT >mm10_chr4:154495415-154495426(-)::chr4:154495414-154495426(-) AGAAGGAAAAAC >mm10_chr4:154495439-154495450(+)::chr4:154495438-154495450(+) AACAGGAAGCTA >mm10_chr4:154496079-154496090(+)::chr4:154496078-154496090(+) TCAAGGAAGTTG >mm10_chr4:154502045-154502056(+)::chr4:154502044-154502056(+) AGCAGGAAGCAA >mm10_chr4:154502088-154502099(-)::chr4:154502087-154502099(-) ACAAGGAAGCTG >mm10_chr4:154864334-154864345(+)::chr4:154864333-154864345(+) ACCCGGAAGTGA >mm10_chr4:154864352-154864363(-)::chr4:154864351-154864363(-) GGCAGGAAGCCA >mm10_chr4:154904527-154904538(+)::chr4:154904526-154904538(+) tacaggacgtaa >mm10_chr4:154965995-154966006(-)::chr4:154965994-154966006(-) GTGAGGAAGGAG >mm10_chr4:154974499-154974510(+)::chr4:154974498-154974510(+) TCCAGGAAGTCT >mm10_chr4:154974520-154974531(+)::chr4:154974519-154974531(+) TGCAGGAAGAAG >mm10_chr4:155018812-155018823(+)::chr4:155018811-155018823(+) ACCAGGAAGGCA >mm10_chr4:155030184-155030195(+)::chr4:155030183-155030195(+) AGAGGGAAGTCT >mm10_chr4:155061221-155061232(-)::chr4:155061220-155061232(-) AGAAAGAAGTGC >mm10_chr4:155061252-155061263(+)::chr4:155061251-155061263(+) ACAAGGAAGAGG >mm10_chr4:155061474-155061485(-)::chr4:155061473-155061485(-) TCCAGGAAGTAA >mm10_chr4:155076460-155076471(+)::chr4:155076459-155076471(+) AAGAGGAAGAGC >mm10_chr4:155076488-155076499(-)::chr4:155076487-155076499(-) TGAAGGAAGTGC >mm10_chr4:155120910-155120921(-)::chr4:155120909-155120921(-) GGGAGGAAGGTC >mm10_chr4:155148706-155148717(+)::chr4:155148705-155148717(+) agaaggaagttc >mm10_chr4:155148716-155148727(+)::chr4:155148715-155148727(+) tcaaggaaggaa >mm10_chr4:155148720-155148731(+)::chr4:155148719-155148731(+) ggaaggaagaca >mm10_chr4:155148731-155148742(+)::chr4:155148730-155148742(+) agaaggaagcaa >mm10_chr4:155148746-155148757(+)::chr4:155148745-155148757(+) gacaggaaggag >mm10_chr4:155162947-155162958(+)::chr4:155162946-155162958(+) ACAAGGAAGTGT >mm10_chr4:155164710-155164721(+)::chr4:155164709-155164721(+) GTCAGGAAATGA >mm10_chr4:155165910-155165921(+)::chr4:155165909-155165921(+) aggaggaaggaa >mm10_chr4:155165914-155165925(+)::chr4:155165913-155165925(+) ggaaggaaatga >mm10_chr4:155178430-155178441(-)::chr4:155178429-155178441(-) CGGAGGAAGCAA >mm10_chr4:155187333-155187344(-)::chr4:155187332-155187344(-) CATAGGAAGTGG >mm10_chr4:155199779-155199790(+)::chr4:155199778-155199790(+) GACAGGAAGTGG >mm10_chr4:155235075-155235086(+)::chr4:155235074-155235086(+) AGCAGGAAGCTG >mm10_chr4:155236308-155236319(+)::chr4:155236307-155236319(+) TGAAGGAACTGA >mm10_chr4:155300796-155300807(-)::chr4:155300795-155300807(-) TCAAGGAAGAAA >mm10_chr4:155361325-155361336(+)::chr4:155361324-155361336(+) ACGCGGAAGGGA >mm10_chr4:155368833-155368844(-)::chr4:155368832-155368844(-) GACAGGAAGGAC >mm10_chr4:155481972-155481983(-)::chr4:155481971-155481983(-) AGCAGGAAGTAC >mm10_chr4:155490596-155490607(+)::chr4:155490595-155490607(+) GGCAGGAAGCGC >mm10_chr4:155510634-155510645(+)::chr4:155510633-155510645(+) TAAAGGAAGTAC >mm10_chr4:155510652-155510663(-)::chr4:155510651-155510663(-) CCAAGGAAGTTG >mm10_chr4:155529478-155529489(-)::chr4:155529477-155529489(-) accaGGAAGTTC >mm10_chr4:155559656-155559667(-)::chr4:155559655-155559667(-) AAGAGGAAGTCG >mm10_chr4:155559662-155559673(-)::chr4:155559661-155559673(-) TGCAGGAAGAGG >mm10_chr4:155559727-155559738(-)::chr4:155559726-155559738(-) AGAAGGAAATGG >mm10_chr4:155561655-155561666(-)::chr4:155561654-155561666(-) GGGAGGAAGAAC >mm10_chr4:155575153-155575164(-)::chr4:155575152-155575164(-) tgagggaagtca >mm10_chr4:155582364-155582375(-)::chr4:155582363-155582375(-) TCCAGGAAGTCT >mm10_chr4:155582404-155582415(+)::chr4:155582403-155582415(+) AACAGGAAGTTG >mm10_chr4:155624325-155624336(-)::chr4:155624324-155624336(-) ATGAGGAAGTCC >mm10_chr4:155624853-155624864(+)::chr4:155624852-155624864(+) CGGAGGAAGTGA >mm10_chr4:155667061-155667072(-)::chr4:155667060-155667072(-) CCCAGGAAGTAG >mm10_chr4:155667095-155667106(-)::chr4:155667094-155667106(-) CACAGGAAGTTT >mm10_chr4:155669486-155669497(+)::chr4:155669485-155669497(+) ACCCGGAAGTGC >mm10_chr4:155686890-155686901(+)::chr4:155686889-155686901(+) ccaaggaagaca >mm10_chr4:155705276-155705287(-)::chr4:155705275-155705287(-) ACACGGAAGTGa >mm10_chr4:155738721-155738732(-)::chr4:155738720-155738732(-) TTCAGGAAGTCC >mm10_chr4:155744515-155744526(+)::chr4:155744514-155744526(+) ACAAGGAAGTAT >mm10_chr4:155761115-155761126(-)::chr4:155761114-155761126(-) AGAAGGAAGGGG >mm10_chr4:155775975-155775986(+)::chr4:155775974-155775986(+) TCAGGGAAGTAG >mm10_chr4:155776718-155776729(+)::chr4:155776717-155776729(+) AAGAGGAAGGAC >mm10_chr4:155793733-155793744(-)::chr4:155793732-155793744(-) AGAAGGAAGCTG >mm10_chr4:155820306-155820317(-)::chr4:155820305-155820317(-) ACCAGGAAGACT >mm10_chr4:155835643-155835654(-)::chr4:155835642-155835654(-) AGTAGGAAATAA >mm10_chr4:155835656-155835667(+)::chr4:155835655-155835667(+) TCGGGGAAGTAT >mm10_chr4:155852085-155852096(-)::chr4:155852084-155852096(-) GGCAGGAAGCAG >mm10_chr4:155853037-155853048(+)::chr4:155853036-155853048(+) ATGAGGAAGCAT >mm10_chr4:155853085-155853096(+)::chr4:155853084-155853096(+) CCAAGGAAGCCG >mm10_chr4:155869000-155869011(+)::chr4:155868999-155869011(+) AACAGGAAGGGA >mm10_chr4:155883753-155883764(+)::chr4:155883752-155883764(+) TGCAGGAAGTCA >mm10_chr4:155883764-155883775(+)::chr4:155883763-155883775(+) AGCAGGAAGCTA >mm10_chr4:155885043-155885054(-)::chr4:155885042-155885054(-) AGCAGGAAGTAG >mm10_chr4:155885054-155885065(-)::chr4:155885053-155885065(-) GGCAGGAAGGCA >mm10_chr4:155944525-155944536(-)::chr4:155944524-155944536(-) AGAAGGAAGTGA >mm10_chr4:156026035-156026046(-)::chr4:156026034-156026046(-) CCCAGGAAGTTC >mm10_chr4:156059802-156059813(+)::chr4:156059801-156059813(+) GCCAGGAAGGGG >mm10_chr4:156064755-156064766(-)::chr4:156064754-156064766(-) TGAAGGAAATAA >mm10_chr4:156067733-156067744(+)::chr4:156067732-156067744(+) AGAAGGAAGGGG >mm10_chr4:156067761-156067772(-)::chr4:156067760-156067772(-) AACAGGAAGTGG >mm10_chr4:156077059-156077070(+)::chr4:156077058-156077070(+) ACCAGGAAGTGG >mm10_chr4:156077915-156077926(+)::chr4:156077914-156077926(+) GGACGGAAGGAG >mm10_chr4:156092656-156092667(+)::chr4:156092655-156092667(+) ATCAGGAAGAGG >mm10_chr4:156092702-156092713(-)::chr4:156092701-156092713(-) AGAAGGAAGCAC >mm10_chr4:156108749-156108760(+)::chr4:156108748-156108760(+) ATGAGGAAGTGA >mm10_chr4:156119506-156119517(+)::chr4:156119505-156119517(+) AGgaggaagagg >mm10_chr4:156119512-156119523(+)::chr4:156119511-156119523(+) aagaggaagagg >mm10_chr4:156119518-156119529(+)::chr4:156119517-156119529(+) aagaggaagagg >mm10_chr4:156119524-156119535(+)::chr4:156119523-156119535(+) aagaggaagCAG >mm10_chr4:156127047-156127058(+)::chr4:156127046-156127058(+) tggaggaagtgt >mm10_chr4:156183094-156183105(+)::chr4:156183093-156183105(+) AGAAGGAAGCTC >mm10_chr4:156183880-156183891(+)::chr4:156183879-156183891(+) CCCAGGAAGTGA >mm10_chr4:156183917-156183928(-)::chr4:156183916-156183928(-) TCGAGGAAGCAG >mm10_chr4:156193066-156193077(-)::chr4:156193065-156193077(-) GAAAGGAAGTGT >mm10_chr4:156235979-156235990(+)::chr4:156235978-156235990(+) GGGAGGAAGTCG >mm10_chr4:156236011-156236022(+)::chr4:156236010-156236022(+) GCCCGGAAGTGC >mm10_chr4:156252797-156252808(-)::chr4:156252796-156252808(-) AGGAGGAAGTTA >mm10_chr5:3212880-3212891(+)::chr5:3212879-3212891(+) gagaggaagagg >mm10_chr5:3212886-3212897(+)::chr5:3212885-3212897(+) aagaggaagaag >mm10_chr5:3212907-3212918(+)::chr5:3212906-3212918(+) aagaggaaggag >mm10_chr5:3324384-3324395(-)::chr5:3324383-3324395(-) ttcaggaagttc >mm10_chr5:3358950-3358961(-)::chr5:3358949-3358961(-) ACCAGGAAGTGC >mm10_chr5:3399513-3399524(+)::chr5:3399512-3399524(+) GTCAGGAAGGTA >mm10_chr5:3399559-3399570(+)::chr5:3399558-3399570(+) AGAAGGAAATGA >mm10_chr5:3400367-3400378(+)::chr5:3400366-3400378(+) AGCAGGAAGGGC >mm10_chr5:3484888-3484899(-)::chr5:3484887-3484899(-) TGGAGGAAGTCT >mm10_chr5:3548907-3548918(-)::chr5:3548906-3548918(-) ggaaggaagagg >mm10_chr5:3598913-3598924(+)::chr5:3598912-3598924(+) GGGAGGAAGAGT >mm10_chr5:3604299-3604310(-)::chr5:3604298-3604310(-) ACCAGGAAGTGA >mm10_chr5:3604312-3604323(+)::chr5:3604311-3604323(+) GGCAGGAAGTGC >mm10_chr5:3772136-3772147(+)::chr5:3772135-3772147(+) ATGAGGAAGGGA >mm10_chr5:3927849-3927860(+)::chr5:3927848-3927860(+) AGAAGGAAGCCC >mm10_chr5:4145235-4145246(+)::chr5:4145234-4145246(+) acaaggaagttc >mm10_chr5:4179460-4179471(-)::chr5:4179459-4179471(-) aacaggaagaag >mm10_chr5:4179511-4179522(+)::chr5:4179510-4179522(+) TTGAGGAAGTAC >mm10_chr5:4326756-4326767(+)::chr5:4326755-4326767(+) ATGAGGAAGCTA >mm10_chr5:4326819-4326830(+)::chr5:4326818-4326830(+) AACAGGAAGGAG >mm10_chr5:4334031-4334042(-)::chr5:4334030-4334042(-) ACAAGGAAATAA >mm10_chr5:4334069-4334080(-)::chr5:4334068-4334080(-) AGAAGGAAAGGG >mm10_chr5:4474449-4474460(-)::chr5:4474448-4474460(-) aaagggaagtcc >mm10_chr5:4486301-4486312(+)::chr5:4486300-4486312(+) TAGAGGAAGTCA >mm10_chr5:4496389-4496400(+)::chr5:4496388-4496400(+) AGAAGGAAATGA >mm10_chr5:4560591-4560602(-)::chr5:4560590-4560602(-) AACAGGAAGAGG >mm10_chr5:4591905-4591916(-)::chr5:4591904-4591916(-) actaggaaataa >mm10_chr5:4616626-4616637(+)::chr5:4616625-4616637(+) gccaggaagagt >mm10_chr5:4698584-4698595(+)::chr5:4698583-4698595(+) aggaggaagaag >mm10_chr5:4749850-4749861(-)::chr5:4749849-4749861(-) GGAAGGAAGAGA >mm10_chr5:4749854-4749865(-)::chr5:4749853-4749865(-) CGAGGGAAGGAA >mm10_chr5:4758206-4758217(+)::chr5:4758205-4758217(+) TGGAGGAAGTAG >mm10_chr5:4774479-4774490(+)::chr5:4774478-4774490(+) ATGAGGAAGAAG >mm10_chr5:4774486-4774497(+)::chr5:4774485-4774497(+) AGAAGGAAACAG >mm10_chr5:4774508-4774519(+)::chr5:4774507-4774519(+) ACCAGGAAATAC >mm10_chr5:4822021-4822032(-)::chr5:4822020-4822032(-) AACAGGAAGTCA >mm10_chr5:4852852-4852863(-)::chr5:4852851-4852863(-) AGGAGGAAGACC >mm10_chr5:4912168-4912179(+)::chr5:4912167-4912179(+) ACCAGGAAGTGA >mm10_chr5:4917205-4917216(-)::chr5:4917204-4917216(-) GACAGGAAATAG >mm10_chr5:4917419-4917430(+)::chr5:4917418-4917430(+) TGCAGGAAGTGA >mm10_chr5:4924062-4924073(-)::chr5:4924061-4924073(-) gtgaggaagttg >mm10_chr5:4935036-4935047(-)::chr5:4935035-4935047(-) AGCAGGAAGACA >mm10_chr5:4935355-4935366(-)::chr5:4935354-4935366(-) AAGAGGAAGTTA >mm10_chr5:4935408-4935419(+)::chr5:4935407-4935419(+) TACAGGAAGTAA >mm10_chr5:4960573-4960584(+)::chr5:4960572-4960584(+) GCAAGGAAGACA >mm10_chr5:4960606-4960617(-)::chr5:4960605-4960617(-) GCCAGGAAGTTC >mm10_chr5:4960627-4960638(+)::chr5:4960626-4960638(+) ACCGGGAAGTCT >mm10_chr5:4979711-4979722(+)::chr5:4979710-4979722(+) TGTAGGAAGGAA >mm10_chr5:4979715-4979726(+)::chr5:4979714-4979726(+) GGAAGGAAGTGG >mm10_chr5:5042922-5042933(-)::chr5:5042921-5042933(-) tggaggaagaat >mm10_chr5:5042989-5043000(-)::chr5:5042988-5043000(-) cgaaggaagccc >mm10_chr5:5184363-5184374(-)::chr5:5184362-5184374(-) agaaggaaatga >mm10_chr5:5184403-5184414(-)::chr5:5184402-5184414(-) tgcaggaaggga >mm10_chr5:5227156-5227167(-)::chr5:5227155-5227167(-) GCAAGTAAGTGA >mm10_chr5:5227160-5227171(-)::chr5:5227159-5227171(-) AAAAGCAAGTAA >mm10_chr5:5249138-5249149(-)::chr5:5249137-5249149(-) ACAAGAAAGTCA >mm10_chr5:5320298-5320309(+)::chr5:5320297-5320309(+) ACAAGGAAGTAG >mm10_chr5:5342905-5342916(-)::chr5:5342904-5342916(-) GAGAGGAAGATG >mm10_chr5:5355147-5355158(+)::chr5:5355146-5355158(+) AGAAGGAATTTC >mm10_chr5:5355152-5355163(-)::chr5:5355151-5355163(-) GTAAGGAAATTC >mm10_chr5:5355203-5355214(+)::chr5:5355202-5355214(+) GGCAGGAAGGGC >mm10_chr5:5357905-5357916(-)::chr5:5357904-5357916(-) GAGAGGAAGATA >mm10_chr5:5388239-5388250(+)::chr5:5388238-5388250(+) aggaggaaatta >mm10_chr5:5411053-5411064(+)::chr5:5411052-5411064(+) GCCAGGAAGAAG >mm10_chr5:5415588-5415599(+)::chr5:5415587-5415599(+) ACCAGGAAGTCT >mm10_chr5:5415626-5415637(+)::chr5:5415625-5415637(+) TCAGGGAAGTCA >mm10_chr5:5420040-5420051(-)::chr5:5420039-5420051(-) AAGAGGAAGAAG >mm10_chr5:5420083-5420094(-)::chr5:5420082-5420094(-) TGGAGGAAGGGA >mm10_chr5:5514833-5514844(+)::chr5:5514832-5514844(+) CCGCGGAAGTGC >mm10_chr5:5514981-5514992(-)::chr5:5514980-5514992(-) AGAAGGAGGTGG >mm10_chr5:5559593-5559604(+)::chr5:5559592-5559604(+) TCGAGGAAGGTG >mm10_chr5:5584961-5584972(-)::chr5:5584960-5584972(-) TCCAGGAAGTCA >mm10_chr5:5649179-5649190(-)::chr5:5649178-5649190(-) CTCAGGAAGTAA >mm10_chr5:5688450-5688461(-)::chr5:5688449-5688461(-) ACCAGGAAGTCG >mm10_chr5:5715471-5715482(+)::chr5:5715470-5715482(+) AGTAGGAAGGAG >mm10_chr5:5715478-5715489(+)::chr5:5715477-5715489(+) AGGAGGAAGAGG >mm10_chr5:5715484-5715495(+)::chr5:5715483-5715495(+) AAGAGGAAGAGA >mm10_chr5:5715539-5715550(+)::chr5:5715538-5715550(+) GTAAGGACGTCT >mm10_chr5:5720431-5720442(+)::chr5:5720430-5720442(+) ACACGGAAGTGG >mm10_chr5:6946701-6946712(+)::chr5:6946700-6946712(+) ataagaaagtga >mm10_chr5:7356724-7356735(+)::chr5:7356723-7356735(+) TCCAGGAAGGAA >mm10_chr5:7713554-7713565(+)::chr5:7713553-7713565(+) AAAAGGAACTCA >mm10_chr5:7722620-7722631(+)::chr5:7722619-7722631(+) AGCAGGAAGCTG >mm10_chr5:7722646-7722657(-)::chr5:7722645-7722657(-) AAAAGGAAGCCC >mm10_chr5:7879750-7879761(+)::chr5:7879749-7879761(+) ACAAGGAAGGGG >mm10_chr5:7986586-7986597(+)::chr5:7986585-7986597(+) AGGAGGAAATTG >mm10_chr5:7988675-7988686(-)::chr5:7988674-7988686(-) aggaggaagttt >mm10_chr5:8422666-8422677(+)::chr5:8422665-8422677(+) AGCAGGAAGAGG >mm10_chr5:8459238-8459249(-)::chr5:8459237-8459249(-) tacaggaaatga >mm10_chr5:8481309-8481320(-)::chr5:8481308-8481320(-) TGGAGGAAGTCA >mm10_chr5:8481363-8481374(+)::chr5:8481362-8481374(+) CAAAGGAAGACA >mm10_chr5:8801506-8801517(+)::chr5:8801505-8801517(+) GTAAGGAAGAAG >mm10_chr5:8829144-8829155(+)::chr5:8829143-8829155(+) ATGAGGAAGGGA >mm10_chr5:8853988-8853999(-)::chr5:8853987-8853999(-) AAGAGGAAATGT >mm10_chr5:8854020-8854031(+)::chr5:8854019-8854031(+) TGAAGGAAGCTT >mm10_chr5:8907428-8907439(+)::chr5:8907427-8907439(+) ATGAGGAAATGA >mm10_chr5:8996553-8996564(+)::chr5:8996552-8996564(+) GAAAGGAAGAAA >mm10_chr5:9011614-9011625(-)::chr5:9011613-9011625(-) GGAAGGAAGTGA >mm10_chr5:9011618-9011629(-)::chr5:9011617-9011629(-) CCAAGGAAGGAA >mm10_chr5:9012690-9012701(-)::chr5:9012689-9012701(-) aagaggaaggag >mm10_chr5:9012696-9012707(-)::chr5:9012695-9012707(-) ggaaggaagagg >mm10_chr5:9012700-9012711(-)::chr5:9012699-9012711(-) aggaggaaggaa >mm10_chr5:9012713-9012724(-)::chr5:9012712-9012724(-) aggaggaagggg >mm10_chr5:9012733-9012744(-)::chr5:9012732-9012744(-) aggaggaaggag >mm10_chr5:9015591-9015602(+)::chr5:9015590-9015602(+) ggaaggaagtgc >mm10_chr5:9032315-9032326(+)::chr5:9032314-9032326(+) AGAAGGAAGAAC >mm10_chr5:9071518-9071529(+)::chr5:9071517-9071529(+) caaaggaagttg >mm10_chr5:9071529-9071540(-)::chr5:9071528-9071540(-) AAGAGGaaatgc >mm10_chr5:9087091-9087102(-)::chr5:9087090-9087102(-) GGAAGGAAGAGG >mm10_chr5:9087095-9087106(-)::chr5:9087094-9087106(-) TACAGGAAGGAA >mm10_chr5:9087108-9087119(-)::chr5:9087107-9087119(-) AAACGGAAGTGA >mm10_chr5:9107473-9107484(+)::chr5:9107472-9107484(+) AACAGGAAGTGG >mm10_chr5:9149399-9149410(+)::chr5:9149398-9149410(+) tcaaggaagttc >mm10_chr5:9161610-9161621(-)::chr5:9161609-9161621(-) AACAGGAAGTAA >mm10_chr5:9186642-9186653(-)::chr5:9186641-9186653(-) ATAAGGAAGTTA >mm10_chr5:9186699-9186710(+)::chr5:9186698-9186710(+) ATGAGGAAGAAC >mm10_chr5:12115776-12115787(-)::chr5:12115775-12115787(-) ATCAGGAAGAAA >mm10_chr5:12209875-12209886(-)::chr5:12209874-12209886(-) agaaggaagatt >mm10_chr5:12541420-12541431(-)::chr5:12541419-12541431(-) ACAAGGAAAAGG >mm10_chr5:12541450-12541461(+)::chr5:12541449-12541461(+) GGGAGGAAGTTT >mm10_chr5:13384867-13384878(-)::chr5:13384866-13384878(-) TGCAGGAAATGG >mm10_chr5:13515597-13515608(-)::chr5:13515596-13515608(-) TCAAGGAAGCCA >mm10_chr5:13662182-13662193(+)::chr5:13662181-13662193(+) taaaggaagtta >mm10_chr5:13662241-13662252(-)::chr5:13662240-13662252(-) tagaggaagaac >mm10_chr5:13975008-13975019(-)::chr5:13975007-13975019(-) AAAAGGAAGGAC >mm10_chr5:13987275-13987286(-)::chr5:13987274-13987286(-) aacaggaagaga >mm10_chr5:13993239-13993250(-)::chr5:13993238-13993250(-) AGCAGGAAGCTC >mm10_chr5:14049317-14049328(-)::chr5:14049316-14049328(-) aggaggaagtaa >mm10_chr5:14049328-14049339(-)::chr5:14049327-14049339(-) ggagggaagtga >mm10_chr5:14049340-14049351(-)::chr5:14049339-14049351(-) agaaggaagtga >mm10_chr5:14049362-14049373(-)::chr5:14049361-14049373(-) gtgaggaagaag >mm10_chr5:14049370-14049381(-)::chr5:14049369-14049381(-) aggaggaagtga >mm10_chr5:14729826-14729837(-)::chr5:14729825-14729837(-) TACAGGAAATAA >mm10_chr5:15838141-15838152(+)::chr5:15838140-15838152(+) GAAAGGAAGAGT >mm10_chr5:15838184-15838195(-)::chr5:15838183-15838195(-) GGAAGGAAGTTT >mm10_chr5:15942725-15942736(+)::chr5:15942724-15942736(+) GAAAGGAAGTTG >mm10_chr5:16352139-16352150(+)::chr5:16352138-16352150(+) acaaggaattga >mm10_chr5:16470121-16470132(+)::chr5:16470120-16470132(+) AACAGGAAGTGA >mm10_chr5:16638384-16638395(-)::chr5:16638383-16638395(-) agagggaagtgc >mm10_chr5:16843534-16843545(-)::chr5:16843533-16843545(-) agaaggaagaaa >mm10_chr5:16985097-16985108(+)::chr5:16985096-16985108(+) GCCAGGAAGGAG >mm10_chr5:16985101-16985112(+)::chr5:16985100-16985112(+) GGAAGGAGGTGA >mm10_chr5:17179598-17179609(+)::chr5:17179597-17179609(+) AAAAGGAAGAGG >mm10_chr5:17272249-17272260(+)::chr5:17272248-17272260(+) AGGAGGAAGCAA >mm10_chr5:17272581-17272592(-)::chr5:17272580-17272592(-) GGAAGGAAGAAC >mm10_chr5:17272585-17272596(-)::chr5:17272584-17272596(-) AAAAGGAAGGAA >mm10_chr5:17323059-17323070(-)::chr5:17323058-17323070(-) GGAAGGATGTGT >mm10_chr5:17623475-17623486(+)::chr5:17623474-17623486(+) TACAGGAAGTCA >mm10_chr5:17623488-17623499(+)::chr5:17623487-17623499(+) GACAGGAAGGAT >mm10_chr5:17689445-17689456(-)::chr5:17689444-17689456(-) AGCAGGAAGCAC >mm10_chr5:17729299-17729310(+)::chr5:17729298-17729310(+) TGCAGGAAGTAA >mm10_chr5:17766594-17766605(-)::chr5:17766593-17766605(-) aaaaggaagtaa >mm10_chr5:17798842-17798853(+)::chr5:17798841-17798853(+) accaggaagtgg >mm10_chr5:17820730-17820741(+)::chr5:17820729-17820741(+) AGCAGGAAGTCT >mm10_chr5:17836063-17836074(+)::chr5:17836062-17836074(+) AGGGGGAAGTAA >mm10_chr5:17842164-17842175(-)::chr5:17842163-17842175(-) ACAAGGAAGTCT >mm10_chr5:17842283-17842294(+)::chr5:17842282-17842294(+) ATGAGGAAGTGT >mm10_chr5:17842628-17842639(-)::chr5:17842627-17842639(-) tataggaagttg >mm10_chr5:17876772-17876783(+)::chr5:17876771-17876783(+) AGCAGGAAGGGA >mm10_chr5:17891201-17891212(-)::chr5:17891200-17891212(-) ATAGGGAAGAGA >mm10_chr5:17891238-17891249(-)::chr5:17891237-17891249(-) AAGAGGAAGACA >mm10_chr5:17891470-17891481(+)::chr5:17891469-17891481(+) ACACGGAAGGAA >mm10_chr5:17899015-17899026(-)::chr5:17899014-17899026(-) GGAAGGAAGCTC >mm10_chr5:17899019-17899030(-)::chr5:17899018-17899030(-) AGACGGAAGGAA >mm10_chr5:17899038-17899049(+)::chr5:17899037-17899049(+) GCAAGGAAGTGC >mm10_chr5:17907487-17907498(+)::chr5:17907486-17907498(+) TTAAGGAAGTAT >mm10_chr5:17981463-17981474(+)::chr5:17981462-17981474(+) ACAAGGAAGACT >mm10_chr5:18000285-18000296(+)::chr5:18000284-18000296(+) ATAAGGAACTTA >mm10_chr5:18000341-18000352(-)::chr5:18000340-18000352(-) ACAAGGAAGTTT >mm10_chr5:18044934-18044945(+)::chr5:18044933-18044945(+) aaaaggaagggg >mm10_chr5:18044952-18044963(+)::chr5:18044951-18044963(+) aggaggaagggg >mm10_chr5:19121720-19121731(+)::chr5:19121719-19121731(+) GGACGGAAGGAG >mm10_chr5:19121724-19121735(+)::chr5:19121723-19121735(+) GGAAGGAGGTAG >mm10_chr5:19450845-19450856(+)::chr5:19450844-19450856(+) AGAAGGATGTAT >mm10_chr5:19980501-19980512(+)::chr5:19980500-19980512(+) AAGAGGAAGTTA >mm10_chr5:20081091-20081102(-)::chr5:20081090-20081102(-) AAGAGGAAGTGC >mm10_chr5:20083129-20083140(-)::chr5:20083128-20083140(-) TTTAGGAAGTCG >mm10_chr5:20498482-20498493(+)::chr5:20498481-20498493(+) AGGAGGAAGGTA >mm10_chr5:20677380-20677391(+)::chr5:20677379-20677391(+) AAACGGAAGACA >mm10_chr5:20677459-20677470(-)::chr5:20677458-20677470(-) GTGAGGAAGTTA >mm10_chr5:20702115-20702126(+)::chr5:20702114-20702126(+) TGCCGGAAGTAG >mm10_chr5:20715971-20715982(+)::chr5:20715970-20715982(+) AAAAGGAAGTGA >mm10_chr5:20716753-20716764(+)::chr5:20716752-20716764(+) AGCAGGAAATGC >mm10_chr5:20796315-20796326(+)::chr5:20796314-20796326(+) TCAAGGAAGAAC >mm10_chr5:20812122-20812133(-)::chr5:20812121-20812133(-) GGAAGGAAGGGA >mm10_chr5:20812126-20812137(-)::chr5:20812125-20812137(-) TCCAGGAAGGAA >mm10_chr5:20941431-20941442(-)::chr5:20941430-20941442(-) gcacggaagaga >mm10_chr5:20941466-20941477(-)::chr5:20941465-20941477(-) aacaggaagctg >mm10_chr5:20943609-20943620(+)::chr5:20943608-20943620(+) ACCAGGAAGTCC >mm10_chr5:20955377-20955388(-)::chr5:20955376-20955388(-) TAGAGGAAGGGT >mm10_chr5:20955433-20955444(-)::chr5:20955432-20955444(-) TTCAGGAAGTGA >mm10_chr5:20955701-20955712(+)::chr5:20955700-20955712(+) AGCAGGAAGCAG >mm10_chr5:21003472-21003483(+)::chr5:21003471-21003483(+) ACCAGGAAGAAG >mm10_chr5:21035900-21035911(-)::chr5:21035899-21035911(-) GGGAGGAAGTGG >mm10_chr5:21035914-21035925(-)::chr5:21035913-21035925(-) ATGAGGAAGCAG >mm10_chr5:21121999-21122010(-)::chr5:21121998-21122010(-) TAGAGGAAGGAA >mm10_chr5:21122083-21122094(-)::chr5:21122082-21122094(-) GGAAGGAAATGT >mm10_chr5:21128705-21128716(+)::chr5:21128704-21128716(+) ACCAGGAAGAAG >mm10_chr5:21128712-21128723(+)::chr5:21128711-21128723(+) AGAAGGAAGGAG >mm10_chr5:21128759-21128770(+)::chr5:21128758-21128770(+) TGCAGGAAGGAG >mm10_chr5:21132172-21132183(-)::chr5:21132171-21132183(-) TAGAGGAAGGGA >mm10_chr5:21218090-21218101(-)::chr5:21218089-21218101(-) agcaggaagctg >mm10_chr5:21218122-21218133(-)::chr5:21218121-21218133(-) accaggaagtca >mm10_chr5:21251566-21251577(-)::chr5:21251565-21251577(-) acaaggaaattg >mm10_chr5:21286966-21286977(+)::chr5:21286965-21286977(+) AGAAGGAAGGTA >mm10_chr5:21286998-21287009(+)::chr5:21286997-21287009(+) GTAAGGAAGGAA >mm10_chr5:21287002-21287013(+)::chr5:21287001-21287013(+) GGAAGGAAGTTC >mm10_chr5:21301104-21301115(+)::chr5:21301103-21301115(+) ACAAGGAAGTTA >mm10_chr5:21332305-21332316(+)::chr5:21332304-21332316(+) AGAAGGAAGTAA >mm10_chr5:21333076-21333087(+)::chr5:21333075-21333087(+) TCCAGGAAGTTT >mm10_chr5:21435684-21435695(-)::chr5:21435683-21435695(-) AACAGGAAATAG >mm10_chr5:21441298-21441309(+)::chr5:21441297-21441309(+) tgcaggaaatgg >mm10_chr5:21511867-21511878(+)::chr5:21511866-21511878(+) aagaggaagagg >mm10_chr5:21511873-21511884(+)::chr5:21511872-21511884(+) aagaggaagagg >mm10_chr5:21511879-21511890(+)::chr5:21511878-21511890(+) aagaggaagagg >mm10_chr5:21511885-21511896(+)::chr5:21511884-21511896(+) aagaggaagagg >mm10_chr5:21545440-21545451(-)::chr5:21545439-21545451(-) ATAGGGAAGTTA >mm10_chr5:21547219-21547230(+)::chr5:21547218-21547230(+) TGGAGGAAGAGA >mm10_chr5:21547247-21547258(+)::chr5:21547246-21547258(+) GACAGGAAGTTG >mm10_chr5:21566414-21566425(-)::chr5:21566413-21566425(-) ACAAGGAAGGCC >mm10_chr5:21567542-21567553(+)::chr5:21567541-21567553(+) aacaggaagagc >mm10_chr5:21619381-21619392(+)::chr5:21619380-21619392(+) ACAAGGAAGCAG >mm10_chr5:21619388-21619399(+)::chr5:21619387-21619399(+) AGCAGGAAGCCA >mm10_chr5:21654239-21654250(+)::chr5:21654238-21654250(+) ACCCGGAAGTAG >mm10_chr5:21654246-21654257(+)::chr5:21654245-21654257(+) AGTAGGAAGTAA >mm10_chr5:21680895-21680906(+)::chr5:21680894-21680906(+) ACAAGGAAGAGG >mm10_chr5:21692484-21692495(-)::chr5:21692483-21692495(-) TTCAGGAAGTTA >mm10_chr5:21692506-21692517(+)::chr5:21692505-21692517(+) ACAAGGAACTTG >mm10_chr5:21725652-21725663(+)::chr5:21725651-21725663(+) AACAGGAAGATG >mm10_chr5:21737142-21737153(+)::chr5:21737141-21737153(+) ACCCGGAAGTAC >mm10_chr5:21737518-21737529(-)::chr5:21737517-21737529(-) ATAAGGAAAACG >mm10_chr5:21768719-21768730(-)::chr5:21768718-21768730(-) GAGAGGAAGTGG >mm10_chr5:21784541-21784552(+)::chr5:21784540-21784552(+) ATGAGGAAGTTC >mm10_chr5:21785264-21785275(-)::chr5:21785263-21785275(-) CAGAGGAAGTAG >mm10_chr5:21786720-21786731(-)::chr5:21786719-21786731(-) CACCGGAAGTAG >mm10_chr5:21852524-21852535(+)::chr5:21852523-21852535(+) TGCAGGAAGGTG >mm10_chr5:21982606-21982617(-)::chr5:21982605-21982617(-) AGGAGGAAGACC >mm10_chr5:21982633-21982644(+)::chr5:21982632-21982644(+) ATGAGGAAGTAG >mm10_chr5:22140593-22140604(+)::chr5:22140592-22140604(+) AGGAGGAAGTTT >mm10_chr5:23010638-23010649(-)::chr5:23010637-23010649(-) ATGAGGAAATGT >mm10_chr5:23016219-23016230(+)::chr5:23016218-23016230(+) AACAGGAAGTCC >mm10_chr5:23174715-23174726(-)::chr5:23174714-23174726(-) AGTAGGAAGAGG >mm10_chr5:23174749-23174760(-)::chr5:23174748-23174760(-) AAACGGAAGGGC >mm10_chr5:23226719-23226730(+)::chr5:23226718-23226730(+) ACCAGGAAGTCT >mm10_chr5:23401218-23401229(-)::chr5:23401217-23401229(-) tgagggaagtta >mm10_chr5:23410429-23410440(-)::chr5:23410428-23410440(-) GTAAGGAAAACG >mm10_chr5:23410456-23410467(-)::chr5:23410455-23410467(-) AGTAGGAAGACA >mm10_chr5:23410463-23410474(-)::chr5:23410462-23410474(-) GCAGGGAAGTAG >mm10_chr5:23465637-23465648(+)::chr5:23465636-23465648(+) GCAAGGAAGCTT >mm10_chr5:23465661-23465672(-)::chr5:23465660-23465672(-) ACCAGGAAGTCC >mm10_chr5:23515392-23515403(-)::chr5:23515391-23515403(-) AGCAGGAAGAGC >mm10_chr5:23551916-23551927(-)::chr5:23551915-23551927(-) ACCAGGAAGGAC >mm10_chr5:23598759-23598770(+)::chr5:23598758-23598770(+) AGCAGGAAGCCA >mm10_chr5:23598790-23598801(+)::chr5:23598789-23598801(+) CCAAGGAAGCAG >mm10_chr5:23631646-23631657(+)::chr5:23631645-23631657(+) TACAGGAAGAAC >mm10_chr5:23650741-23650752(-)::chr5:23650740-23650752(-) AAGAGGAAGTCT >mm10_chr5:23666090-23666101(+)::chr5:23666089-23666101(+) GGCAGGAAGTAC >mm10_chr5:23751402-23751413(+)::chr5:23751401-23751413(+) AGGAGGAAGTTA >mm10_chr5:23778212-23778223(-)::chr5:23778211-23778223(-) aggaggaagaag >mm10_chr5:23778230-23778241(-)::chr5:23778229-23778241(-) AAgaggaagagg >mm10_chr5:23826373-23826384(+)::chr5:23826372-23826384(+) gaaaggaagagt >mm10_chr5:23826443-23826454(+)::chr5:23826442-23826454(+) agcaggaagcag >mm10_chr5:23859435-23859446(-)::chr5:23859434-23859446(-) ggaaggaagctg >mm10_chr5:23859439-23859450(-)::chr5:23859438-23859450(-) gggaggaaggaa >mm10_chr5:23894421-23894432(+)::chr5:23894420-23894432(+) CTCAGGAAGTGA >mm10_chr5:23894443-23894454(-)::chr5:23894442-23894454(-) AGGGGGAAGTGA >mm10_chr5:23969098-23969109(-)::chr5:23969097-23969109(-) CTGAGGAAGTAA >mm10_chr5:24005820-24005831(-)::chr5:24005819-24005831(-) agagggaaggga >mm10_chr5:24021793-24021804(+)::chr5:24021792-24021804(+) AGAGGGAAATAT >mm10_chr5:24035097-24035108(-)::chr5:24035096-24035108(-) AAGAGGAAGTGA >mm10_chr5:24035141-24035152(-)::chr5:24035140-24035152(-) TAGAGGAAGGCA >mm10_chr5:24110335-24110346(-)::chr5:24110334-24110346(-) ggaaggaaggtc >mm10_chr5:24110339-24110350(-)::chr5:24110338-24110350(-) aggaggaaggaa >mm10_chr5:24344640-24344651(+)::chr5:24344639-24344651(+) ACCAGGAAGAGG >mm10_chr5:24344646-24344657(+)::chr5:24344645-24344657(+) AAGAGGAAGAGC >mm10_chr5:24383981-24383992(-)::chr5:24383980-24383992(-) GGGAGGAAGACT >mm10_chr5:24384020-24384031(-)::chr5:24384019-24384031(-) CGAACGAAGTGA >mm10_chr5:24389535-24389546(-)::chr5:24389534-24389546(-) TATAGGAAGGGC >mm10_chr5:24401986-24401997(-)::chr5:24401985-24401997(-) AGGAGGAAGGGC >mm10_chr5:24401999-24402010(-)::chr5:24401998-24402010(-) GACAGGAAGAGG >mm10_chr5:24402016-24402027(-)::chr5:24402015-24402027(-) AATAGGAAGTAG >mm10_chr5:24433322-24433333(-)::chr5:24433321-24433333(-) AGGAGGAAGCAA >mm10_chr5:24433329-24433340(-)::chr5:24433328-24433340(-) AACAGGAAGGAG >mm10_chr5:24454090-24454101(+)::chr5:24454089-24454101(+) TAGAGGAAGTGT >mm10_chr5:24466415-24466426(-)::chr5:24466414-24466426(-) TCCAGGAAGTGA >mm10_chr5:24488740-24488751(-)::chr5:24488739-24488751(-) AACAGGAAGCCC >mm10_chr5:24488752-24488763(-)::chr5:24488751-24488763(-) AAGAGGAAGACC >mm10_chr5:24489965-24489976(-)::chr5:24489964-24489976(-) AACAGGAAGTTT >mm10_chr5:24500964-24500975(-)::chr5:24500963-24500975(-) GCAAGGAAGGAA >mm10_chr5:24525295-24525306(+)::chr5:24525294-24525306(+) TGAAGGAAGTTC >mm10_chr5:24556524-24556535(+)::chr5:24556523-24556535(+) gtaaggaagaag >mm10_chr5:24556531-24556542(+)::chr5:24556530-24556542(+) agaaggaaggca >mm10_chr5:24556539-24556550(+)::chr5:24556538-24556550(+) ggcaggaagagg >mm10_chr5:24576159-24576170(+)::chr5:24576158-24576170(+) GGTAGGAAGAAA >mm10_chr5:24576171-24576182(+)::chr5:24576170-24576182(+) AACAGGAAATAG >mm10_chr5:24577744-24577755(+)::chr5:24577743-24577755(+) AGCAGGAAGTGC >mm10_chr5:24592120-24592131(+)::chr5:24592119-24592131(+) GCCAGGAAGAGG >mm10_chr5:24624042-24624053(+)::chr5:24624041-24624053(+) GGGAGGAAGGAT >mm10_chr5:24624064-24624075(+)::chr5:24624063-24624075(+) AGGAGGAAGGAT >mm10_chr5:24624083-24624094(+)::chr5:24624082-24624094(+) AGCAGGAAGAGG >mm10_chr5:24638189-24638200(+)::chr5:24638188-24638200(+) tggaggaagaag >mm10_chr5:24638234-24638245(+)::chr5:24638233-24638245(+) ggaaggatgtcc >mm10_chr5:24638262-24638273(-)::chr5:24638261-24638273(-) aacaggaaggca >mm10_chr5:24685588-24685599(-)::chr5:24685587-24685599(-) AGGAGGAAGATG >mm10_chr5:24690160-24690171(+)::chr5:24690159-24690171(+) TGAAGGAAGACA >mm10_chr5:24690184-24690195(+)::chr5:24690183-24690195(+) ACCAGGAAGCTG >mm10_chr5:24726154-24726165(+)::chr5:24726153-24726165(+) AGAAGGAACGCC >mm10_chr5:24729769-24729780(-)::chr5:24729768-24729780(-) ATAGGGAAGTCT >mm10_chr5:24752211-24752222(-)::chr5:24752210-24752222(-) AAAAGGAAGTGA >mm10_chr5:24777572-24777583(-)::chr5:24777571-24777583(-) ACAAGGAAGCTG >mm10_chr5:24813270-24813281(-)::chr5:24813269-24813281(-) AGAAGGAAGACC >mm10_chr5:24814155-24814166(-)::chr5:24814154-24814166(-) AAGAGGAAGTGT >mm10_chr5:24814647-24814658(+)::chr5:24814646-24814658(+) AGCAGGAAGTGA >mm10_chr5:24827356-24827367(+)::chr5:24827355-24827367(+) ATAAGGAAGAAG >mm10_chr5:24854450-24854461(+)::chr5:24854449-24854461(+) acagggaagtca >mm10_chr5:24918612-24918623(-)::chr5:24918611-24918623(-) agaaggaagaag >mm10_chr5:24918619-24918630(-)::chr5:24918618-24918630(-) aggaggaagaag >mm10_chr5:24918633-24918644(-)::chr5:24918632-24918644(-) aggaggaagaag >mm10_chr5:24918656-24918667(-)::chr5:24918655-24918667(-) GTAGGGAAGTGG >mm10_chr5:24951978-24951989(-)::chr5:24951977-24951989(-) AGCAGGAAGTGC >mm10_chr5:24961145-24961156(+)::chr5:24961144-24961156(+) TGAAGGAACTAT >mm10_chr5:24964138-24964149(-)::chr5:24964137-24964149(-) AAGAGGAAGACA >mm10_chr5:24964190-24964201(-)::chr5:24964189-24964201(-) ACAAGGAAGCTC >mm10_chr5:25029198-25029209(+)::chr5:25029197-25029209(+) CCAAGGAAGTGT >mm10_chr5:25045090-25045101(-)::chr5:25045089-25045101(-) TGGAGGAAGGAG >mm10_chr5:25045135-25045146(-)::chr5:25045134-25045146(-) AGAAGGAAGTGG >mm10_chr5:25049745-25049756(+)::chr5:25049744-25049756(+) GCCAGGAAGAAA >mm10_chr5:25049802-25049813(-)::chr5:25049801-25049813(-) GGAAGGAAGGTC >mm10_chr5:25049806-25049817(-)::chr5:25049805-25049817(-) gaAAGGAAGGAA >mm10_chr5:25049826-25049837(-)::chr5:25049825-25049837(-) atcaggaagcta >mm10_chr5:25081752-25081763(-)::chr5:25081751-25081763(-) AAGAGGAAGTAG >mm10_chr5:25087056-25087067(-)::chr5:25087055-25087067(-) GAAAGGAAGGTC >mm10_chr5:25160540-25160551(+)::chr5:25160539-25160551(+) TGAAGGAAGAAG >mm10_chr5:25160547-25160558(+)::chr5:25160546-25160558(+) AGAAGGAAACAC >mm10_chr5:25243303-25243314(-)::chr5:25243302-25243314(-) GGCAGGAAGGTG >mm10_chr5:25243365-25243376(-)::chr5:25243364-25243376(-) AGGAGGAAGTGA >mm10_chr5:25249384-25249395(-)::chr5:25249383-25249395(-) agaaggaaggcc >mm10_chr5:25249438-25249449(-)::chr5:25249437-25249449(-) ggcaggaagtag >mm10_chr5:25250091-25250102(+)::chr5:25250090-25250102(+) AGGAGGAAGTCC >mm10_chr5:25314103-25314114(+)::chr5:25314102-25314114(+) TACAGGAAGGTT >mm10_chr5:25353362-25353373(-)::chr5:25353361-25353373(-) AGGAGGAAGTGG >mm10_chr5:25426150-25426161(+)::chr5:25426149-25426161(+) GGGAGGAAGTAG >mm10_chr5:25426171-25426182(+)::chr5:25426170-25426182(+) AGGAGGAAGGCT >mm10_chr5:25472276-25472287(+)::chr5:25472275-25472287(+) tgaaggaagCTC >mm10_chr5:25472291-25472302(+)::chr5:25472290-25472302(+) TAAAGAAAGTAG >mm10_chr5:25497457-25497468(-)::chr5:25497456-25497468(-) TGCAGGAAGAAG >mm10_chr5:25527601-25527612(+)::chr5:25527600-25527612(+) TGAAGGAAGTAA >mm10_chr5:25529470-25529481(+)::chr5:25529469-25529481(+) GGAGGGAAGCCG >mm10_chr5:25534233-25534244(+)::chr5:25534232-25534244(+) AAAAGGAAATAA >mm10_chr5:25534279-25534290(+)::chr5:25534278-25534290(+) GGAAGGAAATGA >mm10_chr5:25537315-25537326(+)::chr5:25537314-25537326(+) TCAAggatgtgg >mm10_chr5:25537362-25537373(+)::chr5:25537361-25537373(+) agcaggaaggca >mm10_chr5:25610043-25610054(+)::chr5:25610042-25610054(+) GAAAAGAAGTCG >mm10_chr5:25610074-25610085(-)::chr5:25610073-25610085(-) AGCAGGAAGGGA >mm10_chr5:25613264-25613275(-)::chr5:25613263-25613275(-) AAGAGGAAGCAG >mm10_chr5:25613270-25613281(-)::chr5:25613269-25613281(-) ACAAGGAAGAGG >mm10_chr5:25712997-25713008(-)::chr5:25712996-25713008(-) GAAAGGAGGTAT >mm10_chr5:25751763-25751774(+)::chr5:25751762-25751774(+) GAGAGGAAGTTC >mm10_chr5:25806830-25806841(-)::chr5:25806829-25806841(-) AACAGGAAATAA >mm10_chr5:26282553-26282564(+)::chr5:26282552-26282564(+) ACAAGGAAGTCA >mm10_chr5:26759762-26759773(-)::chr5:26759761-26759773(-) AGCAGGAAGTGC >mm10_chr5:26759826-26759837(-)::chr5:26759825-26759837(-) AACAGGAAGAAT >mm10_chr5:26835492-26835503(+)::chr5:26835491-26835503(+) aggaggaaggtc >mm10_chr5:26835527-26835538(-)::chr5:26835526-26835538(-) tggaggaagtgt >mm10_chr5:26845032-26845043(+)::chr5:26845031-26845043(+) TGAAGGAAATAA >mm10_chr5:26845049-26845060(+)::chr5:26845048-26845060(+) TAGAGGAAGTGA >mm10_chr5:27009030-27009041(+)::chr5:27009029-27009041(+) AGAAGGAAATAG >mm10_chr5:27009890-27009901(+)::chr5:27009889-27009901(+) TCGGGGAAGTTG >mm10_chr5:27009903-27009914(+)::chr5:27009902-27009914(+) AGGGGGAAGTGT >mm10_chr5:27009945-27009956(+)::chr5:27009944-27009956(+) ATAAGGAACTAT >mm10_chr5:27009957-27009968(-)::chr5:27009956-27009968(-) AGAAGGAAACAG >mm10_chr5:27081765-27081776(+)::chr5:27081764-27081776(+) taaaggatgttg >mm10_chr5:27081801-27081812(+)::chr5:27081800-27081812(+) agaaggaaaagg >mm10_chr5:27441784-27441795(+)::chr5:27441783-27441795(+) ACCAGGAAATGG >mm10_chr5:27441808-27441819(-)::chr5:27441807-27441819(-) agcaggaaggca >mm10_chr5:27791746-27791757(+)::chr5:27791745-27791757(+) GCACGGAAGGGG >mm10_chr5:27822637-27822648(-)::chr5:27822636-27822648(-) GACAGGAAGAAG >mm10_chr5:27822649-27822660(-)::chr5:27822648-27822660(-) AACAGGAAGATG >mm10_chr5:28038451-28038462(+)::chr5:28038450-28038462(+) GTCAGGAAGTGG >mm10_chr5:28071923-28071934(+)::chr5:28071922-28071934(+) AGGCGGAAGTTG >mm10_chr5:28080029-28080040(+)::chr5:28080028-28080040(+) ATAAGGAAAAGG >mm10_chr5:28080075-28080086(+)::chr5:28080074-28080086(+) TTAAGAAAGTAG >mm10_chr5:28178544-28178555(-)::chr5:28178543-28178555(-) GGAAGGAAGACA >mm10_chr5:28187248-28187259(-)::chr5:28187247-28187259(-) GAAAGGAAGTAG >mm10_chr5:28259582-28259593(+)::chr5:28259581-28259593(+) aaagggaagtgt >mm10_chr5:28259595-28259606(+)::chr5:28259594-28259606(+) aggaggaagtat >mm10_chr5:28259621-28259632(+)::chr5:28259620-28259632(+) aggaggaagtgt >mm10_chr5:28259634-28259645(+)::chr5:28259633-28259645(+) agggggaagtgt >mm10_chr5:28269873-28269884(+)::chr5:28269872-28269884(+) tggaggaagtgg >mm10_chr5:28269923-28269934(-)::chr5:28269922-28269934(-) aacaggaagtag >mm10_chr5:28315770-28315781(+)::chr5:28315769-28315781(+) tagaggaagtta >mm10_chr5:28321933-28321944(-)::chr5:28321932-28321944(-) AAGAGGAAGTGA >mm10_chr5:28413666-28413677(+)::chr5:28413665-28413677(+) TCAAGGAAGCTG >mm10_chr5:28498448-28498459(-)::chr5:28498447-28498459(-) tcaaggaaatgc >mm10_chr5:28498476-28498487(-)::chr5:28498475-28498487(-) atgaggaagtac >mm10_chr5:28501163-28501174(-)::chr5:28501162-28501174(-) TTAAGGAAATAA >mm10_chr5:28521234-28521245(+)::chr5:28521233-28521245(+) TCCAGGAAGAGA >mm10_chr5:28525708-28525719(-)::chr5:28525707-28525719(-) ACTAGGAAGGGA >mm10_chr5:28734196-28734207(+)::chr5:28734195-28734207(+) ttcaGGAAGTCT >mm10_chr5:28734216-28734227(+)::chr5:28734215-28734227(+) GGGGGGAAGTAC >mm10_chr5:28778807-28778818(+)::chr5:28778806-28778818(+) aaaaggaagttc >mm10_chr5:28778868-28778879(+)::chr5:28778867-28778879(+) accaggaagtac >mm10_chr5:28796564-28796575(+)::chr5:28796563-28796575(+) ACTAGGAAGACA >mm10_chr5:28797393-28797404(+)::chr5:28797392-28797404(+) GCCAGGAAGTTT >mm10_chr5:28798487-28798498(+)::chr5:28798486-28798498(+) CCCAGGAAGTGG >mm10_chr5:28948837-28948848(-)::chr5:28948836-28948848(-) AGCAGGAAGTGG >mm10_chr5:29186806-29186817(+)::chr5:29186805-29186817(+) acagggaagtca >mm10_chr5:29258478-29258489(+)::chr5:29258477-29258489(+) ATGAGGAAGTTA >mm10_chr5:29293368-29293379(+)::chr5:29293367-29293379(+) ATCAGGAAGGGC >mm10_chr5:29308876-29308887(+)::chr5:29308875-29308887(+) ataaggcagttt >mm10_chr5:29334504-29334515(-)::chr5:29334503-29334515(-) ggcaggaagtgc >mm10_chr5:29334562-29334573(+)::chr5:29334561-29334573(+) tcaaggaaataa >mm10_chr5:29334586-29334597(+)::chr5:29334585-29334597(+) taaaggaagaca >mm10_chr5:29377744-29377755(+)::chr5:29377743-29377755(+) GGAAGGAAGACA >mm10_chr5:29385724-29385735(+)::chr5:29385723-29385735(+) aagaggaagtag >mm10_chr5:29388345-29388356(+)::chr5:29388344-29388356(+) GGCAGGAAGTAG >mm10_chr5:29388405-29388416(+)::chr5:29388404-29388416(+) GCAAAGAAGTAT >mm10_chr5:29394931-29394942(-)::chr5:29394930-29394942(-) ACCAGGAAGCAA >mm10_chr5:29434691-29434702(+)::chr5:29434690-29434702(+) TCCCGGAAGTTA >mm10_chr5:29506804-29506815(+)::chr5:29506803-29506815(+) ACAAAGAAGTGG >mm10_chr5:29569060-29569071(+)::chr5:29569059-29569071(+) GGAAGGATGTTG >mm10_chr5:29588453-29588464(+)::chr5:29588452-29588464(+) TTAAGGAAGTTC >mm10_chr5:29628715-29628726(-)::chr5:29628714-29628726(-) atcaggaagtca >mm10_chr5:29696379-29696390(-)::chr5:29696378-29696390(-) ATAAGGAAGTCC >mm10_chr5:29696411-29696422(-)::chr5:29696410-29696422(-) GGAAGGAAGTGC >mm10_chr5:29696415-29696426(-)::chr5:29696414-29696426(-) GGAAGGAAGGAA >mm10_chr5:29731082-29731093(-)::chr5:29731081-29731093(-) TCAAGGAAGGAG >mm10_chr5:29731117-29731128(-)::chr5:29731116-29731128(-) ATGAGGAAGAAG >mm10_chr5:29735680-29735691(-)::chr5:29735679-29735691(-) GGGAGGAAGGAC >mm10_chr5:29735929-29735940(+)::chr5:29735928-29735940(+) GGGCGGAAGTCG >mm10_chr5:29746424-29746435(-)::chr5:29746423-29746435(-) TTAAGGAACTAT >mm10_chr5:29775688-29775699(-)::chr5:29775687-29775699(-) GGTAGGAAGAAC >mm10_chr5:29775715-29775726(-)::chr5:29775714-29775726(-) AGTAGGAAATGC >mm10_chr5:29854501-29854512(-)::chr5:29854500-29854512(-) AATAGGAAGTGT >mm10_chr5:29879729-29879740(-)::chr5:29879728-29879740(-) ACAAGGAAAATG >mm10_chr5:29879936-29879947(+)::chr5:29879935-29879947(+) GGGAGGAAGTGT >mm10_chr5:29892506-29892517(-)::chr5:29892505-29892517(-) ATCAGGAAGCAC >mm10_chr5:29892518-29892529(-)::chr5:29892517-29892529(-) AGGAGGAAGTTG >mm10_chr5:29921973-29921984(-)::chr5:29921972-29921984(-) acaaggaaatgg >mm10_chr5:29935033-29935044(+)::chr5:29935032-29935044(+) CAGAGGAAGTGA >mm10_chr5:29935092-29935103(+)::chr5:29935091-29935103(+) ATAAGGAACAGG >mm10_chr5:29947513-29947524(-)::chr5:29947512-29947524(-) ACAAGGAAGTGC >mm10_chr5:29947524-29947535(-)::chr5:29947523-29947535(-) AACAGGAAATGA >mm10_chr5:30013207-30013218(+)::chr5:30013206-30013218(+) GCAAGTAAGTGA >mm10_chr5:30013221-30013232(-)::chr5:30013220-30013232(-) GCAAGGAACTGC >mm10_chr5:30036032-30036043(+)::chr5:30036031-30036043(+) acaaggaagtaa >mm10_chr5:30073627-30073638(-)::chr5:30073626-30073638(-) AGCAGGAAGAGG >mm10_chr5:30114471-30114482(-)::chr5:30114470-30114482(-) AGCAGGAAGTGC >mm10_chr5:30146625-30146636(-)::chr5:30146624-30146636(-) TGCAGGAAGCAG >mm10_chr5:30170399-30170410(-)::chr5:30170398-30170410(-) CCGAGGAAGTAG >mm10_chr5:30253660-30253671(-)::chr5:30253659-30253671(-) AGGAGGAAGGAG >mm10_chr5:30271987-30271998(-)::chr5:30271986-30271998(-) AAAGGGAAGTGA >mm10_chr5:30370168-30370179(+)::chr5:30370167-30370179(+) AGGAGGAAGAGC >mm10_chr5:30469729-30469740(+)::chr5:30469728-30469740(+) TACAGGAAGAAC >mm10_chr5:30472423-30472434(+)::chr5:30472422-30472434(+) ggcaggaagtga >mm10_chr5:30493677-30493688(+)::chr5:30493676-30493688(+) ggaaggaagagg >mm10_chr5:30493683-30493694(+)::chr5:30493682-30493694(+) aagaggaagagg >mm10_chr5:30493692-30493703(+)::chr5:30493691-30493703(+) aggaggaaggag >mm10_chr5:30493709-30493720(+)::chr5:30493708-30493720(+) GGGAGGAAGGAA >mm10_chr5:30493713-30493724(+)::chr5:30493712-30493724(+) GGAAGGAAGAAG >mm10_chr5:30516528-30516539(-)::chr5:30516527-30516539(-) ATCAGGAAGCAG >mm10_chr5:30550579-30550590(-)::chr5:30550578-30550590(-) ATGAGGAAATTG >mm10_chr5:30577882-30577893(-)::chr5:30577881-30577893(-) ATGAGGAAGGGA >mm10_chr5:30606633-30606644(+)::chr5:30606632-30606644(+) ACCAGGAAGGAA >mm10_chr5:30606637-30606648(+)::chr5:30606636-30606648(+) GGAAGGAAAGTA >mm10_chr5:30649699-30649710(-)::chr5:30649698-30649710(-) CAAAGGAAGGGC >mm10_chr5:30666750-30666761(-)::chr5:30666749-30666761(-) GGAAGGAAGGCA >mm10_chr5:30814514-30814525(-)::chr5:30814513-30814525(-) GTACGGAAGGAA >mm10_chr5:30823267-30823278(-)::chr5:30823266-30823278(-) GCAGGGAAGTCT >mm10_chr5:30823309-30823320(-)::chr5:30823308-30823320(-) TCAAGGAAGGGG >mm10_chr5:30828131-30828142(-)::chr5:30828130-30828142(-) AGGAGGAAGAGC >mm10_chr5:30849815-30849826(-)::chr5:30849814-30849826(-) ataaggaaaACA >mm10_chr5:30849876-30849887(-)::chr5:30849875-30849887(-) aagaggaaggag >mm10_chr5:30849882-30849893(-)::chr5:30849881-30849893(-) aagaggaagagg >mm10_chr5:30888490-30888501(+)::chr5:30888489-30888501(+) TACAGGAAGGTA >mm10_chr5:30894020-30894031(+)::chr5:30894019-30894031(+) AGAGGGAAGCGG >mm10_chr5:30907761-30907772(-)::chr5:30907760-30907772(-) AGCCGGAAGTGC >mm10_chr5:30913064-30913075(-)::chr5:30913063-30913075(-) AGGAGGAAGGCA >mm10_chr5:31047150-31047161(+)::chr5:31047149-31047161(+) TAGAGGAAGAAG >mm10_chr5:31047823-31047834(+)::chr5:31047822-31047834(+) TTAAGGAAGCGT >mm10_chr5:31047845-31047856(-)::chr5:31047844-31047856(-) GCAAGGACGCGA >mm10_chr5:31102748-31102759(+)::chr5:31102747-31102759(+) acaaggaagaag >mm10_chr5:31102755-31102766(+)::chr5:31102754-31102766(+) agaaggaagcct >mm10_chr5:31154244-31154255(-)::chr5:31154243-31154255(-) ATACGGAAGTCC >mm10_chr5:31154265-31154276(-)::chr5:31154264-31154276(-) AGACGGAAGAGG >mm10_chr5:31193129-31193140(-)::chr5:31193128-31193140(-) CGCCGGAAGTTG >mm10_chr5:31194110-31194121(-)::chr5:31194109-31194121(-) ATAGGGAAGTAC >mm10_chr5:31194126-31194137(-)::chr5:31194125-31194137(-) GGAAGGATGTGT >mm10_chr5:31194130-31194141(-)::chr5:31194129-31194141(-) AGAAGGAAGGAT >mm10_chr5:31196001-31196012(-)::chr5:31196000-31196012(-) ACAAGGAAGAGA >mm10_chr5:31198556-31198567(-)::chr5:31198555-31198567(-) AATAGGAAGAGA >mm10_chr5:31198615-31198626(-)::chr5:31198614-31198626(-) AAAGGGAAGGTA >mm10_chr5:31202432-31202443(+)::chr5:31202431-31202443(+) ACCAGGAAGGTG >mm10_chr5:31202468-31202479(+)::chr5:31202467-31202479(+) ACTAGGAAGTCG >mm10_chr5:31213062-31213073(+)::chr5:31213061-31213073(+) agaaggaaggag >mm10_chr5:31213072-31213083(+)::chr5:31213071-31213083(+) agaaggaagagg >mm10_chr5:31213081-31213092(+)::chr5:31213080-31213092(+) aggaggaagagg >mm10_chr5:31220670-31220681(-)::chr5:31220669-31220681(-) CTAAGGAAATGA >mm10_chr5:31228876-31228887(+)::chr5:31228875-31228887(+) AAGAGGAAGAGG >mm10_chr5:31228882-31228893(+)::chr5:31228881-31228893(+) AAGAGGAAGTAA >mm10_chr5:31228916-31228927(+)::chr5:31228915-31228927(+) AGGAGGAAGAGA >mm10_chr5:31228924-31228935(+)::chr5:31228923-31228935(+) GAGAGGAAGAAC >mm10_chr5:31241493-31241504(-)::chr5:31241492-31241504(-) GGAAGGAAGCGG >mm10_chr5:31252763-31252774(+)::chr5:31252762-31252774(+) TGCAGGAAGGAA >mm10_chr5:31252767-31252778(+)::chr5:31252766-31252778(+) GGAAGGAAGGGT >mm10_chr5:31252790-31252801(+)::chr5:31252789-31252801(+) GTAAGGAAGAGG >mm10_chr5:31252802-31252813(+)::chr5:31252801-31252813(+) AACAGGAAGGGC >mm10_chr5:31254455-31254466(-)::chr5:31254454-31254466(-) TACAGGAAGTTG >mm10_chr5:31451380-31451391(+)::chr5:31451379-31451391(+) TCCAGGAAGTCA >mm10_chr5:31451428-31451439(-)::chr5:31451427-31451439(-) TCAAGGAAGTAA >mm10_chr5:31452418-31452429(+)::chr5:31452417-31452429(+) AGGCGGAAGTAG >mm10_chr5:31463711-31463722(-)::chr5:31463710-31463722(-) agaaggaagaga >mm10_chr5:31463730-31463741(-)::chr5:31463729-31463741(-) aagaggaagaag >mm10_chr5:31468043-31468054(+)::chr5:31468042-31468054(+) ATGAGGAAGTAG >mm10_chr5:31508489-31508500(-)::chr5:31508488-31508500(-) AGGAGGAAGAAG >mm10_chr5:31508505-31508516(+)::chr5:31508504-31508516(+) AGCAGGAAGAAT >mm10_chr5:31555089-31555100(+)::chr5:31555088-31555100(+) AGGAGGAAGAAC >mm10_chr5:31562515-31562526(+)::chr5:31562514-31562526(+) TGGAGGAAGAGG >mm10_chr5:31563845-31563856(+)::chr5:31563844-31563856(+) TGAAGGAAGTTG >mm10_chr5:31582079-31582090(+)::chr5:31582078-31582090(+) AGCAGGAAGTCA >mm10_chr5:31596998-31597009(+)::chr5:31596997-31597009(+) GGAAGGAAGAAG >mm10_chr5:31610887-31610898(-)::chr5:31610886-31610898(-) ATGAGGAAGTCT >mm10_chr5:31664499-31664510(+)::chr5:31664498-31664510(+) acaaggaagcta >mm10_chr5:31727671-31727682(+)::chr5:31727670-31727682(+) AACAGGAAGCTA >mm10_chr5:31758919-31758930(-)::chr5:31758918-31758930(-) ACAGGGAAGTGC >mm10_chr5:31758935-31758946(+)::chr5:31758934-31758946(+) GTCAGGAAGAGG >mm10_chr5:31766914-31766925(+)::chr5:31766913-31766925(+) AAACGGAAGCAC >mm10_chr5:31772759-31772770(+)::chr5:31772758-31772770(+) aagaggaagagg >mm10_chr5:31772765-31772776(+)::chr5:31772764-31772776(+) aagaggaagtgt >mm10_chr5:31831650-31831661(-)::chr5:31831649-31831661(-) GTAAGGAACTCT >mm10_chr5:31870989-31871000(+)::chr5:31870988-31871000(+) acaaggaagtga >mm10_chr5:31876682-31876693(+)::chr5:31876681-31876693(+) AAGAGGAAATGG >mm10_chr5:31908142-31908153(-)::chr5:31908141-31908153(-) GGAAGGAAGACT >mm10_chr5:31908146-31908157(-)::chr5:31908145-31908157(-) AACAGGAAGGAA >mm10_chr5:31908158-31908169(-)::chr5:31908157-31908169(-) AAGAGGAAGTGA >mm10_chr5:31908867-31908878(+)::chr5:31908866-31908878(+) ACTAGGAAGTCC >mm10_chr5:31931835-31931846(-)::chr5:31931834-31931846(-) ACCAGGAAGGAC >mm10_chr5:31956507-31956518(-)::chr5:31956506-31956518(-) ATGAGGAAGCAA >mm10_chr5:31960136-31960147(-)::chr5:31960135-31960147(-) aacaggaagagc >mm10_chr5:31972557-31972568(+)::chr5:31972556-31972568(+) gccaggaagtgc >mm10_chr5:32017339-32017350(-)::chr5:32017338-32017350(-) aggaggaagaaa >mm10_chr5:32017346-32017357(-)::chr5:32017345-32017357(-) gcaaggaaggag >mm10_chr5:32017375-32017386(-)::chr5:32017374-32017386(-) AGAAGGAAGAAA >mm10_chr5:32019611-32019622(+)::chr5:32019610-32019622(+) tccaggaagagg >mm10_chr5:32019659-32019670(+)::chr5:32019658-32019670(+) TGAAGGAAATAG >mm10_chr5:32111950-32111961(+)::chr5:32111949-32111961(+) ATGAGGAAGCAT >mm10_chr5:32135704-32135715(-)::chr5:32135703-32135715(-) aggaggaagagg >mm10_chr5:32138585-32138596(+)::chr5:32138584-32138596(+) TGAAGGAAGAGA >mm10_chr5:32144618-32144629(-)::chr5:32144617-32144629(-) TCAGGGAAGTTG >mm10_chr5:32144939-32144950(-)::chr5:32144938-32144950(-) AAAAGGAAATCC >mm10_chr5:32144983-32144994(+)::chr5:32144982-32144994(+) AGAAGGAAGGCT >mm10_chr5:32149876-32149887(+)::chr5:32149875-32149887(+) aggaggaagaag >mm10_chr5:32149885-32149896(+)::chr5:32149884-32149896(+) aagaggaagagg >mm10_chr5:32150018-32150029(+)::chr5:32150017-32150029(+) aggaggaagagg >mm10_chr5:32150024-32150035(+)::chr5:32150023-32150035(+) aagaggaagagg >mm10_chr5:32150033-32150044(+)::chr5:32150032-32150044(+) aggaggaagagg >mm10_chr5:32150039-32150050(+)::chr5:32150038-32150050(+) aagaggaagagg >mm10_chr5:32172455-32172466(-)::chr5:32172454-32172466(-) ACAAGGAAGCTG >mm10_chr5:32172688-32172699(-)::chr5:32172687-32172699(-) ATGAGGAAGCAA >mm10_chr5:32176823-32176834(-)::chr5:32176822-32176834(-) aggaggaagagg >mm10_chr5:32176880-32176891(-)::chr5:32176879-32176891(-) aaaaggatgtct >mm10_chr5:32199347-32199358(-)::chr5:32199346-32199358(-) TGCAGGAAGTAC >mm10_chr5:32199397-32199408(-)::chr5:32199396-32199408(-) ACCAGGAAGAAG >mm10_chr5:32252977-32252988(-)::chr5:32252976-32252988(-) acaaggaaaaga >mm10_chr5:32257457-32257468(+)::chr5:32257456-32257468(+) tccaggaagaaa >mm10_chr5:32257461-32257472(+)::chr5:32257460-32257472(+) ggaagaaagtga >mm10_chr5:32346163-32346174(-)::chr5:32346162-32346174(-) CAGAGGAAGCGA >mm10_chr5:32393438-32393449(+)::chr5:32393437-32393449(+) GGAAGGAAGGGA >mm10_chr5:32393443-32393454(+)::chr5:32393442-32393454(+) GAAGGGAAGTTT >mm10_chr5:32398032-32398043(-)::chr5:32398031-32398043(-) GGAAGGAATTGT >mm10_chr5:32398036-32398047(-)::chr5:32398035-32398047(-) AGGAGGAAGGAA >mm10_chr5:32398936-32398947(-)::chr5:32398935-32398947(-) GGCAGGAAGAAA >mm10_chr5:32398974-32398985(-)::chr5:32398973-32398985(-) TACAGGAAGTCA >mm10_chr5:32398983-32398994(-)::chr5:32398982-32398994(-) GCAAGGAAGTAC >mm10_chr5:32403269-32403280(-)::chr5:32403268-32403280(-) ataaggaagctg >mm10_chr5:32408159-32408170(+)::chr5:32408158-32408170(+) ACAAGGAAAAAG >mm10_chr5:32408166-32408177(+)::chr5:32408165-32408177(+) AAAAGGAAGGGG >mm10_chr5:32409497-32409508(+)::chr5:32409496-32409508(+) accaggaagcag >mm10_chr5:32411119-32411130(+)::chr5:32411118-32411130(+) ATGAGGAAGTAA >mm10_chr5:32412430-32412441(+)::chr5:32412429-32412441(+) aacaggaactag >mm10_chr5:32412458-32412469(-)::chr5:32412457-32412469(-) tggaggaagaga >mm10_chr5:32438330-32438341(+)::chr5:32438329-32438341(+) TGAAGGAAATGA >mm10_chr5:32458768-32458779(+)::chr5:32458767-32458779(+) GGAAGGAAGGTC >mm10_chr5:32464822-32464833(-)::chr5:32464821-32464833(-) GCAAGGAAATTT >mm10_chr5:32464842-32464853(+)::chr5:32464841-32464853(+) ATAAGGAAGGAC >mm10_chr5:32470540-32470551(-)::chr5:32470539-32470551(-) TCCAGGAAATAG >mm10_chr5:32470598-32470609(+)::chr5:32470597-32470609(+) ACAAGGAAGAGA >mm10_chr5:32496140-32496151(-)::chr5:32496139-32496151(-) TACAGGAAGATT >mm10_chr5:32496162-32496173(-)::chr5:32496161-32496173(-) TCCAGGAAATAG >mm10_chr5:32594466-32594477(+)::chr5:32594465-32594477(+) TACAGGAAGTGT >mm10_chr5:32594513-32594524(-)::chr5:32594512-32594524(-) AAAAGGAAGTCT >mm10_chr5:32714056-32714067(-)::chr5:32714055-32714067(-) AACAGGAAGTGA >mm10_chr5:32714078-32714089(-)::chr5:32714077-32714089(-) GACAGGAAGTTC >mm10_chr5:32714093-32714104(+)::chr5:32714092-32714104(+) CGCAGGAAGTTA >mm10_chr5:32714131-32714142(-)::chr5:32714130-32714142(-) GGCAGGAAATGA >mm10_chr5:32726402-32726413(-)::chr5:32726401-32726413(-) TCCAGGAAGTAG >mm10_chr5:32761876-32761887(-)::chr5:32761875-32761887(-) aagaggaagaag >mm10_chr5:32761896-32761907(-)::chr5:32761895-32761907(-) aacaggaagtta >mm10_chr5:32769133-32769144(+)::chr5:32769132-32769144(+) ACAAGGAAGAGA >mm10_chr5:32847873-32847884(+)::chr5:32847872-32847884(+) aggaggaagagg >mm10_chr5:32847879-32847890(+)::chr5:32847878-32847890(+) aagaggaagagg >mm10_chr5:32847885-32847896(+)::chr5:32847884-32847896(+) aagaggaagagg >mm10_chr5:32847891-32847902(+)::chr5:32847890-32847902(+) aagaggaagagg >mm10_chr5:32847897-32847908(+)::chr5:32847896-32847908(+) aagaggaagagg >mm10_chr5:32854257-32854268(-)::chr5:32854256-32854268(-) ATGAGGAAGTGC >mm10_chr5:32863621-32863632(+)::chr5:32863620-32863632(+) AGGAGGAAGTGG >mm10_chr5:32930913-32930924(-)::chr5:32930912-32930924(-) TGCAGGAAGTCT >mm10_chr5:33033375-33033386(+)::chr5:33033374-33033386(+) GTTAGGAAGTAG >mm10_chr5:33087093-33087104(+)::chr5:33087092-33087104(+) aggaggaagcat >mm10_chr5:33105115-33105126(+)::chr5:33105114-33105126(+) AGAGGGAAGTAT >mm10_chr5:33105840-33105851(+)::chr5:33105839-33105851(+) ACGAGGAACTTG >mm10_chr5:33121656-33121667(+)::chr5:33121655-33121667(+) AGCAGGAATTAT >mm10_chr5:33121678-33121689(+)::chr5:33121677-33121689(+) TTCAGGAAGCGC >mm10_chr5:33251084-33251095(+)::chr5:33251083-33251095(+) AAGAGGAAGTGA >mm10_chr5:33273919-33273930(-)::chr5:33273918-33273930(-) ATAAGGAACTGT >mm10_chr5:33273927-33273938(-)::chr5:33273926-33273938(-) AGCAGGAAATAA >mm10_chr5:33273984-33273995(+)::chr5:33273983-33273995(+) ATAAGGAAGAAG >mm10_chr5:33273991-33274002(+)::chr5:33273990-33274002(+) AGAAGGCAGTGC >mm10_chr5:33335384-33335395(+)::chr5:33335383-33335395(+) ATGAGGAAGCCG >mm10_chr5:33372701-33372712(-)::chr5:33372700-33372712(-) ACAGGGAAATAA >mm10_chr5:33372719-33372730(-)::chr5:33372718-33372730(-) GGAAGGAAACGG >mm10_chr5:33372723-33372734(-)::chr5:33372722-33372734(-) AAAAGGAAGGAA >mm10_chr5:33438385-33438396(-)::chr5:33438384-33438396(-) GGCAGGAAGCCG >mm10_chr5:33604517-33604528(+)::chr5:33604516-33604528(+) atcaggaagttt >mm10_chr5:33628824-33628835(+)::chr5:33628823-33628835(+) CACAGGAAGTCT >mm10_chr5:33681728-33681739(+)::chr5:33681727-33681739(+) AGCAGGAAGCGC >mm10_chr5:33706404-33706415(+)::chr5:33706403-33706415(+) ACCAGGAAGTAC >mm10_chr5:33761433-33761444(+)::chr5:33761432-33761444(+) gaaaggaagagg >mm10_chr5:33761439-33761450(+)::chr5:33761438-33761450(+) aagaggaaggag >mm10_chr5:33761504-33761515(+)::chr5:33761503-33761515(+) AGAAGGAAGGAA >mm10_chr5:33761508-33761519(+)::chr5:33761507-33761519(+) GGAAGGAAGAGG >mm10_chr5:33761515-33761526(+)::chr5:33761514-33761526(+) AGAGGGAAGAAA >mm10_chr5:33782404-33782415(+)::chr5:33782403-33782415(+) GCACGGAAGGGG >mm10_chr5:33921114-33921125(-)::chr5:33921113-33921125(-) CTGAGGAAGTCA >mm10_chr5:33921123-33921134(+)::chr5:33921122-33921134(+) CAGAGGAAGTTT >mm10_chr5:33932663-33932674(+)::chr5:33932662-33932674(+) GAGAGGAAGTGG >mm10_chr5:33933035-33933046(+)::chr5:33933034-33933046(+) AGAAGGAAGAAC >mm10_chr5:33954571-33954582(+)::chr5:33954570-33954582(+) agaaggaaaagg >mm10_chr5:33954626-33954637(+)::chr5:33954625-33954637(+) aagaggaaggac >mm10_chr5:33954640-33954651(+)::chr5:33954639-33954651(+) aggaggaagagg >mm10_chr5:33961928-33961939(-)::chr5:33961927-33961939(-) TCCAGGAAGTGT >mm10_chr5:33961959-33961970(+)::chr5:33961958-33961970(+) AAGAGGAAGTCT >mm10_chr5:33969870-33969881(+)::chr5:33969869-33969881(+) CTAAGGAAGTGC >mm10_chr5:34161827-34161838(+)::chr5:34161826-34161838(+) aggaggaagagg >mm10_chr5:34161848-34161859(+)::chr5:34161847-34161859(+) aagaggaagagg >mm10_chr5:34161857-34161868(+)::chr5:34161856-34161868(+) aggaggaaggag >mm10_chr5:34182688-34182699(-)::chr5:34182687-34182699(-) GGAAGGAAGGAA >mm10_chr5:34182715-34182726(-)::chr5:34182714-34182726(-) TGAAGGAAGGGC >mm10_chr5:34188140-34188151(+)::chr5:34188139-34188151(+) AGGAGGACGTGG >mm10_chr5:34232021-34232032(+)::chr5:34232020-34232032(+) AGCAGGAAGTTC >mm10_chr5:34233903-34233914(+)::chr5:34233902-34233914(+) ACACGGAAATCA >mm10_chr5:34233947-34233958(-)::chr5:34233946-34233958(-) AGAAGGAAGTAA >mm10_chr5:34242416-34242427(+)::chr5:34242415-34242427(+) AGGAGGAAATGA >mm10_chr5:34405139-34405150(+)::chr5:34405138-34405150(+) ggcaggaagaca >mm10_chr5:34411004-34411015(-)::chr5:34411003-34411015(-) ATAAGGAAGCAG >mm10_chr5:34438848-34438859(-)::chr5:34438847-34438859(-) AGAAGGAAGTAA >mm10_chr5:34444052-34444063(-)::chr5:34444051-34444063(-) TGCAGGAAGCAG >mm10_chr5:34444090-34444101(+)::chr5:34444089-34444101(+) ggcaggaagttc >mm10_chr5:34444104-34444115(-)::chr5:34444103-34444115(-) aacaggaagaat >mm10_chr5:34473883-34473894(-)::chr5:34473882-34473894(-) CGGAGGAAGTAT >mm10_chr5:34514350-34514361(-)::chr5:34514349-34514361(-) AAGAGGAAGGAG >mm10_chr5:34528874-34528885(-)::chr5:34528873-34528885(-) AAAGGGAAGTAG >mm10_chr5:34547842-34547853(-)::chr5:34547841-34547853(-) agccggaagtaa >mm10_chr5:34549101-34549112(+)::chr5:34549100-34549112(+) AGAAGGAAGGAT >mm10_chr5:34549114-34549125(+)::chr5:34549113-34549125(+) CCTAGGAAGTCA >mm10_chr5:34549396-34549407(-)::chr5:34549395-34549407(-) ATGAGGAAGTCA >mm10_chr5:34549417-34549428(-)::chr5:34549416-34549428(-) ACAAGGAAGCCA >mm10_chr5:34553285-34553296(-)::chr5:34553284-34553296(-) GGAAGGAAGTTC >mm10_chr5:34574381-34574392(-)::chr5:34574380-34574392(-) TCCAGGAAGTTC >mm10_chr5:34582297-34582308(-)::chr5:34582296-34582308(-) agaaggaagacc >mm10_chr5:34582304-34582315(-)::chr5:34582303-34582315(-) acaaggaagaag >mm10_chr5:34610215-34610226(-)::chr5:34610214-34610226(-) ATGAGGAAGTGT >mm10_chr5:34634908-34634919(+)::chr5:34634907-34634919(+) AACAGGAAGAGG >mm10_chr5:34634920-34634931(+)::chr5:34634919-34634931(+) TAGAGGAAGTAG >mm10_chr5:34645740-34645751(-)::chr5:34645739-34645751(-) AGAAGGAAGTGA >mm10_chr5:34695544-34695555(+)::chr5:34695543-34695555(+) ACAGGGAAGTAG >mm10_chr5:34695573-34695584(-)::chr5:34695572-34695584(-) ATAAGGAAGCTG >mm10_chr5:34695592-34695603(+)::chr5:34695591-34695603(+) GGAAGGAAGTGG >mm10_chr5:34695623-34695634(+)::chr5:34695622-34695634(+) ATAAGGAGGTGG >mm10_chr5:34761403-34761414(-)::chr5:34761402-34761414(-) GGAAGGAAGAAA >mm10_chr5:34773567-34773578(-)::chr5:34773566-34773578(-) aagaggaagagc >mm10_chr5:34773591-34773602(-)::chr5:34773590-34773602(-) agaaggaactga >mm10_chr5:34782096-34782107(-)::chr5:34782095-34782107(-) AAAAGGAAGTAA >mm10_chr5:34931750-34931761(-)::chr5:34931749-34931761(-) AACAGGAAGGGG >mm10_chr5:34931757-34931768(-)::chr5:34931756-34931768(-) ATAAGGAAACAG >mm10_chr5:34932617-34932628(-)::chr5:34932616-34932628(-) TAGAGGAAGGAA >mm10_chr5:34969400-34969411(-)::chr5:34969399-34969411(-) ACAAGGAAACAA >mm10_chr5:35029506-35029517(-)::chr5:35029505-35029517(-) CCAAGGAAGGGA >mm10_chr5:35034932-35034943(-)::chr5:35034931-35034943(-) AGTAGGAAGTTC >mm10_chr5:35036038-35036049(-)::chr5:35036037-35036049(-) AAGAGGAAATGC >mm10_chr5:35036104-35036115(+)::chr5:35036103-35036115(+) TTAAGGAACACG >mm10_chr5:35089050-35089061(-)::chr5:35089049-35089061(-) ATGAGGAAGAAA >mm10_chr5:35177542-35177553(-)::chr5:35177541-35177553(-) tgaaggaggtAG >mm10_chr5:35236139-35236150(+)::chr5:35236138-35236150(+) CAAAGGAAGCCA >mm10_chr5:35236158-35236169(+)::chr5:35236157-35236169(+) AAGAGGAAGAGG >mm10_chr5:35237563-35237574(-)::chr5:35237562-35237574(-) ACCAGGAAATGG >mm10_chr5:35237620-35237631(-)::chr5:35237619-35237631(-) TGAAGGAAGAAA >mm10_chr5:35244466-35244477(+)::chr5:35244465-35244477(+) TGGAGGAAGGAA >mm10_chr5:35244470-35244481(+)::chr5:35244469-35244481(+) GGAAGGAAGATA >mm10_chr5:35321957-35321968(+)::chr5:35321956-35321968(+) ACaaggaaggaa >mm10_chr5:35321961-35321972(+)::chr5:35321960-35321972(+) ggaaggaaggaa >mm10_chr5:35321965-35321976(+)::chr5:35321964-35321976(+) ggaaggaaggaa >mm10_chr5:35321969-35321980(+)::chr5:35321968-35321980(+) ggaaggaaggaa >mm10_chr5:35321973-35321984(+)::chr5:35321972-35321984(+) ggaaggaaggaa >mm10_chr5:35321977-35321988(+)::chr5:35321976-35321988(+) ggaaggaaggaa >mm10_chr5:35343138-35343149(-)::chr5:35343137-35343149(-) ttcaggaaggta >mm10_chr5:35343164-35343175(-)::chr5:35343163-35343175(-) gcgaggaaatgc >mm10_chr5:35343173-35343184(-)::chr5:35343172-35343184(-) acaaggaaggcg >mm10_chr5:35348929-35348940(-)::chr5:35348928-35348940(-) TGGAGGAAGTAG >mm10_chr5:35379857-35379868(+)::chr5:35379856-35379868(+) CCAAGGAAGATT >mm10_chr5:35495462-35495473(-)::chr5:35495461-35495473(-) AGAAGGAAGAAA >mm10_chr5:35495544-35495555(-)::chr5:35495543-35495555(-) ACAAGGAAGTGT >mm10_chr5:35551813-35551824(-)::chr5:35551812-35551824(-) AGGAGGAAGTGC >mm10_chr5:35565457-35565468(-)::chr5:35565456-35565468(-) AGCAGGAAGACA >mm10_chr5:35580365-35580376(+)::chr5:35580364-35580376(+) ATCAGGAAGTAG >mm10_chr5:35583630-35583641(+)::chr5:35583629-35583641(+) AGCAGGAAGGAA >mm10_chr5:35583634-35583645(+)::chr5:35583633-35583645(+) GGAAGGAAATCC >mm10_chr5:35740163-35740174(-)::chr5:35740162-35740174(-) AGGAGGAAGGTC >mm10_chr5:35877902-35877913(+)::chr5:35877901-35877913(+) ggcaggaagaga >mm10_chr5:35907706-35907717(+)::chr5:35907705-35907717(+) agcaggaagtgc >mm10_chr5:35907775-35907786(+)::chr5:35907774-35907786(+) CTAAGGAAGCAG >mm10_chr5:35908142-35908153(-)::chr5:35908141-35908153(-) TACAGGAAGACC >mm10_chr5:35909119-35909130(+)::chr5:35909118-35909130(+) AACAGGAAGTAT >mm10_chr5:35914290-35914301(+)::chr5:35914289-35914301(+) TGGAGGAAGGAA >mm10_chr5:35914294-35914305(+)::chr5:35914293-35914305(+) GGAAGGAAGTCC >mm10_chr5:35942796-35942807(-)::chr5:35942795-35942807(-) cggaggaaggtg >mm10_chr5:35943097-35943108(-)::chr5:35943096-35943108(-) AACAGGAAGGTC >mm10_chr5:35943206-35943217(-)::chr5:35943205-35943217(-) ATAGGGAAGAGG >mm10_chr5:35943691-35943702(+)::chr5:35943690-35943702(+) AGGAGGAAGCAG >mm10_chr5:35981616-35981627(+)::chr5:35981615-35981627(+) ACAAGGATGTGT >mm10_chr5:35993604-35993615(+)::chr5:35993603-35993615(+) AAGAGGAAGAGC >mm10_chr5:36038649-36038660(-)::chr5:36038648-36038660(-) acaagaaagtgc >mm10_chr5:36194702-36194713(+)::chr5:36194701-36194713(+) GTAAGGAAGATA >mm10_chr5:36194736-36194747(+)::chr5:36194735-36194747(+) gggaggaagaaa >mm10_chr5:36252742-36252753(-)::chr5:36252741-36252753(-) ggaaggaagggt >mm10_chr5:36293791-36293802(+)::chr5:36293790-36293802(+) AGCAGGAAGAAC >mm10_chr5:36315377-36315388(+)::chr5:36315376-36315388(+) GCCAGGAAGAAG >mm10_chr5:36317427-36317438(+)::chr5:36317426-36317438(+) ATGAGGAAGCAA >mm10_chr5:36326653-36326664(-)::chr5:36326652-36326664(-) agcaggaagCAA >mm10_chr5:36326660-36326671(-)::chr5:36326659-36326671(-) agcaggaagcag >mm10_chr5:36326667-36326678(-)::chr5:36326666-36326678(-) aggaggaagcag >mm10_chr5:36326674-36326685(-)::chr5:36326673-36326685(-) gagaggaaggag >mm10_chr5:36371224-36371235(+)::chr5:36371223-36371235(+) ACAGGGAAGTTC >mm10_chr5:36371229-36371240(-)::chr5:36371228-36371240(-) AGAAGGAACTTC >mm10_chr5:36375503-36375514(-)::chr5:36375502-36375514(-) ACTAGGAAGACA >mm10_chr5:36387348-36387359(+)::chr5:36387347-36387359(+) ATGAGGAAGTCA >mm10_chr5:36387383-36387394(+)::chr5:36387382-36387394(+) ACAAGGAAGAGA >mm10_chr5:36415091-36415102(-)::chr5:36415090-36415102(-) ACAAGGAAATGG >mm10_chr5:36422634-36422645(-)::chr5:36422633-36422645(-) GTGAGGAAGTCA >mm10_chr5:36422699-36422710(-)::chr5:36422698-36422710(-) AGAAGGAAGGGC >mm10_chr5:36427865-36427876(-)::chr5:36427864-36427876(-) GACAGGAAGTCT >mm10_chr5:36445383-36445394(+)::chr5:36445382-36445394(+) GCAAGGAAGCGG >mm10_chr5:36445465-36445476(+)::chr5:36445464-36445476(+) GCAAGGAAATCC >mm10_chr5:36446545-36446556(+)::chr5:36446544-36446556(+) agcaggaagaca >mm10_chr5:36454759-36454770(+)::chr5:36454758-36454770(+) ttaaggaagtct >mm10_chr5:36454774-36454785(+)::chr5:36454773-36454785(+) aggaggaaggca >mm10_chr5:36479871-36479882(-)::chr5:36479870-36479882(-) GGCAGGAAGAAA >mm10_chr5:36518482-36518493(-)::chr5:36518481-36518493(-) ACAGGGAAGTCC >mm10_chr5:36554031-36554042(-)::chr5:36554030-36554042(-) agcaggaagtgc >mm10_chr5:36563998-36564009(+)::chr5:36563997-36564009(+) CTGAGGAAGTTA >mm10_chr5:36564703-36564714(-)::chr5:36564702-36564714(-) gcagggaagtaa >mm10_chr5:36564762-36564773(-)::chr5:36564761-36564773(-) ggaaggaagaag >mm10_chr5:36564766-36564777(-)::chr5:36564765-36564777(-) ttaaggaaggaa >mm10_chr5:36565768-36565779(-)::chr5:36565767-36565779(-) agaaggaaaaga >mm10_chr5:36574318-36574329(-)::chr5:36574317-36574329(-) ggcaggaagttg >mm10_chr5:36583229-36583240(+)::chr5:36583228-36583240(+) TACAGGAAGAGG >mm10_chr5:36583276-36583287(+)::chr5:36583275-36583287(+) AGGAGGAAATCC >mm10_chr5:36592941-36592952(+)::chr5:36592940-36592952(+) ACCAGGAAGAGG >mm10_chr5:36597571-36597582(-)::chr5:36597570-36597582(-) GACAGGAAGAGG >mm10_chr5:36627438-36627449(-)::chr5:36627437-36627449(-) gggaggaagtgt >mm10_chr5:36628414-36628425(+)::chr5:36628413-36628425(+) TGAAGCAAGTAA >mm10_chr5:36681800-36681811(-)::chr5:36681799-36681811(-) agggggaagtga >mm10_chr5:36713463-36713474(+)::chr5:36713462-36713474(+) GCCAGGAAGTCC >mm10_chr5:36727226-36727237(+)::chr5:36727225-36727237(+) CACAGGAAGTCC >mm10_chr5:36727237-36727248(-)::chr5:36727236-36727248(-) GGGAGGAAGTTG >mm10_chr5:36730864-36730875(+)::chr5:36730863-36730875(+) AGAAGGAAGTGA >mm10_chr5:36748633-36748644(-)::chr5:36748632-36748644(-) TGGAGGAAGGAC >mm10_chr5:36752773-36752784(-)::chr5:36752772-36752784(-) aggaggaagagc >mm10_chr5:36752787-36752798(-)::chr5:36752786-36752798(-) gggaggaagagc >mm10_chr5:36752798-36752809(-)::chr5:36752797-36752809(-) gaaaggaaatgg >mm10_chr5:36752828-36752839(-)::chr5:36752827-36752839(-) atgaggaagtgc >mm10_chr5:36753606-36753617(+)::chr5:36753605-36753617(+) aaaaggaagttt >mm10_chr5:36792115-36792126(-)::chr5:36792114-36792126(-) TAGAGGAAGTGA >mm10_chr5:36792984-36792995(+)::chr5:36792983-36792995(+) atcaggaagtgc >mm10_chr5:36830625-36830636(-)::chr5:36830624-36830636(-) ACCCGGAAGTGG >mm10_chr5:36992126-36992137(+)::chr5:36992125-36992137(+) TACAGGAAGTGG >mm10_chr5:36992179-36992190(-)::chr5:36992178-36992190(-) AGCAGGAAATTA >mm10_chr5:37047400-37047411(-)::chr5:37047399-37047411(-) AACAGGAAGACT >mm10_chr5:37047474-37047485(-)::chr5:37047473-37047485(-) AGGAGGAAGCTA >mm10_chr5:37061787-37061798(+)::chr5:37061786-37061798(+) ATACGGAAGTCA >mm10_chr5:37093678-37093689(+)::chr5:37093677-37093689(+) CGGAGGAACTAA >mm10_chr5:37213149-37213160(-)::chr5:37213148-37213160(-) ACAAGGAAAGGA >mm10_chr5:37388923-37388934(+)::chr5:37388922-37388934(+) TGGAGGAAGTTA >mm10_chr5:37548682-37548693(+)::chr5:37548681-37548693(+) AACAGGATGTAC >mm10_chr5:37901667-37901678(-)::chr5:37901666-37901678(-) AAGAGGAAGGAG >mm10_chr5:37901690-37901701(-)::chr5:37901689-37901701(-) CCGAGGAAGACA >mm10_chr5:37905486-37905497(+)::chr5:37905485-37905497(+) AGAAGGAAGCAA >mm10_chr5:37917088-37917099(-)::chr5:37917087-37917099(-) ATGAGGAAGAAA >mm10_chr5:37972121-37972132(+)::chr5:37972120-37972132(+) GAGAGGAAGAGG >mm10_chr5:37985161-37985172(+)::chr5:37985160-37985172(+) TACAGGAAGAAC >mm10_chr5:37985185-37985196(+)::chr5:37985184-37985196(+) TCCAGGAAGTTC >mm10_chr5:37992505-37992516(+)::chr5:37992504-37992516(+) accaggaaggaa >mm10_chr5:37992509-37992520(+)::chr5:37992508-37992520(+) ggaaggaaccgc >mm10_chr5:37992536-37992547(-)::chr5:37992535-37992547(-) gctaggaagtca >mm10_chr5:38039704-38039715(+)::chr5:38039703-38039715(+) TGAAGGAAGTAA >mm10_chr5:38039708-38039719(+)::chr5:38039707-38039719(+) GGAAGTAAGTTA >mm10_chr5:38048321-38048332(+)::chr5:38048320-38048332(+) tttaggaagtga >mm10_chr5:38048341-38048352(+)::chr5:38048340-38048352(+) tggaggaagttg >mm10_chr5:38220447-38220458(-)::chr5:38220446-38220458(-) CGGCGGAAGTTG >mm10_chr5:38220462-38220473(-)::chr5:38220461-38220473(-) AGACGGAAGTGA >mm10_chr5:38260352-38260363(+)::chr5:38260351-38260363(+) CGCCGGAAGTGC >mm10_chr5:38260373-38260384(-)::chr5:38260372-38260384(-) CGACGGAAGTGA >mm10_chr5:38276569-38276580(-)::chr5:38276568-38276580(-) AAGAGGAAGCGC >mm10_chr5:38279142-38279153(-)::chr5:38279141-38279153(-) agaaggaaaagg >mm10_chr5:38279157-38279168(-)::chr5:38279156-38279168(-) aggaggaagcaa >mm10_chr5:38279191-38279202(-)::chr5:38279190-38279202(-) aagaggaaggag >mm10_chr5:38279206-38279217(-)::chr5:38279205-38279217(-) TAAAGGAAGTGG >mm10_chr5:38279796-38279807(+)::chr5:38279795-38279807(+) AGCAGGAAGATG >mm10_chr5:38279819-38279830(-)::chr5:38279818-38279830(-) TGCAGGAAGGGC >mm10_chr5:38279874-38279885(+)::chr5:38279873-38279885(+) AAGAGGAAGTAC >mm10_chr5:38288712-38288723(-)::chr5:38288711-38288723(-) accaggaagcag >mm10_chr5:38480215-38480226(+)::chr5:38480214-38480226(+) AGCAGGAAGCGT >mm10_chr5:38480246-38480257(+)::chr5:38480245-38480257(+) AACAGGAAGCGA >mm10_chr5:38483283-38483294(+)::chr5:38483282-38483294(+) ACAAGGAAGTAG >mm10_chr5:38483302-38483313(-)::chr5:38483301-38483313(-) AGGAGGAAGGTG >mm10_chr5:38498678-38498689(-)::chr5:38498677-38498689(-) AGAAGGAAACAA >mm10_chr5:38505624-38505635(-)::chr5:38505623-38505635(-) CTAAGGAAGTGG >mm10_chr5:38505647-38505658(+)::chr5:38505646-38505658(+) agtaggaaatat >mm10_chr5:38516222-38516233(-)::chr5:38516221-38516233(-) tccaggaagtca >mm10_chr5:38543689-38543700(+)::chr5:38543688-38543700(+) AGGAGGAAGACA >mm10_chr5:38543700-38543711(+)::chr5:38543699-38543711(+) AGCAGGAAGGAA >mm10_chr5:38543704-38543715(+)::chr5:38543703-38543715(+) GGAAGGAAGCCA >mm10_chr5:38554190-38554201(+)::chr5:38554189-38554201(+) GCCAGGAAGAGA >mm10_chr5:38560582-38560593(+)::chr5:38560581-38560593(+) ACCAGGAAGCCC >mm10_chr5:38562089-38562100(-)::chr5:38562088-38562100(-) ACTAGGAAGTTT >mm10_chr5:38643357-38643368(+)::chr5:38643356-38643368(+) gccaggaaatag >mm10_chr5:38655753-38655764(+)::chr5:38655752-38655764(+) tggaggaagcac >mm10_chr5:38655799-38655810(-)::chr5:38655798-38655810(-) aggaggaagctg >mm10_chr5:38656161-38656172(+)::chr5:38656160-38656172(+) ACCAGGAAGGAG >mm10_chr5:38697133-38697144(+)::chr5:38697132-38697144(+) agaaggaagttt >mm10_chr5:38752344-38752355(-)::chr5:38752343-38752355(-) agaaggaagggg >mm10_chr5:38752358-38752369(-)::chr5:38752357-38752369(-) agaaggaagtgg >mm10_chr5:38752372-38752383(-)::chr5:38752371-38752383(-) agaaggaaaggg >mm10_chr5:38752386-38752397(-)::chr5:38752385-38752397(-) agaaggaagggg >mm10_chr5:38863215-38863226(+)::chr5:38863214-38863226(+) aggaggaactat >mm10_chr5:38882750-38882761(-)::chr5:38882749-38882761(-) ACAGGGAAGTAT >mm10_chr5:38882764-38882775(+)::chr5:38882763-38882775(+) TTAAGGAAGTCA >mm10_chr5:39171583-39171594(-)::chr5:39171582-39171594(-) AGAAGGAAGAGC >mm10_chr5:39171616-39171627(-)::chr5:39171615-39171627(-) ATAAGGAACTAC >mm10_chr5:39217650-39217661(+)::chr5:39217649-39217661(+) tccaggaagtgg >mm10_chr5:39251225-39251236(+)::chr5:39251224-39251236(+) TAGAGGAAGTAT >mm10_chr5:39257932-39257943(-)::chr5:39257931-39257943(-) AACAGGAAGTGA >mm10_chr5:39281500-39281511(-)::chr5:39281499-39281511(-) aggaggaagtga >mm10_chr5:39363777-39363788(+)::chr5:39363776-39363788(+) aacaggaagcta >mm10_chr5:39437942-39437953(+)::chr5:39437941-39437953(+) GAGAGGAAGTGT >mm10_chr5:39437942-39437953(+)::chr5:39437941-39437953(+) GAGAGGAAGTGT >mm10_chr5:39439970-39439981(-)::chr5:39439969-39439981(-) agcaggaattaa >mm10_chr5:39439977-39439988(-)::chr5:39439976-39439988(-) accaggaagcag >mm10_chr5:39439997-39440008(-)::chr5:39439996-39440008(-) ggaaggaactca >mm10_chr5:39440001-39440012(-)::chr5:39440000-39440012(-) gccaggaaggaa >mm10_chr5:39440019-39440030(+)::chr5:39440018-39440030(+) gccaggaagcta >mm10_chr5:39444378-39444389(-)::chr5:39444377-39444389(-) ACAGGGAAGTTC >mm10_chr5:39454964-39454975(+)::chr5:39454963-39454975(+) accaggaagagg >mm10_chr5:39454970-39454981(+)::chr5:39454969-39454981(+) aagaggaaataa >mm10_chr5:39620488-39620499(+)::chr5:39620487-39620499(+) ACAAGGAAGGCA >mm10_chr5:39620548-39620559(-)::chr5:39620547-39620559(-) ATAATGAAGTTA >mm10_chr5:39620565-39620576(+)::chr5:39620564-39620576(+) CACAGGAAATAA >mm10_chr5:39624370-39624381(+)::chr5:39624369-39624381(+) AGAAGGAAGTGA >mm10_chr5:39626143-39626154(+)::chr5:39626142-39626154(+) acaaggaagaag >mm10_chr5:39626150-39626161(+)::chr5:39626149-39626161(+) agaaggaagctg >mm10_chr5:39668447-39668458(-)::chr5:39668446-39668458(-) CAAAGGAAGCGG >mm10_chr5:39715430-39715441(+)::chr5:39715429-39715441(+) GGCAGGAAGTGA >mm10_chr5:39758999-39759010(+)::chr5:39758998-39759010(+) AACAGGAAGTTG >mm10_chr5:39759029-39759040(+)::chr5:39759028-39759040(+) TCAAGGAAGTTC >mm10_chr5:39809615-39809626(+)::chr5:39809614-39809626(+) ACAAGGATGTTC >mm10_chr5:39809655-39809666(+)::chr5:39809654-39809666(+) GACAGGAAGTAC >mm10_chr5:39831384-39831395(+)::chr5:39831383-39831395(+) TCTAGGAAGTAA >mm10_chr5:39831399-39831410(-)::chr5:39831398-39831410(-) GGAAGGAAGGGC >mm10_chr5:39831403-39831414(-)::chr5:39831402-39831414(-) ATGAGGAAGGAA >mm10_chr5:39961115-39961126(+)::chr5:39961114-39961126(+) TTAAGGAAATAA >mm10_chr5:39961125-39961136(-)::chr5:39961124-39961136(-) AGAAGGAAGTTT >mm10_chr5:41030062-41030073(+)::chr5:41030061-41030073(+) ataagggagtca >mm10_chr5:41217614-41217625(+)::chr5:41217613-41217625(+) TCAAGGAAGATA >mm10_chr5:41340742-41340753(+)::chr5:41340741-41340753(+) ACCAGGAAATGA >mm10_chr5:41707098-41707109(-)::chr5:41707097-41707109(-) GGAAGGAAGCTT >mm10_chr5:41721743-41721754(+)::chr5:41721742-41721754(+) ccaaggaagggg >mm10_chr5:41721807-41721818(+)::chr5:41721806-41721818(+) aaaaggaaggag >mm10_chr5:41789836-41789847(-)::chr5:41789835-41789847(-) cttaggaagtgg >mm10_chr5:41844306-41844317(+)::chr5:41844305-41844317(+) AAAAGGAACTGA >mm10_chr5:42228268-42228279(-)::chr5:42228267-42228279(-) ACCAGGAAATGG >mm10_chr5:42229539-42229550(-)::chr5:42229538-42229550(-) TTAAGGAAGCAG >mm10_chr5:42229550-42229561(+)::chr5:42229549-42229561(+) AAGAGGAAATAA >mm10_chr5:42229573-42229584(-)::chr5:42229572-42229584(-) TGAAGGAAGTAA >mm10_chr5:42303198-42303209(+)::chr5:42303197-42303209(+) AGAGGGAAGAAA >mm10_chr5:42303228-42303239(+)::chr5:42303227-42303239(+) AGGAGGAAGAAT >mm10_chr5:42325436-42325447(-)::chr5:42325435-42325447(-) ACTAGGAAGAGG >mm10_chr5:42348890-42348901(-)::chr5:42348889-42348901(-) aagaggaagtta >mm10_chr5:42385537-42385548(-)::chr5:42385536-42385548(-) AAAGGGAAGTTA >mm10_chr5:42399611-42399622(+)::chr5:42399610-42399622(+) AGAAGGAAGATA >mm10_chr5:42403802-42403813(+)::chr5:42403801-42403813(+) aaaaggatgtta >mm10_chr5:42403814-42403825(-)::chr5:42403813-42403825(-) acaaggaagagc >mm10_chr5:42405084-42405095(-)::chr5:42405083-42405095(-) TGAAGGAAGTAC >mm10_chr5:42414233-42414244(+)::chr5:42414232-42414244(+) GGCAGGAAGAAT >mm10_chr5:42454007-42454018(+)::chr5:42454006-42454018(+) GGAAGGATGTTT >mm10_chr5:42530041-42530052(-)::chr5:42530040-42530052(-) ggaaggaagata >mm10_chr5:42530045-42530056(-)::chr5:42530044-42530056(-) acaaggaaggaa >mm10_chr5:42530071-42530082(-)::chr5:42530070-42530082(-) tcaaggaagtca >mm10_chr5:42620677-42620688(-)::chr5:42620676-42620688(-) AAAAGGAAGAAC >mm10_chr5:42620739-42620750(-)::chr5:42620738-42620750(-) ATAAGGAAAGAG >mm10_chr5:42655690-42655701(-)::chr5:42655689-42655701(-) TACAGGAAGGAA >mm10_chr5:42685917-42685928(-)::chr5:42685916-42685928(-) GTGAGGAAGGAT >mm10_chr5:42879926-42879937(+)::chr5:42879925-42879937(+) AGAAGGAAATGC >mm10_chr5:42919516-42919527(+)::chr5:42919515-42919527(+) atgaggaagagc >mm10_chr5:42929277-42929288(+)::chr5:42929276-42929288(+) ACATGGAAGTGT >mm10_chr5:42969851-42969862(-)::chr5:42969850-42969862(-) GGAGGGAAGTGG >mm10_chr5:42969867-42969878(-)::chr5:42969866-42969878(-) ACAGGGAAGTCA >mm10_chr5:43048440-43048451(+)::chr5:43048439-43048451(+) AACAGGAAGTCT >mm10_chr5:43048487-43048498(+)::chr5:43048486-43048498(+) ATGAGGAAATAC >mm10_chr5:43048526-43048537(+)::chr5:43048525-43048537(+) TCCAGGAAGAGG >mm10_chr5:43072620-43072631(+)::chr5:43072619-43072631(+) TCCAGGAAATAG >mm10_chr5:43129220-43129231(+)::chr5:43129219-43129231(+) aaaaggaattaa >mm10_chr5:43146198-43146209(+)::chr5:43146197-43146209(+) GAAAGGAAGTCC >mm10_chr5:43146479-43146490(+)::chr5:43146478-43146490(+) accaggaagtat >mm10_chr5:43164714-43164725(-)::chr5:43164713-43164725(-) ATGAGGAAGTCA >mm10_chr5:43185570-43185581(-)::chr5:43185569-43185581(-) GGAAGGAAGCTG >mm10_chr5:43217514-43217525(-)::chr5:43217513-43217525(-) ATGAGGAAGGTG >mm10_chr5:43217543-43217554(-)::chr5:43217542-43217554(-) ATGAGGAAGGTC >mm10_chr5:43218508-43218519(-)::chr5:43218507-43218519(-) AAGAGGAAGGTC >mm10_chr5:43218514-43218525(-)::chr5:43218513-43218525(-) TCAAGGAAGAGG >mm10_chr5:43233686-43233697(-)::chr5:43233685-43233697(-) GGGAGGAAGAGG >mm10_chr5:43274801-43274812(+)::chr5:43274800-43274812(+) GTAAGGAAGCCT >mm10_chr5:43290295-43290306(+)::chr5:43290294-43290306(+) ACAAGGAAGAGA >mm10_chr5:43299550-43299561(-)::chr5:43299549-43299561(-) aaagggaagttc >mm10_chr5:43329270-43329281(+)::chr5:43329269-43329281(+) AAAAGGAACTCA >mm10_chr5:43344698-43344709(-)::chr5:43344697-43344709(-) ggcaggaaatgg >mm10_chr5:43355418-43355429(+)::chr5:43355417-43355429(+) AAAAGGAAGCTG >mm10_chr5:43423308-43423319(-)::chr5:43423307-43423319(-) ACAAGGAAGCTG >mm10_chr5:43521472-43521483(+)::chr5:43521471-43521483(+) ACAAGGAAGCGC >mm10_chr5:43584633-43584644(+)::chr5:43584632-43584644(+) agcaggaagttc >mm10_chr5:43737194-43737205(-)::chr5:43737193-43737205(-) TAAAGGAAGTGT >mm10_chr5:43765613-43765624(+)::chr5:43765612-43765624(+) AAAAGGAAATAA >mm10_chr5:43799674-43799685(+)::chr5:43799673-43799685(+) AAAAGTAAGTGA >mm10_chr5:43820993-43821004(-)::chr5:43820992-43821004(-) ATTAGGAAGGTA >mm10_chr5:43837619-43837630(-)::chr5:43837618-43837630(-) ACCCGGAAGTCA >mm10_chr5:43841664-43841675(+)::chr5:43841663-43841675(+) ACAAGGAAACAT >mm10_chr5:43854312-43854323(+)::chr5:43854311-43854323(+) AACAGGAAGGAA >mm10_chr5:43854316-43854327(+)::chr5:43854315-43854327(+) GGAAGGAAGTGT >mm10_chr5:43854362-43854373(+)::chr5:43854361-43854373(+) AAAAGGAACTAC >mm10_chr5:43948857-43948868(-)::chr5:43948856-43948868(-) GGGAGGAAGACA >mm10_chr5:43948892-43948903(-)::chr5:43948891-43948903(-) TGGAGGAAGTTG >mm10_chr5:43949026-43949037(-)::chr5:43949025-43949037(-) GAAATGAAGTAG >mm10_chr5:43958548-43958559(+)::chr5:43958547-43958559(+) ATAAGGAAAAAG >mm10_chr5:43958565-43958576(-)::chr5:43958564-43958576(-) ACCAGGAAGGGG >mm10_chr5:43971408-43971419(-)::chr5:43971407-43971419(-) agcaggaaatga >mm10_chr5:44048781-44048792(+)::chr5:44048780-44048792(+) GCAAGGAATTAA >mm10_chr5:44105348-44105359(-)::chr5:44105347-44105359(-) AACAGGAAATCG >mm10_chr5:44147205-44147216(+)::chr5:44147204-44147216(+) TCAGGGAAGTCA >mm10_chr5:44181945-44181956(+)::chr5:44181944-44181956(+) TCCAGGAAGATA >mm10_chr5:44226799-44226810(-)::chr5:44226798-44226810(-) ggcaggaagaag >mm10_chr5:44235806-44235817(+)::chr5:44235805-44235817(+) GAGAGGAAGGAA >mm10_chr5:44235810-44235821(+)::chr5:44235809-44235821(+) GGAAGGAAGGAA >mm10_chr5:44239486-44239497(-)::chr5:44239485-44239497(-) acaaggatgtgg >mm10_chr5:44239508-44239519(-)::chr5:44239507-44239519(-) gaaaggaagaag >mm10_chr5:44272181-44272192(+)::chr5:44272180-44272192(+) AAAAGGAAGGAA >mm10_chr5:44272185-44272196(+)::chr5:44272184-44272196(+) GGAAGGAAGGAG >mm10_chr5:44272195-44272206(+)::chr5:44272194-44272206(+) AGAAGGAAATTG >mm10_chr5:44562529-44562540(+)::chr5:44562528-44562540(+) CAAAGGAAGTGC >mm10_chr5:44571461-44571472(-)::chr5:44571460-44571472(-) ACAAGGATGGCG >mm10_chr5:45082791-45082802(+)::chr5:45082790-45082802(+) gacaggaagtag >mm10_chr5:45082841-45082852(-)::chr5:45082840-45082852(-) tggaggaagtga >mm10_chr5:45323590-45323601(+)::chr5:45323589-45323601(+) gggaggaagcag >mm10_chr5:45526568-45526579(-)::chr5:45526567-45526579(-) TCAAGGAAGTCC >mm10_chr5:45546969-45546980(+)::chr5:45546968-45546980(+) ttcaggaaatat >mm10_chr5:45546986-45546997(+)::chr5:45546985-45546997(+) aagaggaagcag >mm10_chr5:45546993-45547004(+)::chr5:45546992-45547004(+) agcaggaagatt >mm10_chr5:45547019-45547030(+)::chr5:45547018-45547030(+) accaggaagtct >mm10_chr5:45742807-45742818(-)::chr5:45742806-45742818(-) aacaggaagcaa >mm10_chr5:45742854-45742865(+)::chr5:45742853-45742865(+) aggaggaaatac >mm10_chr5:45793451-45793462(+)::chr5:45793450-45793462(+) gaaaggaagaag >mm10_chr5:45798475-45798486(+)::chr5:45798474-45798486(+) aagaggaagagg >mm10_chr5:45798481-45798492(+)::chr5:45798480-45798492(+) aagaggaagaaA >mm10_chr5:45839989-45840000(-)::chr5:45839988-45840000(-) AGAAGGAAAAGG >mm10_chr5:45839996-45840007(-)::chr5:45839995-45840007(-) ACCAGGAAGAAG >mm10_chr5:45857619-45857630(-)::chr5:45857618-45857630(-) GGAAGGTAGTGA >mm10_chr5:45857623-45857634(-)::chr5:45857622-45857634(-) AGGAGGAAGGTA >mm10_chr5:45876447-45876458(-)::chr5:45876446-45876458(-) aggaggaagaag >mm10_chr5:45876475-45876486(-)::chr5:45876474-45876486(-) GAGAGGAAGGGg >mm10_chr5:45926251-45926262(+)::chr5:45926250-45926262(+) TTCAGGAAGTTG >mm10_chr5:46002180-46002191(+)::chr5:46002179-46002191(+) tggaggaagtgg >mm10_chr5:46002251-46002262(-)::chr5:46002250-46002262(-) accaggaagcag >mm10_chr5:46028435-46028446(-)::chr5:46028434-46028446(-) ttaaggaaatgc >mm10_chr5:46028477-46028488(+)::chr5:46028476-46028488(+) TCCAGGAAGTAG >mm10_chr5:46032418-46032429(-)::chr5:46032417-46032429(-) agcaggaagtta >mm10_chr5:46106378-46106389(-)::chr5:46106377-46106389(-) ACAAGGAAGTCT >mm10_chr5:47779255-47779266(-)::chr5:47779254-47779266(-) aataggaagtct >mm10_chr5:48372182-48372193(-)::chr5:48372181-48372193(-) TAAAGGAAGTTT >mm10_chr5:48551968-48551979(-)::chr5:48551967-48551979(-) ATGAGGAAGCAG >mm10_chr5:48809566-48809577(+)::chr5:48809565-48809577(+) gtaaggaaGTTC >mm10_chr5:49340568-49340579(+)::chr5:49340567-49340579(+) atgaggaagtga >mm10_chr5:49379467-49379478(+)::chr5:49379466-49379478(+) TCGAGGAAATAA >mm10_chr5:49941580-49941591(+)::chr5:49941579-49941591(+) ATTAGGAAATAG >mm10_chr5:50032001-50032012(+)::chr5:50032000-50032012(+) ACCAGGAAGTTT >mm10_chr5:50059801-50059812(-)::chr5:50059800-50059812(-) tataggaagcaa >mm10_chr5:50123049-50123060(+)::chr5:50123048-50123060(+) AAGAGGAAATAG >mm10_chr5:50123093-50123104(+)::chr5:50123092-50123104(+) ACAAggaaggca >mm10_chr5:50156766-50156777(+)::chr5:50156765-50156777(+) TGAAGGAAGCCT >mm10_chr5:50156803-50156814(-)::chr5:50156802-50156814(-) ATAAGGAACACA >mm10_chr5:50283845-50283856(-)::chr5:50283844-50283856(-) GTGAGGAAGACA >mm10_chr5:50456408-50456419(-)::chr5:50456407-50456419(-) AAGAGGAAGGCA >mm10_chr5:50857678-50857689(-)::chr5:50857677-50857689(-) gagaggaagtgc >mm10_chr5:50860197-50860208(-)::chr5:50860196-50860208(-) TACAGGAAGTAA >mm10_chr5:51130571-51130582(-)::chr5:51130570-51130582(-) ATAGGGAAATAA >mm10_chr5:51130597-51130608(-)::chr5:51130596-51130608(-) aggaggaagagA >mm10_chr5:51130615-51130626(-)::chr5:51130614-51130626(-) agaaggaagagg >mm10_chr5:51130627-51130638(-)::chr5:51130626-51130638(-) aagaggaagaat >mm10_chr5:51130633-51130644(-)::chr5:51130632-51130644(-) aggaggaagagg >mm10_chr5:51402339-51402350(-)::chr5:51402338-51402350(-) AGCAGGAAATAA >mm10_chr5:51402360-51402371(-)::chr5:51402359-51402371(-) TCAATGAAGTAA >mm10_chr5:51406522-51406533(-)::chr5:51406521-51406533(-) AGTAGGAAGTGC >mm10_chr5:51406529-51406540(-)::chr5:51406528-51406540(-) GAAAGAAAGTAG >mm10_chr5:51407616-51407627(-)::chr5:51407615-51407627(-) ggaaggaagctt >mm10_chr5:51407620-51407631(-)::chr5:51407619-51407631(-) tccaggaaggaa >mm10_chr5:51438417-51438428(+)::chr5:51438416-51438428(+) AGAAGGAAATTC >mm10_chr5:51440202-51440213(+)::chr5:51440201-51440213(+) ACAAGGAAGAAA >mm10_chr5:51544518-51544529(+)::chr5:51544517-51544529(+) GGAAGGAAGTCA >mm10_chr5:51552695-51552706(+)::chr5:51552694-51552706(+) GGGAGGAAGCAC >mm10_chr5:51595571-51595582(+)::chr5:51595570-51595582(+) TCTAGGAAGTGG >mm10_chr5:51595642-51595653(-)::chr5:51595641-51595653(-) AGGAGGAAATGC >mm10_chr5:51737756-51737767(-)::chr5:51737755-51737767(-) AGAAGGAAACGC >mm10_chr5:51771515-51771526(+)::chr5:51771514-51771526(+) ATGAGGAAGCAA >mm10_chr5:51941641-51941652(+)::chr5:51941640-51941652(+) acaaggaagtat >mm10_chr5:51941679-51941690(+)::chr5:51941678-51941690(+) acaaggaagctg >mm10_chr5:52065165-52065176(-)::chr5:52065164-52065176(-) TTAAGGAAGTCC >mm10_chr5:52065206-52065217(-)::chr5:52065205-52065217(-) ACAAGGAAGTGT >mm10_chr5:52138308-52138319(-)::chr5:52138307-52138319(-) AAGAGGAAGTTA >mm10_chr5:52139058-52139069(-)::chr5:52139057-52139069(-) GGAAAGAAGTGG >mm10_chr5:52189900-52189911(+)::chr5:52189899-52189911(+) GGGCGGAAGTTG >mm10_chr5:52254665-52254676(+)::chr5:52254664-52254676(+) AGAAGGAAGCAC >mm10_chr5:52302049-52302060(+)::chr5:52302048-52302060(+) agaaggcagtgt >mm10_chr5:52302116-52302127(-)::chr5:52302115-52302127(-) aggaggaaatgt >mm10_chr5:52360994-52361005(-)::chr5:52360993-52361005(-) ATCCGGAAGTGC >mm10_chr5:52431614-52431625(-)::chr5:52431613-52431625(-) AGCAGGAAGTAG >mm10_chr5:52454803-52454814(+)::chr5:52454802-52454814(+) ACCAGGAAGAAG >mm10_chr5:52476310-52476321(-)::chr5:52476309-52476321(-) ATGAGGAAGCAG >mm10_chr5:52476468-52476479(+)::chr5:52476467-52476479(+) GGAAGGAAGTTC >mm10_chr5:52476479-52476490(-)::chr5:52476478-52476490(-) AGCGGGAAGTGG >mm10_chr5:52476634-52476645(+)::chr5:52476633-52476645(+) AGGCGGAAGACG >mm10_chr5:52552829-52552840(-)::chr5:52552828-52552840(-) AGTAGGAAGTGA >mm10_chr5:52587404-52587415(-)::chr5:52587403-52587415(-) TAAATGAAGTGG >mm10_chr5:52625244-52625255(-)::chr5:52625243-52625255(-) ACCAGGAAGTTC >mm10_chr5:52736223-52736234(-)::chr5:52736222-52736234(-) agtaggaagtgc >mm10_chr5:52743444-52743455(+)::chr5:52743443-52743455(+) TCCAGGAAGTGG >mm10_chr5:52834053-52834064(-)::chr5:52834052-52834064(-) GAGAGGAAGTGA >mm10_chr5:52874745-52874756(+)::chr5:52874744-52874756(+) agaaggaagagg >mm10_chr5:52874757-52874768(+)::chr5:52874756-52874768(+) agaaggaagaga >mm10_chr5:52874769-52874780(+)::chr5:52874768-52874780(+) aggaggaagggg >mm10_chr5:52890131-52890142(+)::chr5:52890130-52890142(+) AGCAGGAAGCCA >mm10_chr5:52953364-52953375(+)::chr5:52953363-52953375(+) aggaggaagagg >mm10_chr5:52953388-52953399(+)::chr5:52953387-52953399(+) aggaggaagagg >mm10_chr5:52979318-52979329(-)::chr5:52979317-52979329(-) accaggaaattg >mm10_chr5:52990784-52990795(+)::chr5:52990783-52990795(+) AGCAGGAAGTAC >mm10_chr5:53002685-53002696(-)::chr5:53002684-53002696(-) GCAAGGAAGAGG >mm10_chr5:53008315-53008326(-)::chr5:53008314-53008326(-) aggaggaagaag >mm10_chr5:53022958-53022969(-)::chr5:53022957-53022969(-) TACAGGAAGTCC >mm10_chr5:53025517-53025528(+)::chr5:53025516-53025528(+) agaaggaagagg >mm10_chr5:53025840-53025851(+)::chr5:53025839-53025851(+) AACAGGAAATGG >mm10_chr5:53041165-53041176(+)::chr5:53041164-53041176(+) TCAAGGAAGCTT >mm10_chr5:53041201-53041212(+)::chr5:53041200-53041212(+) ACCAGGAAGGTC >mm10_chr5:53051955-53051966(-)::chr5:53051954-53051966(-) AAGAGGAAGTCA >mm10_chr5:53053699-53053710(+)::chr5:53053698-53053710(+) ATAAGGAAGTTT >mm10_chr5:53053715-53053726(+)::chr5:53053714-53053726(+) AGAAGGAAGGAG >mm10_chr5:53055974-53055985(-)::chr5:53055973-53055985(-) AGGAGGAAGGAG >mm10_chr5:53057531-53057542(-)::chr5:53057530-53057542(-) AAAAGGAAGTAC >mm10_chr5:53059514-53059525(+)::chr5:53059513-53059525(+) AGCAGGAAGCTG >mm10_chr5:53161754-53161765(-)::chr5:53161753-53161765(-) TAGAGGAAGTCT >mm10_chr5:53169751-53169762(+)::chr5:53169750-53169762(+) TCCAGGAAGGAC >mm10_chr5:53172676-53172687(-)::chr5:53172675-53172687(-) AGAAGGAACTGG >mm10_chr5:53185864-53185875(-)::chr5:53185863-53185875(-) ATAAGGAAGATA >mm10_chr5:53185908-53185919(+)::chr5:53185907-53185919(+) ATAAGGAAACTA >mm10_chr5:53238953-53238964(+)::chr5:53238952-53238964(+) ATACGGAAGGAT >mm10_chr5:53241270-53241281(-)::chr5:53241269-53241281(-) AAAAGGCAGTGA >mm10_chr5:53402984-53402995(-)::chr5:53402983-53402995(-) AGTAGGAAGCTA >mm10_chr5:53405619-53405630(+)::chr5:53405618-53405630(+) AACAGGAAATTG >mm10_chr5:53415595-53415606(+)::chr5:53415594-53415606(+) TCAAGGAAGGAA >mm10_chr5:53415599-53415610(+)::chr5:53415598-53415610(+) GGAAGGAAGATG >mm10_chr5:53415652-53415663(+)::chr5:53415651-53415663(+) CAAAGGAAGTAG >mm10_chr5:53481975-53481986(-)::chr5:53481974-53481986(-) AGAAGGAATTCA >mm10_chr5:53501953-53501964(-)::chr5:53501952-53501964(-) TCCAGGAAGTTG >mm10_chr5:53517188-53517199(-)::chr5:53517187-53517199(-) CGATGGAAGTGC >mm10_chr5:53538015-53538026(-)::chr5:53538014-53538026(-) AAGAGGAAGAAG >mm10_chr5:53538034-53538045(-)::chr5:53538033-53538045(-) aagaggaagaaa >mm10_chr5:53539965-53539976(+)::chr5:53539964-53539976(+) AAGAGGAAGAAG >mm10_chr5:53539977-53539988(+)::chr5:53539976-53539988(+) TGAGGGAAGTGG >mm10_chr5:53555753-53555764(+)::chr5:53555752-53555764(+) AAGAGGAAATGG >mm10_chr5:53570665-53570676(-)::chr5:53570664-53570676(-) AGCAGGAAGGGG >mm10_chr5:53570695-53570706(-)::chr5:53570694-53570706(-) AAACGGAAGTCT >mm10_chr5:53588622-53588633(+)::chr5:53588621-53588633(+) AAGAGGAAGGAA >mm10_chr5:53615737-53615748(-)::chr5:53615736-53615748(-) gaaaggaagtat >mm10_chr5:53615800-53615811(-)::chr5:53615799-53615811(-) ggaaggaaggag >mm10_chr5:53661665-53661676(+)::chr5:53661664-53661676(+) AGCAGGAAGGAA >mm10_chr5:53661669-53661680(+)::chr5:53661668-53661680(+) GGAAGGAAGACT >mm10_chr5:53662690-53662701(-)::chr5:53662689-53662701(-) tggaggaagaaa >mm10_chr5:53690331-53690342(+)::chr5:53690330-53690342(+) gggaggaagcag >mm10_chr5:53710197-53710208(-)::chr5:53710196-53710208(-) agaaggaaATGC >mm10_chr5:53710204-53710215(-)::chr5:53710203-53710215(-) agaaggaagaag >mm10_chr5:53710216-53710227(-)::chr5:53710215-53710227(-) gaaaggaagaga >mm10_chr5:53710246-53710257(+)::chr5:53710245-53710257(+) GGAAGGAAGCAG >mm10_chr5:53785035-53785046(-)::chr5:53785034-53785046(-) TCCAGGAAGTGC >mm10_chr5:53917170-53917181(-)::chr5:53917169-53917181(-) GTCAGGAAGTTC >mm10_chr5:53929441-53929452(-)::chr5:53929440-53929452(-) CAGAGGAAGTCC >mm10_chr5:53929842-53929853(+)::chr5:53929841-53929853(+) GGTAGGAAGGGA >mm10_chr5:53946409-53946420(-)::chr5:53946408-53946420(-) acaaggaagccc >mm10_chr5:53948757-53948768(-)::chr5:53948756-53948768(-) GGAAGGAAGGAA >mm10_chr5:53956211-53956222(-)::chr5:53956210-53956222(-) GGAAGTAAGTAA >mm10_chr5:53956215-53956226(-)::chr5:53956214-53956226(-) AGGAGGAAGTAA >mm10_chr5:53970250-53970261(+)::chr5:53970249-53970261(+) AACAGGAAGAGG >mm10_chr5:54000095-54000106(-)::chr5:54000094-54000106(-) AACAGGAAATAG >mm10_chr5:54000116-54000127(+)::chr5:54000115-54000127(+) AGAAGGATGTCG >mm10_chr5:54005234-54005245(-)::chr5:54005233-54005245(-) AGGAGGAAATGT >mm10_chr5:54019764-54019775(-)::chr5:54019763-54019775(-) AGCAGGAAGGCG >mm10_chr5:54053644-54053655(-)::chr5:54053643-54053655(-) CAGAGGAAGTCA >mm10_chr5:54053674-54053685(-)::chr5:54053673-54053685(-) AGGAGGAAGAGG >mm10_chr5:54054161-54054172(+)::chr5:54054160-54054172(+) cccaggaagtcc >mm10_chr5:54060337-54060348(-)::chr5:54060336-54060348(-) ACAAGGAAGACT >mm10_chr5:54077782-54077793(-)::chr5:54077781-54077793(-) AACAGGAAGAGG >mm10_chr5:54077826-54077837(-)::chr5:54077825-54077837(-) AGAAGGAAGCCA >mm10_chr5:54087703-54087714(+)::chr5:54087702-54087714(+) acaaggcagtgc >mm10_chr5:54097070-54097081(+)::chr5:54097069-54097081(+) AGGAGGAAGATC >mm10_chr5:54101097-54101108(+)::chr5:54101096-54101108(+) AGAAGGAAGAAG >mm10_chr5:54106826-54106837(+)::chr5:54106825-54106837(+) AGGAGGAAGTAA >mm10_chr5:54125964-54125975(+)::chr5:54125963-54125975(+) tgaagaaagtga >mm10_chr5:54157339-54157350(+)::chr5:54157338-54157350(+) AACAGGAAGCAG >mm10_chr5:54157377-54157388(+)::chr5:54157376-54157388(+) AGGAGGAAGTTG >mm10_chr5:54164142-54164153(+)::chr5:54164141-54164153(+) tgagggaAGTGT >mm10_chr5:55065125-55065136(+)::chr5:55065124-55065136(+) TCAAGGAAGGAC >mm10_chr5:56696082-56696093(-)::chr5:56696081-56696093(-) gaagggaagtag >mm10_chr5:56696087-56696098(-)::chr5:56696086-56696098(-) aaaaggaaggga >mm10_chr5:56745197-56745208(-)::chr5:56745196-56745208(-) taaaggaactag >mm10_chr5:56779701-56779712(-)::chr5:56779700-56779712(-) GACAGGAAGAAA >mm10_chr5:57788876-57788887(+)::chr5:57788875-57788887(+) TAGAGGAAGAGA >mm10_chr5:57859090-57859101(-)::chr5:57859089-57859101(-) CAAAGGAAGTAA >mm10_chr5:58162568-58162579(-)::chr5:58162567-58162579(-) aggaggaagaag >mm10_chr5:58162614-58162625(-)::chr5:58162613-58162625(-) agcaggaagcag >mm10_chr5:60327953-60327964(+)::chr5:60327952-60327964(+) TACAGGAAATAA >mm10_chr5:62574644-62574655(+)::chr5:62574643-62574655(+) gacaggaagggc >mm10_chr5:62574659-62574670(+)::chr5:62574658-62574670(+) agcaggaagttg >mm10_chr5:62630115-62630126(+)::chr5:62630114-62630126(+) TGAAGGAAGCAT >mm10_chr5:62641924-62641935(+)::chr5:62641923-62641935(+) AAGAGGAAGAGA >mm10_chr5:62724146-62724157(-)::chr5:62724145-62724157(-) ATCAGGAAGGAC >mm10_chr5:62815970-62815981(-)::chr5:62815969-62815981(-) AAGAGGAAGTCG >mm10_chr5:63335807-63335818(-)::chr5:63335806-63335818(-) GTCAGGAAATTA >mm10_chr5:63813410-63813421(+)::chr5:63813409-63813421(+) TAGAGGAAGTGG >mm10_chr5:63824181-63824192(-)::chr5:63824180-63824192(-) AGGAGGAAATAA >mm10_chr5:63827164-63827175(+)::chr5:63827163-63827175(+) GCCAGGAAGGAA >mm10_chr5:63827168-63827179(+)::chr5:63827167-63827179(+) GGAAGGAAGGGG >mm10_chr5:63830910-63830921(-)::chr5:63830909-63830921(-) TAGAGGAAATGG >mm10_chr5:63831243-63831254(+)::chr5:63831242-63831254(+) TAGAGGAAGTAC >mm10_chr5:63831299-63831310(-)::chr5:63831298-63831310(-) AGGAGGAAATGC >mm10_chr5:63831963-63831974(+)::chr5:63831962-63831974(+) AAAAGAAAGTGC >mm10_chr5:63837233-63837244(+)::chr5:63837232-63837244(+) GAcaggaaggaa >mm10_chr5:63837237-63837248(+)::chr5:63837236-63837248(+) ggaaggaaggca >mm10_chr5:63837245-63837256(+)::chr5:63837244-63837256(+) ggcaggaaggag >mm10_chr5:63861653-63861664(-)::chr5:63861652-63861664(-) agacggaaatga >mm10_chr5:63872268-63872279(-)::chr5:63872267-63872279(-) ACAAGGAAGTAT >mm10_chr5:63874944-63874955(-)::chr5:63874943-63874955(-) ACCAGGAAGCTG >mm10_chr5:63875012-63875023(-)::chr5:63875011-63875023(-) AAAGGGAAGTCA >mm10_chr5:63923886-63923897(+)::chr5:63923885-63923897(+) GAAAGGAAGGAG >mm10_chr5:63923916-63923927(+)::chr5:63923915-63923927(+) AAAAGGAAGTCA >mm10_chr5:63942048-63942059(-)::chr5:63942047-63942059(-) agtaggaagTGA >mm10_chr5:63942055-63942066(-)::chr5:63942054-63942066(-) tgcaggaagtag >mm10_chr5:63942104-63942115(+)::chr5:63942103-63942115(+) ataaggaagccc >mm10_chr5:63951905-63951916(+)::chr5:63951904-63951916(+) ACAGGGAAGTAA >mm10_chr5:63953748-63953759(+)::chr5:63953747-63953759(+) AACAGGAAGGGG >mm10_chr5:63962232-63962243(-)::chr5:63962231-63962243(-) AGAAGGAAGCGA >mm10_chr5:63969158-63969169(-)::chr5:63969157-63969169(-) AGAAGGGAGTAA >mm10_chr5:63969199-63969210(+)::chr5:63969198-63969210(+) AAGAGGAAGGCA >mm10_chr5:63982382-63982393(-)::chr5:63982381-63982393(-) GGAAGGAAGAAC >mm10_chr5:63982386-63982397(-)::chr5:63982385-63982397(-) GATAGGAAGGAA >mm10_chr5:64044925-64044936(-)::chr5:64044924-64044936(-) ACAAGGAAGTCG >mm10_chr5:64047438-64047449(+)::chr5:64047437-64047449(+) AAAAGGAAGAAG >mm10_chr5:64047445-64047456(+)::chr5:64047444-64047456(+) AGAAGGAAAGGG >mm10_chr5:64047451-64047462(+)::chr5:64047450-64047462(+) AAAGGGAAGGCG >mm10_chr5:64063122-64063133(-)::chr5:64063121-64063133(-) ATAGGGAAGTGG >mm10_chr5:64207134-64207145(-)::chr5:64207133-64207145(-) AACAGGAAGAGG >mm10_chr5:64219420-64219431(+)::chr5:64219419-64219431(+) ttcaggaagcgc >mm10_chr5:64219444-64219455(-)::chr5:64219443-64219455(-) ggaaggaagact >mm10_chr5:64224740-64224751(-)::chr5:64224739-64224751(-) GCCAGGAAGTGC >mm10_chr5:64224916-64224927(-)::chr5:64224915-64224927(-) ACAAGGAAATTC >mm10_chr5:64295807-64295818(+)::chr5:64295806-64295818(+) GACAGGAAGTTG >mm10_chr5:64298368-64298379(-)::chr5:64298367-64298379(-) ATGAGGAAGTTT >mm10_chr5:64298420-64298431(-)::chr5:64298419-64298431(-) GAAAGGAAGCCT >mm10_chr5:64298722-64298733(-)::chr5:64298721-64298733(-) GAAAGGAAGCAG >mm10_chr5:64299906-64299917(+)::chr5:64299905-64299917(+) ATAAGGAAGTAG >mm10_chr5:64327320-64327331(+)::chr5:64327319-64327331(+) TGGAGGAAGTAC >mm10_chr5:64332420-64332431(-)::chr5:64332419-64332431(-) AGAAGGAAGTTT >mm10_chr5:64340666-64340677(-)::chr5:64340665-64340677(-) aggaggaagAGG >mm10_chr5:64344476-64344487(-)::chr5:64344475-64344487(-) AGGAGGAAGAGC >mm10_chr5:64375838-64375849(-)::chr5:64375837-64375849(-) AGCAGGAAGCAT >mm10_chr5:64375845-64375856(-)::chr5:64375844-64375856(-) TTAAGGAAGCAG >mm10_chr5:64380885-64380896(+)::chr5:64380884-64380896(+) ATGCGGAAGTGA >mm10_chr5:64380908-64380919(-)::chr5:64380907-64380919(-) AACAGGAAATTG >mm10_chr5:64381766-64381777(+)::chr5:64381765-64381777(+) AGAAGGAAGTGG >mm10_chr5:64382528-64382539(+)::chr5:64382527-64382539(+) TCCAGGAAGTAA >mm10_chr5:64383332-64383343(+)::chr5:64383331-64383343(+) ACCAGGAAATCA >mm10_chr5:64391596-64391607(+)::chr5:64391595-64391607(+) AAGAGGAAATGG >mm10_chr5:64403847-64403858(+)::chr5:64403846-64403858(+) ACAAGGAAGCTA >mm10_chr5:64436057-64436068(-)::chr5:64436056-64436068(-) ggaaggaagaga >mm10_chr5:64436082-64436093(-)::chr5:64436081-64436093(-) ggaaggaagctc >mm10_chr5:64437432-64437443(+)::chr5:64437431-64437443(+) GACAGGAAGAGG >mm10_chr5:64505327-64505338(+)::chr5:64505326-64505338(+) TAGAGGAAGGGA >mm10_chr5:64537597-64537608(-)::chr5:64537596-64537608(-) aggaggaaggtg >mm10_chr5:64537611-64537622(-)::chr5:64537610-64537622(-) taaaggaagaga >mm10_chr5:64537626-64537637(-)::chr5:64537625-64537637(-) agaaggaagagg >mm10_chr5:64537633-64537644(-)::chr5:64537632-64537644(-) agaaggaagaag >mm10_chr5:64537642-64537653(-)::chr5:64537641-64537653(-) aaaaggaagaga >mm10_chr5:64540089-64540100(+)::chr5:64540088-64540100(+) gcaaggaagatc >mm10_chr5:64540118-64540129(+)::chr5:64540117-64540129(+) ataaggaaatag >mm10_chr5:64595977-64595988(-)::chr5:64595976-64595988(-) ATGAGGAAGATG >mm10_chr5:64626498-64626509(+)::chr5:64626497-64626509(+) ACCAGGAAGGGA >mm10_chr5:64626503-64626514(+)::chr5:64626502-64626514(+) GAAGGGAAGTAC >mm10_chr5:64630667-64630678(-)::chr5:64630666-64630678(-) aaaaggaagcag >mm10_chr5:64643125-64643136(-)::chr5:64643124-64643136(-) aggaggaagagg >mm10_chr5:64643147-64643158(-)::chr5:64643146-64643158(-) aggaggaaggag >mm10_chr5:64648603-64648614(+)::chr5:64648602-64648614(+) GTCAGGAAGTGC >mm10_chr5:64680160-64680171(+)::chr5:64680159-64680171(+) CACAGGAAGTCG >mm10_chr5:64680553-64680564(+)::chr5:64680552-64680564(+) TCGGGGAAGTTG >mm10_chr5:64682774-64682785(-)::chr5:64682773-64682785(-) ACCAGGAAGGGT >mm10_chr5:64693833-64693844(-)::chr5:64693832-64693844(-) AGCAGGAAATGA >mm10_chr5:64693867-64693878(+)::chr5:64693866-64693878(+) GCAAGGAACTGT >mm10_chr5:64693875-64693886(-)::chr5:64693874-64693886(-) ATAAGGAAACAG >mm10_chr5:64693895-64693906(+)::chr5:64693894-64693906(+) GCCAGGAAGTTC >mm10_chr5:64698599-64698610(-)::chr5:64698598-64698610(-) ACCAGGAAGCAC >mm10_chr5:64698633-64698644(-)::chr5:64698632-64698644(-) AGGAGGAAGGAG >mm10_chr5:64698640-64698651(-)::chr5:64698639-64698651(-) ACAAGGAAGGAG >mm10_chr5:64701886-64701897(+)::chr5:64701885-64701897(+) agcaggaagtcg >mm10_chr5:64709414-64709425(-)::chr5:64709413-64709425(-) AAGAGGAAGTCA >mm10_chr5:64714459-64714470(+)::chr5:64714458-64714470(+) AGGAGGAAGCAG >mm10_chr5:64714475-64714486(+)::chr5:64714474-64714486(+) AGCAGGAAGCAG >mm10_chr5:64747028-64747039(+)::chr5:64747027-64747039(+) AGAAGGAAAGGG >mm10_chr5:64749568-64749579(+)::chr5:64749567-64749579(+) aggaggaagaCT >mm10_chr5:64751379-64751390(+)::chr5:64751378-64751390(+) ATAAGGAAATGA >mm10_chr5:64751437-64751448(+)::chr5:64751436-64751448(+) GGAAGGAAGCAA >mm10_chr5:64751452-64751463(+)::chr5:64751451-64751463(+) TTCAGGAAGTTA >mm10_chr5:64794147-64794158(+)::chr5:64794146-64794158(+) ATGAGGAAGTGG >mm10_chr5:64803055-64803066(-)::chr5:64803054-64803066(-) GGAAGGAAGGGC >mm10_chr5:64810562-64810573(+)::chr5:64810561-64810573(+) AAAAGGAAGTTT >mm10_chr5:64810581-64810592(-)::chr5:64810580-64810592(-) TATAGGAAATTA >mm10_chr5:64810600-64810611(-)::chr5:64810599-64810611(-) AGGAGGAAGGGC >mm10_chr5:64812697-64812708(+)::chr5:64812696-64812708(+) CAAAGGAAGTTC >mm10_chr5:64819570-64819581(-)::chr5:64819569-64819581(-) CACAGGAAGGCG >mm10_chr5:64820398-64820409(+)::chr5:64820397-64820409(+) ATGAGGAAGGTG >mm10_chr5:64834459-64834470(-)::chr5:64834458-64834470(-) AAGAGGAAGGGA >mm10_chr5:64853322-64853333(+)::chr5:64853321-64853333(+) AAGAGGAAGGGA >mm10_chr5:65026936-65026947(-)::chr5:65026935-65026947(-) agaagtaagttc >mm10_chr5:65026957-65026968(+)::chr5:65026956-65026968(+) gtaaggaagtct >mm10_chr5:65038588-65038599(-)::chr5:65038587-65038599(-) TAGAGGAAGAAG >mm10_chr5:65038994-65039005(+)::chr5:65038993-65039005(+) AAAAGGAAATGC >mm10_chr5:65046111-65046122(-)::chr5:65046110-65046122(-) GGCAGGAAGATA >mm10_chr5:65046166-65046177(+)::chr5:65046165-65046177(+) AAAAGGCAGTAC >mm10_chr5:65102606-65102617(-)::chr5:65102605-65102617(-) CCAAGGAAGTCA >mm10_chr5:65104740-65104751(+)::chr5:65104739-65104751(+) caaaggaaggcc >mm10_chr5:65104754-65104765(-)::chr5:65104753-65104765(-) tcaaggaagtag >mm10_chr5:65104783-65104794(-)::chr5:65104782-65104794(-) GGGAGGAAGAAC >mm10_chr5:65122067-65122078(+)::chr5:65122066-65122078(+) tggaggaagcga >mm10_chr5:65158206-65158217(-)::chr5:65158205-65158217(-) TGGCGGAAGTGC >mm10_chr5:65158227-65158238(-)::chr5:65158226-65158238(-) GCCAGGAAGACG >mm10_chr5:65187704-65187715(+)::chr5:65187703-65187715(+) GGTAGGAAGTTT >mm10_chr5:65187716-65187727(-)::chr5:65187715-65187727(-) TCGAGGAAATAA >mm10_chr5:65199510-65199521(+)::chr5:65199509-65199521(+) GGCAGGAAGTTG >mm10_chr5:65256852-65256863(-)::chr5:65256851-65256863(-) AAGAGGAAGCAC >mm10_chr5:65263427-65263438(-)::chr5:65263426-65263438(-) AAAGGGAAGTTC >mm10_chr5:65299832-65299843(-)::chr5:65299831-65299843(-) ACAAGGAAACAA >mm10_chr5:65299851-65299862(-)::chr5:65299850-65299862(-) TAGAGGAAGTGG >mm10_chr5:65322387-65322398(-)::chr5:65322386-65322398(-) GACAGGAAGTGT >mm10_chr5:65323192-65323203(+)::chr5:65323191-65323203(+) gaaAGGAAGGAA >mm10_chr5:65323196-65323207(+)::chr5:65323195-65323207(+) GGAAGGAAGGAA >mm10_chr5:65323212-65323223(+)::chr5:65323211-65323223(+) AGGAGGAAGTGG >mm10_chr5:65324483-65324494(+)::chr5:65324482-65324494(+) AACAGGAAGGAC >mm10_chr5:65335820-65335831(-)::chr5:65335819-65335831(-) TAGAGGAAGTCA >mm10_chr5:65335847-65335858(-)::chr5:65335846-65335858(-) GGAAGGAAGCCA >mm10_chr5:65335851-65335862(-)::chr5:65335850-65335862(-) TGCAGGAAGGAA >mm10_chr5:65364944-65364955(-)::chr5:65364943-65364955(-) AGGAGGAAATAA >mm10_chr5:65428206-65428217(+)::chr5:65428205-65428217(+) aggaggaagaag >mm10_chr5:65428224-65428235(+)::chr5:65428223-65428235(+) atgaggaagagg >mm10_chr5:65428236-65428247(+)::chr5:65428235-65428247(+) aggaggaagaag >mm10_chr5:65428248-65428259(+)::chr5:65428247-65428259(+) aggaggaagaga >mm10_chr5:65435878-65435889(-)::chr5:65435877-65435889(-) AGAAGGAAATAG >mm10_chr5:65489649-65489660(+)::chr5:65489648-65489660(+) GGTAGGAAGTAC >mm10_chr5:65514862-65514873(+)::chr5:65514861-65514873(+) AAAAGGAAGTAG >mm10_chr5:65553789-65553800(+)::chr5:65553788-65553800(+) TAAAGGAAATCC >mm10_chr5:65566361-65566372(-)::chr5:65566360-65566372(-) TAGAGGAAGACG >mm10_chr5:65641255-65641266(+)::chr5:65641254-65641266(+) GGAAGGAAGGGA >mm10_chr5:65641263-65641274(+)::chr5:65641262-65641274(+) GGGAGGAAGACC >mm10_chr5:65724523-65724534(-)::chr5:65724522-65724534(-) AGGAGGAAGCAG >mm10_chr5:65763162-65763173(+)::chr5:65763161-65763173(+) GCAAGGAAGTCA >mm10_chr5:65763181-65763192(+)::chr5:65763180-65763192(+) ACAAGGAAAAAC >mm10_chr5:65789143-65789154(-)::chr5:65789142-65789154(-) aagaggaagagg >mm10_chr5:65843671-65843682(+)::chr5:65843670-65843682(+) AGGAGGAAGGCA >mm10_chr5:65931489-65931500(+)::chr5:65931488-65931500(+) ACCAGGAAGGAG >mm10_chr5:65931521-65931532(+)::chr5:65931520-65931532(+) TACAGGAAATGT >mm10_chr5:65940620-65940631(-)::chr5:65940619-65940631(-) TCAAGGAAGTTG >mm10_chr5:65969592-65969603(-)::chr5:65969591-65969603(-) AAGAGGAAGAGA >mm10_chr5:65969598-65969609(-)::chr5:65969597-65969609(-) AAGAGGAAGAGG >mm10_chr5:65976188-65976199(-)::chr5:65976187-65976199(-) AGAAGGAAGAAA >mm10_chr5:65981142-65981153(-)::chr5:65981141-65981153(-) AGAAGGAAGAAA >mm10_chr5:65982588-65982599(-)::chr5:65982587-65982599(-) TTAAGGAAGCTG >mm10_chr5:65994772-65994783(-)::chr5:65994771-65994783(-) agcaggaaatga >mm10_chr5:65994811-65994822(+)::chr5:65994810-65994822(+) gccaggaagcat >mm10_chr5:65995413-65995424(+)::chr5:65995412-65995424(+) agaaggaaggaa >mm10_chr5:65995417-65995428(+)::chr5:65995416-65995428(+) ggaaggaaggaa >mm10_chr5:65995421-65995432(+)::chr5:65995420-65995432(+) ggaaggaaggca >mm10_chr5:65995437-65995448(+)::chr5:65995436-65995448(+) ggcaggaaggca >mm10_chr5:66000962-66000973(-)::chr5:66000961-66000973(-) AAGAGGAAGCAA >mm10_chr5:66000980-66000991(+)::chr5:66000979-66000991(+) AGAAGGAAGAAA >mm10_chr5:66004982-66004993(-)::chr5:66004981-66004993(-) ATGAGGAAGTAA >mm10_chr5:66041444-66041455(+)::chr5:66041443-66041455(+) accaggaagtct >mm10_chr5:66042980-66042991(-)::chr5:66042979-66042991(-) GGAAGGAACTGG >mm10_chr5:66043001-66043012(-)::chr5:66043000-66043012(-) GGAAGGAAGACA >mm10_chr5:66043005-66043016(-)::chr5:66043004-66043016(-) ATGAGGAAGGAA >mm10_chr5:66043029-66043040(-)::chr5:66043028-66043040(-) ACAAGGAAAGGA >mm10_chr5:66062841-66062852(+)::chr5:66062840-66062852(+) GAAGGGAAGTCT >mm10_chr5:66075063-66075074(-)::chr5:66075062-66075074(-) actaggaagtga >mm10_chr5:66075110-66075121(+)::chr5:66075109-66075121(+) aagaggaagtgt >mm10_chr5:66075370-66075381(-)::chr5:66075369-66075381(-) gacaggaagtgg >mm10_chr5:66075390-66075401(-)::chr5:66075389-66075401(-) tacaggaagcag >mm10_chr5:66075419-66075430(-)::chr5:66075418-66075430(-) agcaggaagctg >mm10_chr5:66079940-66079951(+)::chr5:66079939-66079951(+) GGGAGGAAGTTT >mm10_chr5:66099878-66099889(+)::chr5:66099877-66099889(+) GGAAGGAAGAGA >mm10_chr5:66112350-66112361(-)::chr5:66112349-66112361(-) TTGAGGAAGTGC >mm10_chr5:66138246-66138257(+)::chr5:66138245-66138257(+) TCCAGGAAGGGA >mm10_chr5:66138283-66138294(+)::chr5:66138282-66138294(+) ACCAGGAAGACA >mm10_chr5:66140320-66140331(+)::chr5:66140319-66140331(+) ACAAGGAAATGT >mm10_chr5:66151030-66151041(-)::chr5:66151029-66151041(-) GGAAGGGAGTAT >mm10_chr5:66160643-66160654(-)::chr5:66160642-66160654(-) aggaggaagagg >mm10_chr5:66160667-66160678(-)::chr5:66160666-66160678(-) aggaggaagagg >mm10_chr5:66212968-66212979(+)::chr5:66212967-66212979(+) aggaggaagagg >mm10_chr5:66224323-66224334(-)::chr5:66224322-66224334(-) AAGAGGAAGACG >mm10_chr5:66224394-66224405(-)::chr5:66224393-66224405(-) ACCAGGAAGGAT >mm10_chr5:66244357-66244368(-)::chr5:66244356-66244368(-) GGAAGGAAGAGG >mm10_chr5:66364084-66364095(-)::chr5:66364083-66364095(-) AGGAGGAAGACG >mm10_chr5:66523570-66523581(+)::chr5:66523569-66523581(+) ATAAGGAAAGAC >mm10_chr5:66550244-66550255(+)::chr5:66550243-66550255(+) AACAGGAAGTAC >mm10_chr5:66603488-66603499(+)::chr5:66603487-66603499(+) AGAAAGAAGTCA >mm10_chr5:66607874-66607885(-)::chr5:66607873-66607885(-) TACAGGAAGTTG >mm10_chr5:66608014-66608025(+)::chr5:66608013-66608025(+) AGAGGGAAGGTA >mm10_chr5:66609507-66609518(+)::chr5:66609506-66609518(+) GTCAGGAAGCGC >mm10_chr5:66609538-66609549(-)::chr5:66609537-66609549(-) AGCAGGAAGCAG >mm10_chr5:66620488-66620499(-)::chr5:66620487-66620499(-) GCAAGGAAGCGT >mm10_chr5:66723151-66723162(+)::chr5:66723150-66723162(+) AACAGGATGTAT >mm10_chr5:66942130-66942141(+)::chr5:66942129-66942141(+) CTAAGGAAGTCA >mm10_chr5:66942177-66942188(+)::chr5:66942176-66942188(+) AAAAGGAAGCCT >mm10_chr5:66948044-66948055(-)::chr5:66948043-66948055(-) GGCAGGAAGGAC >mm10_chr5:66948095-66948106(-)::chr5:66948094-66948106(-) AGAAGGAAGAGC >mm10_chr5:66955492-66955503(+)::chr5:66955491-66955503(+) GCGAGGAAGAAG >mm10_chr5:67002471-67002482(+)::chr5:67002470-67002482(+) ATGAGGAAGGAA >mm10_chr5:67002516-67002527(-)::chr5:67002515-67002527(-) TTAAGGAAGGGC >mm10_chr5:67012239-67012250(+)::chr5:67012238-67012250(+) TCCAGGAAGTTC >mm10_chr5:67016385-67016396(+)::chr5:67016384-67016396(+) TTAAGGAAGTAG >mm10_chr5:67021546-67021557(+)::chr5:67021545-67021557(+) aggaggaagaag >mm10_chr5:67021562-67021573(+)::chr5:67021561-67021573(+) aggaggaagagg >mm10_chr5:67021590-67021601(+)::chr5:67021589-67021601(+) gacaggaagaga >mm10_chr5:67021616-67021627(+)::chr5:67021615-67021627(+) ggacggaaggaa >mm10_chr5:67021620-67021631(+)::chr5:67021619-67021631(+) ggaaggaaggga >mm10_chr5:67024906-67024917(+)::chr5:67024905-67024917(+) GAAAGGAAGCAA >mm10_chr5:67024923-67024934(-)::chr5:67024922-67024934(-) AGGAGGAAGTGT >mm10_chr5:67306956-67306967(-)::chr5:67306955-67306967(-) ACCCGGAAGTCA >mm10_chr5:67342879-67342890(+)::chr5:67342878-67342890(+) ATCAGGAAGGTC >mm10_chr5:67342908-67342919(+)::chr5:67342907-67342919(+) AAAAGGAAGATG >mm10_chr5:67404563-67404574(+)::chr5:67404562-67404574(+) aggaggaagagC >mm10_chr5:67404604-67404615(+)::chr5:67404603-67404615(+) AAGAGGAAGTAA >mm10_chr5:67405246-67405257(+)::chr5:67405245-67405257(+) ATGAGGAAGACT >mm10_chr5:67405284-67405295(-)::chr5:67405283-67405295(-) GGAAGGAAGGAG >mm10_chr5:67446773-67446784(-)::chr5:67446772-67446784(-) AGAAGGAAGTGG >mm10_chr5:67531655-67531666(-)::chr5:67531654-67531666(-) ATGAGGAAGGCA >mm10_chr5:67531706-67531717(-)::chr5:67531705-67531717(-) AAACGGAAGACA >mm10_chr5:67654647-67654658(-)::chr5:67654646-67654658(-) AGCAGGAAATTC >mm10_chr5:67822300-67822311(+)::chr5:67822299-67822311(+) ATGAGGAAGCGG >mm10_chr5:67823580-67823591(+)::chr5:67823579-67823591(+) ACAAGGAAATAT >mm10_chr5:67846390-67846401(-)::chr5:67846389-67846401(-) TGTAGGAAGTGT >mm10_chr5:67847670-67847681(+)::chr5:67847669-67847681(+) AAGAGGAAGAGC >mm10_chr5:68048952-68048963(-)::chr5:68048951-68048963(-) AAGAGGAAGTGG >mm10_chr5:68049703-68049714(+)::chr5:68049702-68049714(+) AAGAGGAAGGAA >mm10_chr5:68049707-68049718(+)::chr5:68049706-68049718(+) GGAAGGAAGACC >mm10_chr5:68049763-68049774(+)::chr5:68049762-68049774(+) AAGAGGAAGAGA >mm10_chr5:68064353-68064364(+)::chr5:68064352-68064364(+) ttcaggaagtcc >mm10_chr5:68086713-68086724(-)::chr5:68086712-68086724(-) ATGAGGAAGGTG >mm10_chr5:68087338-68087349(-)::chr5:68087337-68087349(-) TACAGGAAGTTT >mm10_chr5:69508505-69508516(+)::chr5:69508504-69508516(+) ggcaggaagatc >mm10_chr5:69543640-69543651(-)::chr5:69543639-69543651(-) TCCAGGAAGAAT >mm10_chr5:69613583-69613594(+)::chr5:69613582-69613594(+) GTAAGGAAGTGG >mm10_chr5:70756309-70756320(+)::chr5:70756308-70756320(+) AAAAGGAAATAG >mm10_chr5:71056645-71056656(+)::chr5:71056644-71056656(+) agaaggaaaggg >mm10_chr5:71056655-71056666(+)::chr5:71056654-71056666(+) ggagggaagcgg >mm10_chr5:72438251-72438262(+)::chr5:72438250-72438262(+) GGAGGGAAGTCT >mm10_chr5:72465839-72465850(+)::chr5:72465838-72465850(+) ATGAggaagcca >mm10_chr5:72479855-72479866(+)::chr5:72479854-72479866(+) aggaggaagaga >mm10_chr5:72479879-72479890(+)::chr5:72479878-72479890(+) aaaaggaagaga >mm10_chr5:72560010-72560021(+)::chr5:72560009-72560021(+) TCCAGGAAGTAC >mm10_chr5:72646297-72646308(+)::chr5:72646296-72646308(+) tcgaggaagtaa >mm10_chr5:72675314-72675325(+)::chr5:72675313-72675325(+) agaaggaaaata >mm10_chr5:72697801-72697812(-)::chr5:72697800-72697812(-) AATAGGAAGATC >mm10_chr5:72697817-72697828(-)::chr5:72697816-72697828(-) GAGAGGAAGAAG >mm10_chr5:72791858-72791869(-)::chr5:72791857-72791869(-) AGGAGGAAGTAC >mm10_chr5:72791890-72791901(-)::chr5:72791889-72791901(-) CCAAGGAAGGCA >mm10_chr5:72820811-72820822(+)::chr5:72820810-72820822(+) AACAGGAAATGA >mm10_chr5:72820837-72820848(+)::chr5:72820836-72820848(+) ACAGGGAAGTAA >mm10_chr5:72854401-72854412(+)::chr5:72854400-72854412(+) GGCAGGAAATAC >mm10_chr5:72855890-72855901(+)::chr5:72855889-72855901(+) GGAAGGAAGCCA >mm10_chr5:72863418-72863429(-)::chr5:72863417-72863429(-) AGCAGGAAGAGC >mm10_chr5:72868568-72868579(-)::chr5:72868567-72868579(-) GGAAGGAAGGTG >mm10_chr5:72872569-72872580(+)::chr5:72872568-72872580(+) GACAGGAAGTGA >mm10_chr5:72872604-72872615(+)::chr5:72872603-72872615(+) AAGAGGAAGAAG >mm10_chr5:72872654-72872665(+)::chr5:72872653-72872665(+) AAGAGGAAGGGG >mm10_chr5:72889000-72889011(+)::chr5:72888999-72889011(+) ATAAGGAAGGAC >mm10_chr5:72891694-72891705(-)::chr5:72891693-72891705(-) GCCAGGAAGCGC >mm10_chr5:72896984-72896995(+)::chr5:72896983-72896995(+) CTAAGGAAGTTA >mm10_chr5:72910590-72910601(+)::chr5:72910589-72910601(+) AGAAGGAAGGTT >mm10_chr5:72910620-72910631(+)::chr5:72910619-72910631(+) GAAAGGAAATAT >mm10_chr5:72925479-72925490(-)::chr5:72925478-72925490(-) GTAAGGAAGTTT >mm10_chr5:73129955-73129966(+)::chr5:73129954-73129966(+) CAAAGGAAGACT >mm10_chr5:73231906-73231917(+)::chr5:73231905-73231917(+) gggaggaaggag >mm10_chr5:73231913-73231924(+)::chr5:73231912-73231924(+) aggaggaagaaa >mm10_chr5:73257225-73257236(-)::chr5:73257224-73257236(-) TCAAGGATGTGA >mm10_chr5:73257234-73257245(-)::chr5:73257233-73257245(-) GCCAGGAAGTCA >mm10_chr5:73265660-73265671(+)::chr5:73265659-73265671(+) gacaggaaggaa >mm10_chr5:73265664-73265675(+)::chr5:73265663-73265675(+) ggaaggaaatat >mm10_chr5:73401209-73401220(+)::chr5:73401208-73401220(+) gggaggaaggtg >mm10_chr5:73411952-73411963(+)::chr5:73411951-73411963(+) GTCAGGAAGTGG >mm10_chr5:73518274-73518285(+)::chr5:73518273-73518285(+) GTAAGGAAGGTG >mm10_chr5:73566687-73566698(+)::chr5:73566686-73566698(+) gtaaggaaggca >mm10_chr5:73567052-73567063(-)::chr5:73567051-73567063(-) cagaggaagtct >mm10_chr5:73661096-73661107(-)::chr5:73661095-73661107(-) AAAGGGAAGTAG >mm10_chr5:73675673-73675684(+)::chr5:73675672-73675684(+) GAAAGGAAGGAG >mm10_chr5:73675680-73675691(+)::chr5:73675679-73675691(+) AGGAGGAAGAAA >mm10_chr5:73675699-73675710(+)::chr5:73675698-73675710(+) CAGAGGAAGTGG >mm10_chr5:73776352-73776363(+)::chr5:73776351-73776363(+) ATAAGGAAGAGC >mm10_chr5:73871620-73871631(+)::chr5:73871619-73871631(+) CAGAGGAAGTCC >mm10_chr5:74015183-74015194(+)::chr5:74015182-74015194(+) GAGAGGAAGGAA >mm10_chr5:74015187-74015198(+)::chr5:74015186-74015198(+) GGAAGGAACTGG >mm10_chr5:74051754-74051765(+)::chr5:74051753-74051765(+) acaaggaaatgt >mm10_chr5:74058124-74058135(+)::chr5:74058123-74058135(+) ATGAGGAAATGG >mm10_chr5:74065218-74065229(+)::chr5:74065217-74065229(+) GGCAGGAAGAGG >mm10_chr5:74065224-74065235(+)::chr5:74065223-74065235(+) AAGAGGAAGGAA >mm10_chr5:74065228-74065239(+)::chr5:74065227-74065239(+) GGAAGGAAGAGG >mm10_chr5:74065255-74065266(+)::chr5:74065254-74065266(+) GGAAGGAAGGAC >mm10_chr5:74175614-74175625(-)::chr5:74175613-74175625(-) ACAAGGAAGGCA >mm10_chr5:74185391-74185402(-)::chr5:74185390-74185402(-) AAAAGGAAGCTC >mm10_chr5:74189097-74189108(+)::chr5:74189096-74189108(+) TAAATGAAGTGT >mm10_chr5:74208675-74208686(-)::chr5:74208674-74208686(-) GGCAGGAAGCAG >mm10_chr5:74212049-74212060(+)::chr5:74212048-74212060(+) ATAAGGAAAAAA >mm10_chr5:74212072-74212083(+)::chr5:74212071-74212083(+) CCAGGGAAGTGA >mm10_chr5:74249684-74249695(-)::chr5:74249683-74249695(-) CTGAGGAAGTGG >mm10_chr5:74249723-74249734(+)::chr5:74249722-74249734(+) ACAAGGAAAAGT >mm10_chr5:74249742-74249753(-)::chr5:74249741-74249753(-) ACACGGAAGAGA >mm10_chr5:74252832-74252843(+)::chr5:74252831-74252843(+) ACCCGGAAGTCC >mm10_chr5:74252860-74252871(+)::chr5:74252859-74252871(+) GGGAGGAAGAAA >mm10_chr5:74252895-74252906(+)::chr5:74252894-74252906(+) GGAAGGAAGCAA >mm10_chr5:74278289-74278300(+)::chr5:74278288-74278300(+) gggaggaagagg >mm10_chr5:74278295-74278306(+)::chr5:74278294-74278306(+) aagaggaagaag >mm10_chr5:74278307-74278318(+)::chr5:74278306-74278318(+) aggaggaagagg >mm10_chr5:74278313-74278324(+)::chr5:74278312-74278324(+) aagaggaagagg >mm10_chr5:74278322-74278333(+)::chr5:74278321-74278333(+) aggaggaagagg >mm10_chr5:74311557-74311568(-)::chr5:74311556-74311568(-) AGAAGGAAAATG >mm10_chr5:74362014-74362025(-)::chr5:74362013-74362025(-) aagaggaagagg >mm10_chr5:74362020-74362031(-)::chr5:74362019-74362031(-) aagaggaagagg >mm10_chr5:74365708-74365719(+)::chr5:74365707-74365719(+) ACAAGGAACTCT >mm10_chr5:74399317-74399328(+)::chr5:74399316-74399328(+) agcaggaaggga >mm10_chr5:74482700-74482711(-)::chr5:74482699-74482711(-) ACCAGGAAGTCT >mm10_chr5:74482772-74482783(+)::chr5:74482771-74482783(+) AGCAGGAAGGAT >mm10_chr5:74495398-74495409(+)::chr5:74495397-74495409(+) CAAAGGAAGAAG >mm10_chr5:74535738-74535749(+)::chr5:74535737-74535749(+) AGGAGGAAGAGT >mm10_chr5:74535767-74535778(-)::chr5:74535766-74535778(-) GACAGGAAGTTC >mm10_chr5:74543001-74543012(-)::chr5:74543000-74543012(-) aagaggaagaag >mm10_chr5:74543007-74543018(-)::chr5:74543006-74543018(-) ggaaggaagagg >mm10_chr5:74543011-74543022(-)::chr5:74543010-74543022(-) ggaaggaaggaa >mm10_chr5:74543015-74543026(-)::chr5:74543014-74543026(-) aggaggaaggaa >mm10_chr5:74543033-74543044(-)::chr5:74543032-74543044(-) aggaggaaggag >mm10_chr5:74543049-74543060(-)::chr5:74543048-74543060(-) agaaggaagaag >mm10_chr5:74563730-74563741(-)::chr5:74563729-74563741(-) AACAGGAAGAAT >mm10_chr5:74569999-74570010(+)::chr5:74569998-74570010(+) ACAAGGAAGTTC >mm10_chr5:74570018-74570029(-)::chr5:74570017-74570029(-) ACAAGGAAATTA >mm10_chr5:74668964-74668975(+)::chr5:74668963-74668975(+) ACGAGGAAGCAG >mm10_chr5:74702961-74702972(+)::chr5:74702960-74702972(+) TCACGGAAGTCT >mm10_chr5:74704907-74704918(+)::chr5:74704906-74704918(+) TAGAGGAAGTGG >mm10_chr5:74720672-74720683(+)::chr5:74720671-74720683(+) TCAAGGAAGTTG >mm10_chr5:74721134-74721145(+)::chr5:74721133-74721145(+) CAGAGGAAGACG >mm10_chr5:74747194-74747205(-)::chr5:74747193-74747205(-) AGAAGGAAGTTA >mm10_chr5:74800906-74800917(+)::chr5:74800905-74800917(+) acaaggaagcgg >mm10_chr5:74815674-74815685(-)::chr5:74815673-74815685(-) accaggaagcca >mm10_chr5:74826319-74826330(+)::chr5:74826318-74826330(+) GACGGGAAGTTA >mm10_chr5:74826336-74826347(-)::chr5:74826335-74826347(-) GCAAGGAACTGT >mm10_chr5:74944303-74944314(+)::chr5:74944302-74944314(+) CACAGGAAGTGG >mm10_chr5:74980337-74980348(+)::chr5:74980336-74980348(+) ACCAGGAAGTGA >mm10_chr5:74996323-74996334(-)::chr5:74996322-74996334(-) AGGAGGAAGAAG >mm10_chr5:75043308-75043319(-)::chr5:75043307-75043319(-) AGAAGGAAGTTT >mm10_chr5:75043515-75043526(-)::chr5:75043514-75043526(-) ACAAGGAATTAC >mm10_chr5:75044791-75044802(+)::chr5:75044790-75044802(+) ACCCGGAAGGCG >mm10_chr5:75154147-75154158(+)::chr5:75154146-75154158(+) GAAAGGAAGTGT >mm10_chr5:75257452-75257463(-)::chr5:75257451-75257463(-) AGAAGGAAAAGC >mm10_chr5:75260480-75260491(+)::chr5:75260479-75260491(+) CGCAGGAAGTTT >mm10_chr5:75380545-75380556(+)::chr5:75380544-75380556(+) GTGAGGAAGAAG >mm10_chr5:75384313-75384324(+)::chr5:75384312-75384324(+) aaaaggaagaag >mm10_chr5:75384337-75384348(+)::chr5:75384336-75384348(+) aggaggaagagA >mm10_chr5:75402536-75402547(-)::chr5:75402535-75402547(-) ATAAGGAAGGAG >mm10_chr5:75423716-75423727(+)::chr5:75423715-75423727(+) AACAGGAAGTTC >mm10_chr5:75430607-75430618(+)::chr5:75430606-75430618(+) GGGAGGAAGTGA >mm10_chr5:75458584-75458595(+)::chr5:75458583-75458595(+) accaggaagtta >mm10_chr5:75470143-75470154(+)::chr5:75470142-75470154(+) GTAAGGATGACG >mm10_chr5:75470183-75470194(-)::chr5:75470182-75470194(-) TGGAGGAAGGAA >mm10_chr5:75470224-75470235(+)::chr5:75470223-75470235(+) ACCAGGAAGTTT >mm10_chr5:75480435-75480446(-)::chr5:75480434-75480446(-) agcaggaagcag >mm10_chr5:75529244-75529255(+)::chr5:75529243-75529255(+) AGGAGGAAGTCA >mm10_chr5:75529276-75529287(-)::chr5:75529275-75529287(-) AAAAGGAAATCA >mm10_chr5:75537804-75537815(-)::chr5:75537803-75537815(-) AGAAGGAAGTGC >mm10_chr5:75537815-75537826(-)::chr5:75537814-75537826(-) GCAAGGAATTTA >mm10_chr5:75539253-75539264(+)::chr5:75539252-75539264(+) CCAAGGATGTAA >mm10_chr5:75545749-75545760(-)::chr5:75545748-75545760(-) AAGAGGAAGCAA >mm10_chr5:75557568-75557579(-)::chr5:75557567-75557579(-) ACACGGAAATTA >mm10_chr5:75590196-75590207(+)::chr5:75590195-75590207(+) AGCAGGAAGCTC >mm10_chr5:75692557-75692568(-)::chr5:75692556-75692568(-) TAAAGGAAGAAA >mm10_chr5:75696401-75696412(+)::chr5:75696400-75696412(+) AAGAGGAAGGAG >mm10_chr5:75739382-75739393(-)::chr5:75739381-75739393(-) TAAAGGAAATGG >mm10_chr5:75744684-75744695(-)::chr5:75744683-75744695(-) ACCAGGAAGAGG >mm10_chr5:75800641-75800652(-)::chr5:75800640-75800652(-) GTCAGGAAGATG >mm10_chr5:75808848-75808859(-)::chr5:75808847-75808859(-) ggaaggaaacgt >mm10_chr5:75808852-75808863(-)::chr5:75808851-75808863(-) ttaaggaaggaa >mm10_chr5:75810351-75810362(-)::chr5:75810350-75810362(-) TGAAGGAAGCTT >mm10_chr5:75810433-75810444(-)::chr5:75810432-75810444(-) GTCAGGAAGTAC >mm10_chr5:75810748-75810759(-)::chr5:75810747-75810759(-) AAGAGGAAGGGC >mm10_chr5:75842088-75842099(+)::chr5:75842087-75842099(+) tccaggaagaat >mm10_chr5:75871206-75871217(-)::chr5:75871205-75871217(-) GGGAGGAAGAGG >mm10_chr5:75900661-75900672(+)::chr5:75900660-75900672(+) AACAGGAAGTGG >mm10_chr5:75933689-75933700(+)::chr5:75933688-75933700(+) ATGAGGAAGGAG >mm10_chr5:75933710-75933721(-)::chr5:75933709-75933721(-) GGAAGGAAATGA >mm10_chr5:75933753-75933764(+)::chr5:75933752-75933764(+) GGAAGGAACTCT >mm10_chr5:76014083-76014094(-)::chr5:76014082-76014094(-) ATCCGGAAGTGC >mm10_chr5:76014098-76014109(-)::chr5:76014097-76014109(-) GACAGGAAGTAA >mm10_chr5:76135191-76135202(-)::chr5:76135190-76135202(-) gggaggaagaaa >mm10_chr5:76135200-76135211(+)::chr5:76135199-76135211(+) cccaggaagtcc >mm10_chr5:76136255-76136266(-)::chr5:76136254-76136266(-) aggaggaagatg >mm10_chr5:76136262-76136273(-)::chr5:76136261-76136273(-) aggaggaaggag >mm10_chr5:76136271-76136282(-)::chr5:76136270-76136282(-) gaaaggaagagg >mm10_chr5:76142945-76142956(+)::chr5:76142944-76142956(+) GGAAGCAAGTTA >mm10_chr5:76144061-76144072(-)::chr5:76144060-76144072(-) ACCAGGAAGAGG >mm10_chr5:76144087-76144098(+)::chr5:76144086-76144098(+) ACAAGGAAGCCA >mm10_chr5:76150472-76150483(+)::chr5:76150471-76150483(+) GCCAGGAAGAGG >mm10_chr5:76158369-76158380(-)::chr5:76158368-76158380(-) GGCAGGAAGCAG >mm10_chr5:76178696-76178707(+)::chr5:76178695-76178707(+) AGGAGGAAGAGG >mm10_chr5:76178702-76178713(+)::chr5:76178701-76178713(+) AAGAGGAAGAAC >mm10_chr5:76253728-76253739(-)::chr5:76253727-76253739(-) tccaggaagagg >mm10_chr5:76275152-76275163(+)::chr5:76275151-76275163(+) AAGAGGAAGATA >mm10_chr5:76275182-76275193(+)::chr5:76275181-76275193(+) ACAAGGAAGTAT >mm10_chr5:76529331-76529342(+)::chr5:76529330-76529342(+) TACGGGAAGTGG >mm10_chr5:76529931-76529942(+)::chr5:76529930-76529942(+) TGAAGGAAGTAT >mm10_chr5:76536665-76536676(+)::chr5:76536664-76536676(+) ggaaggaagcaa >mm10_chr5:76536683-76536694(+)::chr5:76536682-76536694(+) ctgaggaagtgc >mm10_chr5:76589030-76589041(+)::chr5:76589029-76589041(+) GGGAGGAAGTGC >mm10_chr5:76711401-76711412(-)::chr5:76711400-76711412(-) AGCAGGAAATGA >mm10_chr5:76906544-76906555(+)::chr5:76906543-76906555(+) tagaggaagtct >mm10_chr5:76906766-76906777(+)::chr5:76906765-76906777(+) TGCAGGAAATAC >mm10_chr5:76929259-76929270(-)::chr5:76929258-76929270(-) AAGAGGAAGGGC >mm10_chr5:76939859-76939870(+)::chr5:76939858-76939870(+) TCAAGGAAGTAG >mm10_chr5:76939909-76939920(+)::chr5:76939908-76939920(+) AAAGGGAAGTTA >mm10_chr5:76974026-76974037(+)::chr5:76974025-76974037(+) TTAAGGAAGGCC >mm10_chr5:76974621-76974632(-)::chr5:76974620-76974632(-) AGGAGGAAGTTG >mm10_chr5:77017622-77017633(-)::chr5:77017621-77017633(-) AGATGGAAGTGT >mm10_chr5:77134743-77134754(+)::chr5:77134742-77134754(+) CCCAGGAAGTTA >mm10_chr5:77147097-77147108(-)::chr5:77147096-77147108(-) GGCAGGAAGTGT >mm10_chr5:77179041-77179052(-)::chr5:77179040-77179052(-) AACAGGAAGCAG >mm10_chr5:77238133-77238144(+)::chr5:77238132-77238144(+) ACAAGGAAAAGT >mm10_chr5:77245949-77245960(+)::chr5:77245948-77245960(+) GGAATGAAGTGT >mm10_chr5:77245985-77245996(+)::chr5:77245984-77245996(+) CGCAGGAAGCAC >mm10_chr5:77250395-77250406(-)::chr5:77250394-77250406(-) ATGAGGAAATAC >mm10_chr5:77310553-77310564(-)::chr5:77310552-77310564(-) GACGGGAAGCGC >mm10_chr5:77317296-77317307(+)::chr5:77317295-77317307(+) TGTAGGAAGATG >mm10_chr5:77366515-77366526(-)::chr5:77366514-77366526(-) ATGAGGAAGTCC >mm10_chr5:77495404-77495415(-)::chr5:77495403-77495415(-) AGAAGGAAATGA >mm10_chr5:77495428-77495439(-)::chr5:77495427-77495439(-) AGAAGGAAGAGA >mm10_chr5:77495435-77495446(-)::chr5:77495434-77495446(-) GCCAGGAAGAAG >mm10_chr5:77500230-77500241(-)::chr5:77500229-77500241(-) ACAAGGAAATGA >mm10_chr5:77500282-77500293(-)::chr5:77500281-77500293(-) TCAAGGAAGGGC >mm10_chr5:77504293-77504304(+)::chr5:77504292-77504304(+) AAAAGGAAGCAA >mm10_chr5:78608486-78608497(+)::chr5:78608485-78608497(+) CTCAGGAAGTGT >mm10_chr5:78633006-78633017(+)::chr5:78633005-78633017(+) TGAATGAAGTAA >mm10_chr5:80520373-80520384(+)::chr5:80520372-80520384(+) aagaggaagcag >mm10_chr5:80520386-80520397(+)::chr5:80520385-80520397(+) agcaggaagatt >mm10_chr5:80549750-80549761(-)::chr5:80549749-80549761(-) AAGAGGAAATGC >mm10_chr5:80549756-80549767(-)::chr5:80549755-80549767(-) AGAAGGAAGAGG >mm10_chr5:80637357-80637368(-)::chr5:80637356-80637368(-) ggaaggaaggga >mm10_chr5:81001857-81001868(+)::chr5:81001856-81001868(+) TCAAGGAAGAAT >mm10_chr5:81037300-81037311(+)::chr5:81037299-81037311(+) TGGAGGAAGTTG >mm10_chr5:81179374-81179385(-)::chr5:81179373-81179385(-) ACAAGGAAATAA >mm10_chr5:81241712-81241723(+)::chr5:81241711-81241723(+) AGTAGGAAGTCA >mm10_chr5:81241778-81241789(+)::chr5:81241777-81241789(+) CTAAGGAAATGT >mm10_chr5:81269715-81269726(+)::chr5:81269714-81269726(+) AACAGGAAGGCA >mm10_chr5:81272244-81272255(-)::chr5:81272243-81272255(-) AAGAGGAAGCAG >mm10_chr5:81324491-81324502(-)::chr5:81324490-81324502(-) GCAAGGAAGTAT >mm10_chr5:81335115-81335126(+)::chr5:81335114-81335126(+) TCCAGGAAGAAA >mm10_chr5:81501160-81501171(+)::chr5:81501159-81501171(+) caaaggaaatca >mm10_chr5:81537092-81537103(-)::chr5:81537091-81537103(-) AGAAGGAAGAAG >mm10_chr5:81590705-81590716(+)::chr5:81590704-81590716(+) AGAAGGAAAAAG >mm10_chr5:81590712-81590723(+)::chr5:81590711-81590723(+) AAAAGGAAGTGT >mm10_chr5:81782290-81782301(+)::chr5:81782289-81782301(+) GAAGGGAAGTTT >mm10_chr5:81782326-81782337(+)::chr5:81782325-81782337(+) AAGAGGAAGAGA >mm10_chr5:82116525-82116536(+)::chr5:82116524-82116536(+) tgaaggaagatg >mm10_chr5:82289432-82289443(+)::chr5:82289431-82289443(+) TTAGGGAAGCGG >mm10_chr5:82380352-82380363(+)::chr5:82380351-82380363(+) TAGAGGAAGCAA >mm10_chr5:83043327-83043338(+)::chr5:83043326-83043338(+) aaaaggaacacg >mm10_chr5:83043354-83043365(-)::chr5:83043353-83043365(-) agaaggaaggct >mm10_chr5:83722319-83722330(+)::chr5:83722318-83722330(+) gagaggaaggat >mm10_chr5:84660622-84660633(+)::chr5:84660621-84660633(+) TGATGGAAGTAA >mm10_chr5:84660626-84660637(+)::chr5:84660625-84660637(+) GGAAGTAAGTAT >mm10_chr5:85977748-85977759(-)::chr5:85977747-85977759(-) CACAGGAAGATA >mm10_chr5:86172995-86173006(-)::chr5:86172994-86173006(-) AGCCGGAAGTGA >mm10_chr5:86176633-86176644(-)::chr5:86176632-86176644(-) GACAGGAAGTTG >mm10_chr5:86185544-86185555(-)::chr5:86185543-86185555(-) AGAAGGAAGAAG >mm10_chr5:86299718-86299729(-)::chr5:86299717-86299729(-) agcaggaagaat >mm10_chr5:86299725-86299736(-)::chr5:86299724-86299736(-) agcaggaagcag >mm10_chr5:86346192-86346203(+)::chr5:86346191-86346203(+) tgaaggaagggg >mm10_chr5:86346213-86346224(+)::chr5:86346212-86346224(+) gtaaggaaatag >mm10_chr5:86468592-86468603(-)::chr5:86468591-86468603(-) ATCAGGAAGTCA >mm10_chr5:86468636-86468647(+)::chr5:86468635-86468647(+) AGAAGGAAAAGC >mm10_chr5:86469028-86469039(+)::chr5:86469027-86469039(+) GGGAGGAAGGGA >mm10_chr5:86541014-86541025(+)::chr5:86541013-86541025(+) TTAATGAAGTAA >mm10_chr5:86727209-86727220(+)::chr5:86727208-86727220(+) AACAGGAAATTC >mm10_chr5:86741417-86741428(-)::chr5:86741416-86741428(-) AACAGGAAGCAT >mm10_chr5:86804243-86804254(+)::chr5:86804242-86804254(+) CGCAGGAAGCAG >mm10_chr5:86817046-86817057(+)::chr5:86817045-86817057(+) aggaggaaggag >mm10_chr5:86817058-86817069(+)::chr5:86817057-86817069(+) gggaggaagatg >mm10_chr5:86817070-86817081(+)::chr5:86817069-86817081(+) tagaggaagatg >mm10_chr5:86931247-86931258(+)::chr5:86931246-86931258(+) acaaggaaatgt >mm10_chr5:86931257-86931268(-)::chr5:86931256-86931268(-) tgcaggaagcac >mm10_chr5:86931290-86931301(+)::chr5:86931289-86931301(+) acagggaagtaa >mm10_chr5:87471514-87471525(-)::chr5:87471513-87471525(-) agaaggaaaggt >mm10_chr5:87563220-87563231(+)::chr5:87563219-87563231(+) TCAAGGAAGCAT >mm10_chr5:87563890-87563901(+)::chr5:87563889-87563901(+) ATGAGGAAGGAA >mm10_chr5:87563894-87563905(+)::chr5:87563893-87563905(+) GGAAGGAAGCAG >mm10_chr5:87563909-87563920(+)::chr5:87563908-87563920(+) AACAGGAAGGTG >mm10_chr5:87573726-87573737(+)::chr5:87573725-87573737(+) TGAAGGAAGAAG >mm10_chr5:87573733-87573744(+)::chr5:87573732-87573744(+) AGAAGGAAGGGC >mm10_chr5:87573744-87573755(+)::chr5:87573743-87573755(+) CAAAGGAAGTTC >mm10_chr5:87575243-87575254(+)::chr5:87575242-87575254(+) GGCAGGAAGTTA >mm10_chr5:87582650-87582661(-)::chr5:87582649-87582661(-) TGCAGGAAGGAA >mm10_chr5:87610353-87610364(+)::chr5:87610352-87610364(+) CTAAGGAAGTAG >mm10_chr5:87611759-87611770(+)::chr5:87611758-87611770(+) AGAAGGAAGAGC >mm10_chr5:87654407-87654418(+)::chr5:87654406-87654418(+) TCCAGGAAGTAC >mm10_chr5:87662571-87662582(-)::chr5:87662570-87662582(-) AGGAGGAAGGAG >mm10_chr5:87662631-87662642(-)::chr5:87662630-87662642(-) ACACGGAAGAAT >mm10_chr5:87678326-87678337(-)::chr5:87678325-87678337(-) AGCAGGAACTGA >mm10_chr5:87683707-87683718(+)::chr5:87683706-87683718(+) AGCAGGAAGTAG >mm10_chr5:87694823-87694834(+)::chr5:87694822-87694834(+) TTAAGGAAGGAT >mm10_chr5:87705734-87705745(-)::chr5:87705733-87705745(-) AATAGGAAATGT >mm10_chr5:87706051-87706062(+)::chr5:87706050-87706062(+) ACAAGGAAAGAA >mm10_chr5:87725805-87725816(+)::chr5:87725804-87725816(+) ATGAGGAAATGT >mm10_chr5:87734556-87734567(+)::chr5:87734555-87734567(+) acaaggaagggc >mm10_chr5:87740119-87740130(+)::chr5:87740118-87740130(+) gaagggaagtag >mm10_chr5:87740126-87740137(+)::chr5:87740125-87740137(+) agtaggaagagt >mm10_chr5:87795142-87795153(-)::chr5:87795141-87795153(-) TGCAGGAAATAT >mm10_chr5:87805319-87805330(-)::chr5:87805318-87805330(-) TTAGGGAAGTCA >mm10_chr5:87855502-87855513(+)::chr5:87855501-87855513(+) AGGAGGAAGCTA >mm10_chr5:87917806-87917817(-)::chr5:87917805-87917817(-) AGTAGGAAGAGA >mm10_chr5:87917847-87917858(+)::chr5:87917846-87917858(+) TGCAGGAAGGAA >mm10_chr5:87958797-87958808(-)::chr5:87958796-87958808(-) agaaggaaggac >mm10_chr5:87958815-87958826(-)::chr5:87958814-87958826(-) agaaggaactga >mm10_chr5:88071111-88071122(-)::chr5:88071110-88071122(-) AACAGGAAGAGG >mm10_chr5:88071123-88071134(-)::chr5:88071122-88071134(-) AGAAGGAAGAGG >mm10_chr5:88160190-88160201(+)::chr5:88160189-88160201(+) gaaaggaaggaa >mm10_chr5:88160194-88160205(+)::chr5:88160193-88160205(+) ggaaggaaggat >mm10_chr5:88254176-88254187(-)::chr5:88254175-88254187(-) aagaggaagagg >mm10_chr5:88254182-88254193(-)::chr5:88254181-88254193(-) tgaaggaagagg >mm10_chr5:88254191-88254202(-)::chr5:88254190-88254202(-) aggaggaagtga >mm10_chr5:88301339-88301350(+)::chr5:88301338-88301350(+) ACAAGGAAGGAG >mm10_chr5:88440154-88440165(-)::chr5:88440153-88440165(-) gacaggaagaaa >mm10_chr5:88469504-88469515(-)::chr5:88469503-88469515(-) GGCAGGAAATTG >mm10_chr5:88469514-88469525(-)::chr5:88469513-88469525(-) AAGAGGAAGTGG >mm10_chr5:88554292-88554303(+)::chr5:88554291-88554303(+) GAACGGAAATAT >mm10_chr5:88554322-88554333(+)::chr5:88554321-88554333(+) ACCCGGAAGTTT >mm10_chr5:88559125-88559136(+)::chr5:88559124-88559136(+) AGCAGGAAGTTG >mm10_chr5:88585416-88585427(-)::chr5:88585415-88585427(-) TAAAGGAAGCTG >mm10_chr5:88648126-88648137(+)::chr5:88648125-88648137(+) TTAAGGAAGCAG >mm10_chr5:88679078-88679089(+)::chr5:88679077-88679089(+) TCCAGGAAGTAC >mm10_chr5:88716027-88716038(-)::chr5:88716026-88716038(-) gtcaggaaggag >mm10_chr5:88718839-88718850(+)::chr5:88718838-88718850(+) ATCAGGAAGCAG >mm10_chr5:88718873-88718884(+)::chr5:88718872-88718884(+) AGAAGGAAGACT >mm10_chr5:88721983-88721994(-)::chr5:88721982-88721994(-) AGGAGGAAGGGG >mm10_chr5:88734770-88734781(-)::chr5:88734769-88734781(-) AATAGGAAGAAC >mm10_chr5:88770672-88770683(-)::chr5:88770671-88770683(-) aggaggaagtgc >mm10_chr5:88770683-88770694(-)::chr5:88770682-88770694(-) accaggaagtga >mm10_chr5:88832101-88832112(+)::chr5:88832100-88832112(+) acCAGGAAGTGT >mm10_chr5:88889472-88889483(+)::chr5:88889471-88889483(+) TTAAGGAAGGAA >mm10_chr5:88898980-88898991(+)::chr5:88898979-88898991(+) GAGAGGAAGAGG >mm10_chr5:89082598-89082609(-)::chr5:89082597-89082609(-) AGAAGGAAGAGA >mm10_chr5:89101953-89101964(+)::chr5:89101952-89101964(+) acaaggaagtct >mm10_chr5:89101960-89101971(-)::chr5:89101959-89101971(-) agaaggaagact >mm10_chr5:89159994-89160005(-)::chr5:89159993-89160005(-) atcaggatgtat >mm10_chr5:89403569-89403580(+)::chr5:89403568-89403580(+) TAGAGGAAGGAT >mm10_chr5:89403586-89403597(-)::chr5:89403585-89403597(-) ACGAGGAAGTCT >mm10_chr5:89403613-89403624(-)::chr5:89403612-89403624(-) ACAAGGAAGGCC >mm10_chr5:89406723-89406734(+)::chr5:89406722-89406734(+) TGCAGGAAGAAA >mm10_chr5:89407878-89407889(-)::chr5:89407877-89407889(-) aaaaggaaattg >mm10_chr5:89423653-89423664(+)::chr5:89423652-89423664(+) AGCAGGAAGGAC >mm10_chr5:89720957-89720968(+)::chr5:89720956-89720968(+) AAAGGGAAGTGG >mm10_chr5:89758408-89758419(+)::chr5:89758407-89758419(+) gggaggaaggga >mm10_chr5:89758707-89758718(+)::chr5:89758706-89758718(+) AAAAGGAAGCTT >mm10_chr5:89758725-89758736(-)::chr5:89758724-89758736(-) ATGAGGAAGTAT >mm10_chr5:89783803-89783814(+)::chr5:89783802-89783814(+) GAAAGGACGTGA >mm10_chr5:89856597-89856608(+)::chr5:89856596-89856608(+) aggaggaagagg >mm10_chr5:89856620-89856631(+)::chr5:89856619-89856631(+) aggaggaagagg >mm10_chr5:89861393-89861404(-)::chr5:89861392-89861404(-) AGAAGGAAGCTG >mm10_chr5:89861408-89861419(-)::chr5:89861407-89861419(-) ACACGGAAGTGC >mm10_chr5:89870387-89870398(-)::chr5:89870386-89870398(-) ACAAGGAAGTTT >mm10_chr5:89897606-89897617(+)::chr5:89897605-89897617(+) AAAAGGAAATAT >mm10_chr5:89931533-89931544(+)::chr5:89931532-89931544(+) GGCAGGAAGAGC >mm10_chr5:89932616-89932627(+)::chr5:89932615-89932627(+) ACAAGGAAAATA >mm10_chr5:90065196-90065207(+)::chr5:90065195-90065207(+) CAGAGGAAGTCT >mm10_chr5:90202067-90202078(-)::chr5:90202066-90202078(-) aagaggaagggg >mm10_chr5:90235996-90236007(-)::chr5:90235995-90236007(-) accaggaagcat >mm10_chr5:90298994-90299005(+)::chr5:90298993-90299005(+) GTAGGGAAGTAT >mm10_chr5:90326445-90326456(+)::chr5:90326444-90326456(+) AGGAGGAAGGGG >mm10_chr5:90339783-90339794(+)::chr5:90339782-90339794(+) AACAGGAAATGG >mm10_chr5:90366571-90366582(-)::chr5:90366570-90366582(-) GGAAGGAAGGAG >mm10_chr5:90366575-90366586(-)::chr5:90366574-90366586(-) GCCAGGAAGGAA >mm10_chr5:90375805-90375816(-)::chr5:90375804-90375816(-) AACAGGAAGAAG >mm10_chr5:90389577-90389588(-)::chr5:90389576-90389588(-) ATGAGGAAGAAC >mm10_chr5:90441210-90441221(-)::chr5:90441209-90441221(-) AAGAGGAAGTCA >mm10_chr5:90441242-90441253(-)::chr5:90441241-90441253(-) AACAGGAAGTCA >mm10_chr5:90472102-90472113(-)::chr5:90472101-90472113(-) TTCAGGAAGTGT >mm10_chr5:90551818-90551829(+)::chr5:90551817-90551829(+) CCAAGGAAATCA >mm10_chr5:90569188-90569199(+)::chr5:90569187-90569199(+) CTAAGGAAGTGG >mm10_chr5:90595065-90595076(+)::chr5:90595064-90595076(+) ACAAGGAAAGCG >mm10_chr5:90634697-90634708(+)::chr5:90634696-90634708(+) ACAAGGAAGAAG >mm10_chr5:90636042-90636053(+)::chr5:90636041-90636053(+) GGACGGAAGAGC >mm10_chr5:90647969-90647980(-)::chr5:90647968-90647980(-) AGGAGGAAGAAA >mm10_chr5:90689603-90689614(-)::chr5:90689602-90689614(-) ACCAGGAAGTGA >mm10_chr5:90714453-90714464(+)::chr5:90714452-90714464(+) tggaggaagtag >mm10_chr5:90714515-90714526(-)::chr5:90714514-90714526(-) gtgaggaagacg >mm10_chr5:90714525-90714536(-)::chr5:90714524-90714536(-) agcaggaagagt >mm10_chr5:90728678-90728689(-)::chr5:90728677-90728689(-) accaggaagttt >mm10_chr5:90728719-90728730(+)::chr5:90728718-90728730(+) atgaggaagaca >mm10_chr5:90731464-90731475(-)::chr5:90731463-90731475(-) AGAAGGAAGCTT >mm10_chr5:90731471-90731482(-)::chr5:90731470-90731482(-) ACAAGGAAGAAG >mm10_chr5:90736941-90736952(+)::chr5:90736940-90736952(+) AGACGGAAGAGG >mm10_chr5:90758350-90758361(-)::chr5:90758349-90758361(-) GGGAGGAAGATA >mm10_chr5:90772423-90772434(-)::chr5:90772422-90772434(-) ATGAGGAAGTGC >mm10_chr5:90785689-90785700(-)::chr5:90785688-90785700(-) ATAATGAAGTGA >mm10_chr5:90789427-90789438(+)::chr5:90789426-90789438(+) AGCAGGAAATGA >mm10_chr5:90862177-90862188(-)::chr5:90862176-90862188(-) AAAAGGAAGGAC >mm10_chr5:90879437-90879448(+)::chr5:90879436-90879448(+) AAGAGGAAGTGG >mm10_chr5:90885584-90885595(-)::chr5:90885583-90885595(-) AGAAGGAAATCC >mm10_chr5:90885601-90885612(-)::chr5:90885600-90885612(-) TACAGGAAGCAG >mm10_chr5:90900331-90900342(+)::chr5:90900330-90900342(+) AGCAGGAAGTAA >mm10_chr5:90900369-90900380(-)::chr5:90900368-90900380(-) GTCAGGAAATGA >mm10_chr5:90931128-90931139(-)::chr5:90931127-90931139(-) ACAGGGAAGTCC >mm10_chr5:91035422-91035433(+)::chr5:91035421-91035433(+) AGAAGGAATTTC >mm10_chr5:91035427-91035438(-)::chr5:91035426-91035438(-) ACAAGGAAATTC >mm10_chr5:91038876-91038887(-)::chr5:91038875-91038887(-) AGCAGGAAGCAG >mm10_chr5:91196389-91196400(-)::chr5:91196388-91196400(-) ATCAGGAAATAG >mm10_chr5:91209196-91209207(-)::chr5:91209195-91209207(-) TCTAGGAAGTCT >mm10_chr5:91284167-91284178(-)::chr5:91284166-91284178(-) TGGAGGAAATAG >mm10_chr5:91284195-91284206(-)::chr5:91284194-91284206(-) CCAAGGAACTCG >mm10_chr5:91332251-91332262(-)::chr5:91332250-91332262(-) acaaggaagcca >mm10_chr5:91402833-91402844(+)::chr5:91402832-91402844(+) AGGAGGAAGCGA >mm10_chr5:91426392-91426403(+)::chr5:91426391-91426403(+) TTAGGGAAGTTT >mm10_chr5:91490359-91490370(+)::chr5:91490358-91490370(+) ACAAGGAAGAGC >mm10_chr5:91490412-91490423(+)::chr5:91490411-91490423(+) ACAGGGAAGTGA >mm10_chr5:91565464-91565475(+)::chr5:91565463-91565475(+) ACAAGGAACTTG >mm10_chr5:91607918-91607929(-)::chr5:91607917-91607929(-) GTCAGGAAGAAA >mm10_chr5:91607937-91607948(+)::chr5:91607936-91607948(+) ACCAGGAAGAAG >mm10_chr5:91607944-91607955(+)::chr5:91607943-91607955(+) AGAAGGAAAGAA >mm10_chr5:91727654-91727665(-)::chr5:91727653-91727665(-) caaaggaagtca >mm10_chr5:91727723-91727734(-)::chr5:91727722-91727734(-) ACGAGGAAGGAG >mm10_chr5:91850510-91850521(+)::chr5:91850509-91850521(+) AGAAGGAAAAGA >mm10_chr5:91850722-91850733(-)::chr5:91850721-91850733(-) GGGAGGATGTAT >mm10_chr5:91939026-91939037(-)::chr5:91939025-91939037(-) AGCAGGAAGTCC >mm10_chr5:91961075-91961086(+)::chr5:91961074-91961086(+) AAGAGGAAGAGG >mm10_chr5:91962355-91962366(-)::chr5:91962354-91962366(-) ATGAGGAAGTGA >mm10_chr5:91962849-91962860(+)::chr5:91962848-91962860(+) GTCAGGAAGGGG >mm10_chr5:91964549-91964560(+)::chr5:91964548-91964560(+) AGGAGGAAGTAT >mm10_chr5:92000976-92000987(-)::chr5:92000975-92000987(-) AACAGGAAGAGA >mm10_chr5:92001033-92001044(-)::chr5:92001032-92001044(-) AGGAGGAAGTGG >mm10_chr5:92001613-92001624(-)::chr5:92001612-92001624(-) ACACGGAAGGGG >mm10_chr5:92091857-92091868(+)::chr5:92091856-92091868(+) ACGGGGAAGTAC >mm10_chr5:92091925-92091936(-)::chr5:92091924-92091936(-) GGGAGGAAGTCC >mm10_chr5:92098496-92098507(-)::chr5:92098495-92098507(-) GGAAGGAAGTCT >mm10_chr5:92110721-92110732(+)::chr5:92110720-92110732(+) AGAAGGAAAAAA >mm10_chr5:92149734-92149745(-)::chr5:92149733-92149745(-) AGAAGGAAGTGT >mm10_chr5:92158124-92158135(+)::chr5:92158123-92158135(+) aggaggaagaag >mm10_chr5:92158131-92158142(+)::chr5:92158130-92158142(+) agaaggaagaag >mm10_chr5:92219094-92219105(+)::chr5:92219093-92219105(+) AGGAGGAAGTGC >mm10_chr5:92220031-92220042(+)::chr5:92220030-92220042(+) TTGAGGAAGTGG >mm10_chr5:92235300-92235311(-)::chr5:92235299-92235311(-) AACAGGAAGCGT >mm10_chr5:92471578-92471589(-)::chr5:92471577-92471589(-) accaggaagctg >mm10_chr5:92471590-92471601(+)::chr5:92471589-92471601(+) actaggaagaaa >mm10_chr5:92471610-92471621(-)::chr5:92471609-92471621(-) accaggatgtag >mm10_chr5:92474005-92474016(+)::chr5:92474004-92474016(+) TGAAGGAAGTGT >mm10_chr5:92474062-92474073(+)::chr5:92474061-92474073(+) GAGAGGAAGAGG >mm10_chr5:92474068-92474079(+)::chr5:92474067-92474079(+) AAGAGGAAGCAC >mm10_chr5:92488322-92488333(+)::chr5:92488321-92488333(+) TAACGGAAGTCA >mm10_chr5:92498896-92498907(+)::chr5:92498895-92498907(+) AGAAGGAAATGT >mm10_chr5:92505647-92505658(+)::chr5:92505646-92505658(+) GCCGGGAAGCGG >mm10_chr5:92530384-92530395(+)::chr5:92530383-92530395(+) acaaggaagtag >mm10_chr5:92579726-92579737(-)::chr5:92579725-92579737(-) ACCAGGAAGGAC >mm10_chr5:92579792-92579803(+)::chr5:92579791-92579803(+) AGAAGGAAGAGT >mm10_chr5:92596490-92596501(+)::chr5:92596489-92596501(+) TCAAGGAAGCAT >mm10_chr5:92605575-92605586(+)::chr5:92605574-92605586(+) ATAAGGAAGTTA >mm10_chr5:92695923-92695934(+)::chr5:92695922-92695934(+) ttaaggaagtta >mm10_chr5:92695932-92695943(+)::chr5:92695931-92695943(+) ttaaggaagaca >mm10_chr5:92695945-92695956(+)::chr5:92695944-92695956(+) tacaggaagaag >mm10_chr5:92707953-92707964(+)::chr5:92707952-92707964(+) ACAAGGAAGCTG >mm10_chr5:92709721-92709732(+)::chr5:92709720-92709732(+) gggaggaagaaa >mm10_chr5:92709744-92709755(+)::chr5:92709743-92709755(+) gggaggaaggag >mm10_chr5:92709751-92709762(+)::chr5:92709750-92709762(+) aggaggaaggaa >mm10_chr5:92709755-92709766(+)::chr5:92709754-92709766(+) ggaaggaaggca >mm10_chr5:92709767-92709778(+)::chr5:92709766-92709778(+) ggcaggaaggat >mm10_chr5:92709791-92709802(+)::chr5:92709790-92709802(+) aggaggaagagg >mm10_chr5:92709803-92709814(+)::chr5:92709802-92709814(+) aggaggaagagg >mm10_chr5:92745782-92745793(+)::chr5:92745781-92745793(+) GCAAGGAAATAT >mm10_chr5:92745817-92745828(+)::chr5:92745816-92745828(+) AGGAGGAAGTAT >mm10_chr5:92751279-92751290(-)::chr5:92751278-92751290(-) GGAAGGAAGCCT >mm10_chr5:92751283-92751294(-)::chr5:92751282-92751294(-) AAAAGGAAGGAA >mm10_chr5:92759376-92759387(-)::chr5:92759375-92759387(-) agtaggaagtat >mm10_chr5:92759383-92759394(-)::chr5:92759382-92759394(-) cgaaggaagtag >mm10_chr5:92763899-92763910(-)::chr5:92763898-92763910(-) ACACGGAAATGC >mm10_chr5:92798316-92798327(+)::chr5:92798315-92798327(+) AGAAGGAAGAAG >mm10_chr5:92800545-92800556(-)::chr5:92800544-92800556(-) ggaaggaaggtc >mm10_chr5:92800549-92800560(-)::chr5:92800548-92800560(-) Tgcaggaaggaa >mm10_chr5:92801868-92801879(-)::chr5:92801867-92801879(-) ggaaggaagaaa >mm10_chr5:92801872-92801883(-)::chr5:92801871-92801883(-) aagaggaaggaa >mm10_chr5:92801891-92801902(-)::chr5:92801890-92801902(-) tcaaggaagagt >mm10_chr5:92811388-92811399(-)::chr5:92811387-92811399(-) AAGAGGAAGTAT >mm10_chr5:92840377-92840388(-)::chr5:92840376-92840388(-) aggaggaagagg >mm10_chr5:92840392-92840403(-)::chr5:92840391-92840403(-) aggaggaagagg >mm10_chr5:92840404-92840415(-)::chr5:92840403-92840415(-) aggaggaagagg >mm10_chr5:92842609-92842620(-)::chr5:92842608-92842620(-) GGCAGGAAGCTA >mm10_chr5:92842621-92842632(+)::chr5:92842620-92842632(+) GCCAGGAAGTCC >mm10_chr5:92843049-92843060(-)::chr5:92843048-92843060(-) GTAAGGTAGTGT >mm10_chr5:92856453-92856464(-)::chr5:92856452-92856464(-) AAAAGGAAGAGG >mm10_chr5:92856673-92856684(-)::chr5:92856672-92856684(-) CAGAGGAAGCGA >mm10_chr5:92858287-92858298(-)::chr5:92858286-92858298(-) TTAAGGAAATTC >mm10_chr5:92866800-92866811(+)::chr5:92866799-92866811(+) TACAGGAAGTGG >mm10_chr5:92869610-92869621(+)::chr5:92869609-92869621(+) ataaggaagtcg >mm10_chr5:92873907-92873918(-)::chr5:92873906-92873918(-) AGAAGGAAATGT >mm10_chr5:92874864-92874875(-)::chr5:92874863-92874875(-) AGGAGGAAGAGG >mm10_chr5:92875588-92875599(-)::chr5:92875587-92875599(-) AGCAGGAAGAGG >mm10_chr5:92886311-92886322(+)::chr5:92886310-92886322(+) ATAAGGAAACCA >mm10_chr5:92886345-92886356(-)::chr5:92886344-92886356(-) AGAAGGAACTCT >mm10_chr5:92897921-92897932(-)::chr5:92897920-92897932(-) CCCAGGAAGCGT >mm10_chr5:92900158-92900169(-)::chr5:92900157-92900169(-) GGAAGGAAGGCT >mm10_chr5:92900162-92900173(-)::chr5:92900161-92900173(-) ATGAGGAAGGAA >mm10_chr5:92967223-92967234(-)::chr5:92967222-92967234(-) GGAAGGAAGACG >mm10_chr5:92998116-92998127(-)::chr5:92998115-92998127(-) AAAAGGAAGTGA >mm10_chr5:93000195-93000206(+)::chr5:93000194-93000206(+) AAGAGGAAGGAA >mm10_chr5:93000248-93000259(+)::chr5:93000247-93000259(+) AGGAGGAAGGCC >mm10_chr5:93000906-93000917(+)::chr5:93000905-93000917(+) AACAGGAAGTGG >mm10_chr5:93005826-93005837(+)::chr5:93005825-93005837(+) ACAAGGAAGGAA >mm10_chr5:93031229-93031240(-)::chr5:93031228-93031240(-) aggaggaaggag >mm10_chr5:93031274-93031285(-)::chr5:93031273-93031285(-) gtgaggaaggga >mm10_chr5:93032834-93032845(+)::chr5:93032833-93032845(+) ggaaggaagtgt >mm10_chr5:93032880-93032891(-)::chr5:93032879-93032891(-) tgtaggaagtag >mm10_chr5:93032889-93032900(+)::chr5:93032888-93032900(+) acaaggaagtca >mm10_chr5:93035349-93035360(-)::chr5:93035348-93035360(-) AGCAGGAAGCAA >mm10_chr5:93040131-93040142(+)::chr5:93040130-93040142(+) AAGAGGAAGCAA >mm10_chr5:93042215-93042226(-)::chr5:93042214-93042226(-) GAAAGGACGCGT >mm10_chr5:93042671-93042682(+)::chr5:93042670-93042682(+) CCAAGGAAGTCT >mm10_chr5:93042701-93042712(-)::chr5:93042700-93042712(-) GCCGGGAAGTGT >mm10_chr5:93064566-93064577(+)::chr5:93064565-93064577(+) AAAAGGAAAGTA >mm10_chr5:93077835-93077846(+)::chr5:93077834-93077846(+) AGAAGGAAGCAT >mm10_chr5:93086103-93086114(+)::chr5:93086102-93086114(+) acaaggaaatcc >mm10_chr5:93128126-93128137(-)::chr5:93128125-93128137(-) AGAAGGAACTCC >mm10_chr5:93151937-93151948(-)::chr5:93151936-93151948(-) TCCAGGAAGAGA >mm10_chr5:93184794-93184805(-)::chr5:93184793-93184805(-) ATAGGGAAGTGC >mm10_chr5:93184843-93184854(-)::chr5:93184842-93184854(-) AACAGGAAGGAA >mm10_chr5:93198388-93198399(+)::chr5:93198387-93198399(+) ACAAGGAAAGGG >mm10_chr5:93198394-93198405(+)::chr5:93198393-93198405(+) AAAGGGAAGTAA >mm10_chr5:93198404-93198415(+)::chr5:93198403-93198415(+) AAAAGGAAGCAG >mm10_chr5:93198414-93198425(+)::chr5:93198413-93198425(+) AGAAGCAAGTGG >mm10_chr5:93198429-93198440(+)::chr5:93198428-93198440(+) AAAAGGAAGCTC >mm10_chr5:93206538-93206549(-)::chr5:93206537-93206549(-) GCCAGGAAGAGA >mm10_chr5:93221815-93221826(-)::chr5:93221814-93221826(-) GCCAGGAAGGCA >mm10_chr5:93278001-93278012(+)::chr5:93278000-93278012(+) TTAAGGAAGCTG >mm10_chr5:93278030-93278041(+)::chr5:93278029-93278041(+) TGGAGGAAGATG >mm10_chr5:96010117-96010128(-)::chr5:96010116-96010128(-) TCCAGGAAATAC >mm10_chr5:96079232-96079243(-)::chr5:96079231-96079243(-) AAAAGGAAGTCC >mm10_chr5:96108569-96108580(+)::chr5:96108568-96108580(+) aaaaggaaattt >mm10_chr5:96160668-96160679(-)::chr5:96160667-96160679(-) AAGAGGAAGTTC >mm10_chr5:96160674-96160685(-)::chr5:96160673-96160685(-) GTGAGGAAGAGG >mm10_chr5:96164192-96164203(+)::chr5:96164191-96164203(+) AACAGGAAGTTC >mm10_chr5:96177133-96177144(+)::chr5:96177132-96177144(+) AAGAGGAAGAGT >mm10_chr5:96222152-96222163(-)::chr5:96222151-96222163(-) ataaggaagtga >mm10_chr5:96241024-96241035(-)::chr5:96241023-96241035(-) ACAAGGAAATTT >mm10_chr5:96301148-96301159(-)::chr5:96301147-96301159(-) AGCAGGATGTAA >mm10_chr5:96439811-96439822(+)::chr5:96439810-96439822(+) ACCAGGAAGTTT >mm10_chr5:96479481-96479492(+)::chr5:96479480-96479492(+) ATGAGGAAATTG >mm10_chr5:96479553-96479564(-)::chr5:96479552-96479564(-) CAGAGGAAGTAG >mm10_chr5:96479589-96479600(+)::chr5:96479588-96479600(+) ACCAGGAAGAGG >mm10_chr5:96794419-96794430(+)::chr5:96794418-96794430(+) AGGAGGAAGTAC >mm10_chr5:96869021-96869032(+)::chr5:96869020-96869032(+) gccaggaaatgt >mm10_chr5:96880210-96880221(+)::chr5:96880209-96880221(+) ctaaggaagtaa >mm10_chr5:96880214-96880225(+)::chr5:96880213-96880225(+) ggaagtaagtat >mm10_chr5:96911745-96911756(-)::chr5:96911744-96911756(-) AAGAGGAAGGAG >mm10_chr5:96911751-96911762(-)::chr5:96911750-96911762(-) GAGAGGAAGAGG >mm10_chr5:96917659-96917670(-)::chr5:96917658-96917670(-) AACAGGAAGAAG >mm10_chr5:97355112-97355123(+)::chr5:97355111-97355123(+) GACAGGAAGAAG >mm10_chr5:98018297-98018308(+)::chr5:98018296-98018308(+) AAGAGGAAGTAG >mm10_chr5:98018315-98018326(+)::chr5:98018314-98018326(+) AGGAGGAAGTGC >mm10_chr5:98018333-98018344(+)::chr5:98018332-98018344(+) AAGAGGAAGTAA >mm10_chr5:98034503-98034514(+)::chr5:98034502-98034514(+) TTCAGGAAGTTG >mm10_chr5:98034531-98034542(+)::chr5:98034530-98034542(+) AAAAGGAAGAGA >mm10_chr5:98050729-98050740(+)::chr5:98050728-98050740(+) tcaagtaagtaa >mm10_chr5:98050770-98050781(+)::chr5:98050769-98050781(+) agtaggaaatgc >mm10_chr5:98149305-98149316(-)::chr5:98149304-98149316(-) agaaggaagctt >mm10_chr5:98930671-98930682(+)::chr5:98930670-98930682(+) GGAAGGAAGGGA >mm10_chr5:98930679-98930690(+)::chr5:98930678-98930690(+) GGGAGGAAGTAG >mm10_chr5:99248563-99248574(-)::chr5:99248562-99248574(-) TACAGGAAGGTT >mm10_chr5:99250937-99250948(+)::chr5:99250936-99250948(+) ATAAGGAAGTCC >mm10_chr5:99297740-99297751(-)::chr5:99297739-99297751(-) gaaaggaagtga >mm10_chr5:99348452-99348463(+)::chr5:99348451-99348463(+) ACAAGGAAACAT >mm10_chr5:99395506-99395517(-)::chr5:99395505-99395517(-) GACAGGAAGTCA >mm10_chr5:99461703-99461714(-)::chr5:99461702-99461714(-) ggcaggaagtgg >mm10_chr5:99461721-99461732(-)::chr5:99461720-99461732(-) agcaggaagctg >mm10_chr5:99516377-99516388(+)::chr5:99516376-99516388(+) agaaggaactca >mm10_chr5:99516410-99516421(-)::chr5:99516409-99516421(-) aacaggaagtag >mm10_chr5:99516426-99516437(-)::chr5:99516425-99516437(-) aacaggaagcaa >mm10_chr5:99517860-99517871(+)::chr5:99517859-99517871(+) ATCAGGAAGACA >mm10_chr5:99536149-99536160(-)::chr5:99536148-99536160(-) AGAAGGAAGTAG >mm10_chr5:99906650-99906661(+)::chr5:99906649-99906661(+) ACAAGGAAATGA >mm10_chr5:99945195-99945206(-)::chr5:99945194-99945206(-) GGAAGGAACTGG >mm10_chr5:99945199-99945210(-)::chr5:99945198-99945210(-) AGAAGGAAGGAA >mm10_chr5:99977696-99977707(+)::chr5:99977695-99977707(+) ACCAGGAAGGAC >mm10_chr5:99995827-99995838(-)::chr5:99995826-99995838(-) tagaggaagtgc >mm10_chr5:99995844-99995855(+)::chr5:99995843-99995855(+) ggaaggaaattc >mm10_chr5:100009444-100009455(+)::chr5:100009443-100009455(+) accaggaagtta >mm10_chr5:100058504-100058515(+)::chr5:100058503-100058515(+) TGGAGGAAGTCT >mm10_chr5:100074927-100074938(-)::chr5:100074926-100074938(-) aagaggaaggag >mm10_chr5:100074938-100074949(-)::chr5:100074937-100074949(-) aggaggaaggaa >mm10_chr5:100074960-100074971(-)::chr5:100074959-100074971(-) aggaggaagagg >mm10_chr5:100074969-100074980(-)::chr5:100074968-100074980(-) agaaggaagagg >mm10_chr5:100227065-100227076(-)::chr5:100227064-100227076(-) GGAAGGAAGTTA >mm10_chr5:100227069-100227080(-)::chr5:100227068-100227080(-) TTAAGGAAGGAA >mm10_chr5:100334040-100334051(+)::chr5:100334039-100334051(+) GAAAGGACGTAT >mm10_chr5:100339788-100339799(-)::chr5:100339787-100339799(-) TAGAGGAAGTTG >mm10_chr5:100339847-100339858(+)::chr5:100339846-100339858(+) TGACGGAAGAAA >mm10_chr5:100357789-100357800(+)::chr5:100357788-100357800(+) caaaggaaggga >mm10_chr5:100357817-100357828(+)::chr5:100357816-100357828(+) gggaggaaggga >mm10_chr5:100416247-100416258(-)::chr5:100416246-100416258(-) ACCCGGAAGTGC >mm10_chr5:100416447-100416458(+)::chr5:100416446-100416458(+) AAGGGGAAGTCG >mm10_chr5:100427476-100427487(-)::chr5:100427475-100427487(-) ggcaggaaggca >mm10_chr5:100429715-100429726(+)::chr5:100429714-100429726(+) AGCCGGAAGTTG >mm10_chr5:100488928-100488939(-)::chr5:100488927-100488939(-) ggcaggaaggag >mm10_chr5:100500805-100500816(+)::chr5:100500804-100500816(+) AAAGGGAAGGCG >mm10_chr5:100509426-100509437(+)::chr5:100509425-100509437(+) ACCAGGAAGTGG >mm10_chr5:100509446-100509457(-)::chr5:100509445-100509457(-) AGAAGGAAGTCA >mm10_chr5:100509465-100509476(+)::chr5:100509464-100509476(+) GTAGGGAAGTGC >mm10_chr5:100540464-100540475(-)::chr5:100540463-100540475(-) aagaggaagagg >mm10_chr5:100540470-100540481(-)::chr5:100540469-100540481(-) aggaggaagagg >mm10_chr5:100557734-100557745(+)::chr5:100557733-100557745(+) ACAGGGAAATCG >mm10_chr5:100574580-100574591(+)::chr5:100574579-100574591(+) actaggaagtaa >mm10_chr5:100574595-100574606(-)::chr5:100574594-100574606(-) agaaggaagtag >mm10_chr5:100574615-100574626(+)::chr5:100574614-100574626(+) ctcaggaagttg >mm10_chr5:100588430-100588441(+)::chr5:100588429-100588441(+) ACCAGGAAGATG >mm10_chr5:100588465-100588476(-)::chr5:100588464-100588476(-) ACAAGGAAGGAA >mm10_chr5:100614328-100614339(-)::chr5:100614327-100614339(-) GCAGGGAAGTCA >mm10_chr5:100618698-100618709(+)::chr5:100618697-100618709(+) AGAAGGAAAAAA >mm10_chr5:100618743-100618754(+)::chr5:100618742-100618754(+) agaaggaagagg >mm10_chr5:100618749-100618760(+)::chr5:100618748-100618760(+) aagaggaagagg >mm10_chr5:100625203-100625214(-)::chr5:100625202-100625214(-) ACAAGGAAGGAG >mm10_chr5:100625230-100625241(+)::chr5:100625229-100625241(+) ACAAGGAactat >mm10_chr5:100632859-100632870(+)::chr5:100632858-100632870(+) TTAAGGAAGGGC >mm10_chr5:100636920-100636931(+)::chr5:100636919-100636931(+) GCCAGGAAGGGA >mm10_chr5:100643508-100643519(-)::chr5:100643507-100643519(-) AGGAGGAAGAAA >mm10_chr5:100643543-100643554(-)::chr5:100643542-100643554(-) AGCAGGAAGACC >mm10_chr5:100647439-100647450(-)::chr5:100647438-100647450(-) AGAAGGAAGTAG >mm10_chr5:100671604-100671615(-)::chr5:100671603-100671615(-) TTCAGGAAGTTT >mm10_chr5:100824556-100824567(+)::chr5:100824555-100824567(+) ACAAGGACGAAA >mm10_chr5:100833363-100833374(+)::chr5:100833362-100833374(+) gcaaggaagtga >mm10_chr5:100845165-100845176(-)::chr5:100845164-100845176(-) AGAAGGAAGCCG >mm10_chr5:100860861-100860872(-)::chr5:100860860-100860872(-) AGACGGAAGATT >mm10_chr5:100864715-100864726(-)::chr5:100864714-100864726(-) gagaggaagggt >mm10_chr5:101514384-101514395(+)::chr5:101514383-101514395(+) acaaggaagtaa >mm10_chr5:101514426-101514437(+)::chr5:101514425-101514437(+) acaaagaagtaa >mm10_chr5:101539984-101539995(+)::chr5:101539983-101539995(+) ATAAGGAAGTGA >mm10_chr5:101539998-101540009(+)::chr5:101539997-101540009(+) AAGAGGAAGGAG >mm10_chr5:101540047-101540058(+)::chr5:101540046-101540058(+) aggaggaagggg >mm10_chr5:101690982-101690993(+)::chr5:101690981-101690993(+) ACCAGGAAGAAC >mm10_chr5:101694922-101694933(+)::chr5:101694921-101694933(+) aggaggaagtga >mm10_chr5:101694950-101694961(+)::chr5:101694949-101694961(+) tagaggaaggaa >mm10_chr5:101694954-101694965(+)::chr5:101694953-101694965(+) ggaaggaagaga >mm10_chr5:101694966-101694977(+)::chr5:101694965-101694977(+) agaaggaagaga >mm10_chr5:101694978-101694989(+)::chr5:101694977-101694989(+) agaaggaagaga >mm10_chr5:101749773-101749784(+)::chr5:101749772-101749784(+) agaaggaagagg >mm10_chr5:101749779-101749790(+)::chr5:101749778-101749790(+) aagaggaagagg >mm10_chr5:101749785-101749796(+)::chr5:101749784-101749796(+) aagaggaagaag >mm10_chr5:101749820-101749831(+)::chr5:101749819-101749831(+) aggaggaagagg >mm10_chr5:101766854-101766865(+)::chr5:101766853-101766865(+) TCAAGGAAATAC >mm10_chr5:101766880-101766891(-)::chr5:101766879-101766891(-) TTAAGGAAGTCG >mm10_chr5:101766893-101766904(+)::chr5:101766892-101766904(+) TGGAGGAAGCTA >mm10_chr5:102011386-102011397(+)::chr5:102011385-102011397(+) aagaggaaggAA >mm10_chr5:102035733-102035744(-)::chr5:102035732-102035744(-) TACAGGAAGTGT >mm10_chr5:102046114-102046125(+)::chr5:102046113-102046125(+) AGCAGGAAGGGA >mm10_chr5:102070051-102070062(-)::chr5:102070050-102070062(-) AAGAGGAAGGAA >mm10_chr5:102071417-102071428(-)::chr5:102071416-102071428(-) AAAAGGAAGCAG >mm10_chr5:102071423-102071434(-)::chr5:102071422-102071434(-) AGAAGGAAAAGG >mm10_chr5:102071440-102071451(-)::chr5:102071439-102071451(-) AGGAGGAAGGAG >mm10_chr5:102091164-102091175(-)::chr5:102091163-102091175(-) TTAAGGAAGCGC >mm10_chr5:102126135-102126146(-)::chr5:102126134-102126146(-) CAAAGGAAGTGA >mm10_chr5:102615827-102615838(+)::chr5:102615826-102615838(+) GCCAGGAAGTTC >mm10_chr5:102615852-102615863(+)::chr5:102615851-102615863(+) ACCAGGAAGCAA >mm10_chr5:102643983-102643994(-)::chr5:102643982-102643994(-) AGGAGGAAGCTG >mm10_chr5:102643990-102644001(-)::chr5:102643989-102644001(-) GCCAGGAAGGAG >mm10_chr5:102691016-102691027(-)::chr5:102691015-102691027(-) AATAGGAAGACA >mm10_chr5:102704968-102704979(+)::chr5:102704967-102704979(+) ATAAGGACGACA >mm10_chr5:102705326-102705337(-)::chr5:102705325-102705337(-) cagaggaagttg >mm10_chr5:103258230-103258241(-)::chr5:103258229-103258241(-) agaaggaaggtt >mm10_chr5:103277657-103277668(-)::chr5:103277656-103277668(-) TGGAGGAAATAC >mm10_chr5:103367423-103367434(+)::chr5:103367422-103367434(+) GCAAGGAAGTGC >mm10_chr5:103387553-103387564(-)::chr5:103387552-103387564(-) GGAAGGGAGTTA >mm10_chr5:103387557-103387568(-)::chr5:103387556-103387568(-) ACGAGGAAGGGA >mm10_chr5:103387598-103387609(+)::chr5:103387597-103387609(+) TGGCGGAAGTGC >mm10_chr5:103392703-103392714(-)::chr5:103392702-103392714(-) AGAAGGAACTAG >mm10_chr5:103409276-103409287(+)::chr5:103409275-103409287(+) AACAGGAAATCA >mm10_chr5:103437913-103437924(+)::chr5:103437912-103437924(+) gtaaggaagcct >mm10_chr5:103490558-103490569(-)::chr5:103490557-103490569(-) ACCAGGAAGAAC >mm10_chr5:103624965-103624976(-)::chr5:103624964-103624976(-) ACCAGGAAATGA >mm10_chr5:103624980-103624991(+)::chr5:103624979-103624991(+) ACAAGGAAATTT >mm10_chr5:103680477-103680488(-)::chr5:103680476-103680488(-) AAAAGGAAATGG >mm10_chr5:103714310-103714321(+)::chr5:103714309-103714321(+) accaggaagtcc >mm10_chr5:103747330-103747341(-)::chr5:103747329-103747341(-) ACAAGGAAGTCT >mm10_chr5:103752879-103752890(-)::chr5:103752878-103752890(-) aacgggaagtgc >mm10_chr5:103760876-103760887(-)::chr5:103760875-103760887(-) TACAGGAAGTGC >mm10_chr5:103773363-103773374(-)::chr5:103773362-103773374(-) AGCAGGAAGCAA >mm10_chr5:103778957-103778968(+)::chr5:103778956-103778968(+) GTAAGGAAGAAG >mm10_chr5:103800124-103800135(-)::chr5:103800123-103800135(-) ACGAGGAAGCAA >mm10_chr5:103807000-103807011(-)::chr5:103806999-103807011(-) ACCAGGAAATGG >mm10_chr5:103814631-103814642(-)::chr5:103814630-103814642(-) CAAAGGAAGAAC >mm10_chr5:103821264-103821275(-)::chr5:103821263-103821275(-) GGAAGGAAGTGG >mm10_chr5:103821740-103821751(-)::chr5:103821739-103821751(-) ACAAGGAAGTGC >mm10_chr5:103836294-103836305(-)::chr5:103836293-103836305(-) atcaggaagggt >mm10_chr5:103927619-103927630(+)::chr5:103927618-103927630(+) TGAAGGAAATGA >mm10_chr5:104011665-104011676(+)::chr5:104011664-104011676(+) AGAAGGAAGAAC >mm10_chr5:104022223-104022234(+)::chr5:104022222-104022234(+) ACAAGGAAGGGG >mm10_chr5:104022245-104022256(+)::chr5:104022244-104022256(+) GAAAGGAAGAGA >mm10_chr5:104032643-104032654(-)::chr5:104032642-104032654(-) AAGAGGAAGTGT >mm10_chr5:104128309-104128320(+)::chr5:104128308-104128320(+) AGCAGGAAACGA >mm10_chr5:104142867-104142878(-)::chr5:104142866-104142878(-) AAGAGGAAGACG >mm10_chr5:104143520-104143531(+)::chr5:104143519-104143531(+) CAAAGGAAGGGA >mm10_chr5:104154816-104154827(-)::chr5:104154815-104154827(-) ACAAGGAACTGT >mm10_chr5:104154829-104154840(-)::chr5:104154828-104154840(-) AGAAGGAACTGT >mm10_chr5:104158481-104158492(-)::chr5:104158480-104158492(-) ACAAGGAAGAGG >mm10_chr5:104215485-104215496(-)::chr5:104215484-104215496(-) TCCAGGAAGGAA >mm10_chr5:104216277-104216288(+)::chr5:104216276-104216288(+) TCAAGGAAGTTA >mm10_chr5:104216289-104216300(+)::chr5:104216288-104216300(+) ACAAGGAAGTTA >mm10_chr5:104300211-104300222(-)::chr5:104300210-104300222(-) aggaggaagaAC >mm10_chr5:104300240-104300251(-)::chr5:104300239-104300251(-) agaaggaagaga >mm10_chr5:104300249-104300260(-)::chr5:104300248-104300260(-) aagaggaagaga >mm10_chr5:104300255-104300266(-)::chr5:104300254-104300266(-) aggaggaagagg >mm10_chr5:104300267-104300278(-)::chr5:104300266-104300278(-) aggaggaagagg >mm10_chr5:104300279-104300290(-)::chr5:104300278-104300290(-) aggaggaagagg >mm10_chr5:104333435-104333446(+)::chr5:104333434-104333446(+) GGCAGGAAGACT >mm10_chr5:104345369-104345380(+)::chr5:104345368-104345380(+) AAAAGGAAGTGA >mm10_chr5:104345383-104345394(+)::chr5:104345382-104345394(+) TGCAGGAAGTAG >mm10_chr5:104352096-104352107(+)::chr5:104352095-104352107(+) ACCAGGAAGTCC >mm10_chr5:104358115-104358126(+)::chr5:104358114-104358126(+) AAGAGGAAGTCA >mm10_chr5:104376660-104376671(+)::chr5:104376659-104376671(+) AGCAGGAAGAAT >mm10_chr5:104377702-104377713(+)::chr5:104377701-104377713(+) AGAAGGAAGAGG >mm10_chr5:104377752-104377763(-)::chr5:104377751-104377763(-) TGAAGGAAGTCG >mm10_chr5:104383412-104383423(-)::chr5:104383411-104383423(-) AGAAGGAAGACA >mm10_chr5:104396843-104396854(-)::chr5:104396842-104396854(-) ATAGGGAAGACA >mm10_chr5:104403628-104403639(+)::chr5:104403627-104403639(+) ACCAGGAAGTCA >mm10_chr5:104407390-104407401(+)::chr5:104407389-104407401(+) gaaaggaaggag >mm10_chr5:104434960-104434971(-)::chr5:104434959-104434971(-) AAAAGGAAGAAA >mm10_chr5:104434996-104435007(+)::chr5:104434995-104435007(+) AGGAGGAAGTGT >mm10_chr5:104958375-104958386(-)::chr5:104958374-104958386(-) TAGAGGAAGTTG >mm10_chr5:105040143-105040154(-)::chr5:105040142-105040154(-) cccaggaagtat >mm10_chr5:105154335-105154346(-)::chr5:105154334-105154346(-) ttaaggaagagc >mm10_chr5:105417073-105417084(-)::chr5:105417072-105417084(-) ACGAGGAAGGCT >mm10_chr5:105451667-105451678(-)::chr5:105451666-105451678(-) ATGAGGAAGGAA >mm10_chr5:105495630-105495641(+)::chr5:105495629-105495641(+) TTCAGGAAGTGC >mm10_chr5:105545222-105545233(-)::chr5:105545221-105545233(-) ataaggaagcca >mm10_chr5:105685099-105685110(+)::chr5:105685098-105685110(+) AACAGGAAATGA >mm10_chr5:105694168-105694179(+)::chr5:105694167-105694179(+) CTGAGGAAGTGC >mm10_chr5:105698817-105698828(-)::chr5:105698816-105698828(-) AGGAGGAAGTGC >mm10_chr5:105708868-105708879(+)::chr5:105708867-105708879(+) AGGAGGAAGAGG >mm10_chr5:105708880-105708891(+)::chr5:105708879-105708891(+) AGAAGGAAGAGA >mm10_chr5:105720207-105720218(-)::chr5:105720206-105720218(-) ACCAGGAAGAGC >mm10_chr5:105742676-105742687(+)::chr5:105742675-105742687(+) GTCAGGAAGGAA >mm10_chr5:105745459-105745470(+)::chr5:105745458-105745470(+) AACAGGAAGAGT >mm10_chr5:105745471-105745482(-)::chr5:105745470-105745482(-) TTGAGGAAGTGT >mm10_chr5:105758996-105759007(+)::chr5:105758995-105759007(+) agaagcaagtta >mm10_chr5:105810404-105810415(+)::chr5:105810403-105810415(+) TGGAGGAAGCGT >mm10_chr5:105810416-105810427(+)::chr5:105810415-105810427(+) TCCAGGAAGAGA >mm10_chr5:105816315-105816326(+)::chr5:105816314-105816326(+) AGGAGGAAATGG >mm10_chr5:105860160-105860171(-)::chr5:105860159-105860171(-) GGAAGGAAGACG >mm10_chr5:105860179-105860190(+)::chr5:105860178-105860190(+) TACAGGAAGGCC >mm10_chr5:105860210-105860221(+)::chr5:105860209-105860221(+) ACGAGGAAGGGG >mm10_chr5:105861729-105861740(-)::chr5:105861728-105861740(-) AGTAGGAAGTCC >mm10_chr5:105873295-105873306(-)::chr5:105873294-105873306(-) AACAGGAAGCAT >mm10_chr5:105873312-105873323(+)::chr5:105873311-105873323(+) AGGAGGAAGGTT >mm10_chr5:105875669-105875680(+)::chr5:105875668-105875680(+) AGGAGGAAGAGA >mm10_chr5:105881098-105881109(+)::chr5:105881097-105881109(+) AAGAGGAAGAAG >mm10_chr5:106027169-106027180(+)::chr5:106027168-106027180(+) ACCAGGAAGGAA >mm10_chr5:106027227-106027238(+)::chr5:106027226-106027238(+) TGCAGGAAGAGT >mm10_chr5:106394969-106394980(-)::chr5:106394968-106394980(-) gtagggaagtct >mm10_chr5:106815081-106815092(-)::chr5:106815080-106815092(-) AGGAGGAAGTCT >mm10_chr5:106816139-106816150(-)::chr5:106816138-106816150(-) AGCAGGAAGAGT >mm10_chr5:106816205-106816216(-)::chr5:106816204-106816216(-) TTAAGGAAATTT >mm10_chr5:106891913-106891924(+)::chr5:106891912-106891924(+) TCAAGTAAGTGA >mm10_chr5:106891944-106891955(+)::chr5:106891943-106891955(+) ACAAGGAAATGC >mm10_chr5:106891986-106891997(-)::chr5:106891985-106891997(-) TGCAGGAAGAGT >mm10_chr5:106891994-106892005(+)::chr5:106891993-106892005(+) TGCAGGAAGAGA >mm10_chr5:106893422-106893433(-)::chr5:106893421-106893433(-) AAAAGGAAATTA >mm10_chr5:106964334-106964345(+)::chr5:106964333-106964345(+) CGCGGGAAGAAT >mm10_chr5:107001890-107001901(+)::chr5:107001889-107001901(+) GCCAGGAAGGAG >mm10_chr5:107057103-107057114(+)::chr5:107057102-107057114(+) GAAATGAAGTAG >mm10_chr5:107075375-107075386(-)::chr5:107075374-107075386(-) AACAGGAAGTAG >mm10_chr5:107079671-107079682(-)::chr5:107079670-107079682(-) gggaggAAGTGA >mm10_chr5:107079696-107079707(-)::chr5:107079695-107079707(-) agcaggaagcag >mm10_chr5:107085995-107086006(+)::chr5:107085994-107086006(+) ACAAGGAATTGC >mm10_chr5:107086315-107086326(-)::chr5:107086314-107086326(-) ATGAGGAAGGCA >mm10_chr5:107086355-107086366(-)::chr5:107086354-107086366(-) TACAGGAAGCTA >mm10_chr5:107092314-107092325(-)::chr5:107092313-107092325(-) ggaaggaggtag >mm10_chr5:107092318-107092329(-)::chr5:107092317-107092329(-) tggaggaaggag >mm10_chr5:107093303-107093314(-)::chr5:107093302-107093314(-) ggaaggaactGC >mm10_chr5:107093471-107093482(-)::chr5:107093470-107093482(-) GGAAGTAAGTTG >mm10_chr5:107093475-107093486(-)::chr5:107093474-107093486(-) TGAAGGAAGTAA >mm10_chr5:107120153-107120164(+)::chr5:107120152-107120164(+) ACCAGGAAGAGC >mm10_chr5:107121210-107121221(+)::chr5:107121209-107121221(+) aaaaggaacgca >mm10_chr5:107121228-107121239(+)::chr5:107121227-107121239(+) gaaaggaagagg >mm10_chr5:107121234-107121245(+)::chr5:107121233-107121245(+) aagaggaagTGG >mm10_chr5:107157632-107157643(+)::chr5:107157631-107157643(+) AGGAGGAAGGGG >mm10_chr5:107164260-107164271(+)::chr5:107164259-107164271(+) GACAGGAAATAA >mm10_chr5:107199709-107199720(-)::chr5:107199708-107199720(-) TCCAGGAAGTCC >mm10_chr5:107202963-107202974(-)::chr5:107202962-107202974(-) TACAGGAAGTTG >mm10_chr5:107210597-107210608(+)::chr5:107210596-107210608(+) CCAAGGAAGAGG >mm10_chr5:107239173-107239184(+)::chr5:107239172-107239184(+) AGCAGGAAGCTC >mm10_chr5:107254076-107254087(-)::chr5:107254075-107254087(-) agaaggaaggag >mm10_chr5:107254092-107254103(-)::chr5:107254091-107254103(-) aggaggaagagg >mm10_chr5:107254110-107254121(-)::chr5:107254109-107254121(-) aagaggaagagg >mm10_chr5:107254116-107254127(-)::chr5:107254115-107254127(-) aggaggaagagg >mm10_chr5:107296284-107296295(+)::chr5:107296283-107296295(+) TGAAGGATGTGT >mm10_chr5:107296569-107296580(+)::chr5:107296568-107296580(+) ACAAGGAAAAAT >mm10_chr5:107402458-107402469(-)::chr5:107402457-107402469(-) GGAAGGAAGGAG >mm10_chr5:107448213-107448224(+)::chr5:107448212-107448224(+) acaaggaaggaa >mm10_chr5:107448217-107448228(+)::chr5:107448216-107448228(+) ggaaggaaggaa >mm10_chr5:107448221-107448232(+)::chr5:107448220-107448232(+) ggaaggaagaag >mm10_chr5:107513725-107513736(-)::chr5:107513724-107513736(-) AAGAGGAAGTCA >mm10_chr5:107546223-107546234(-)::chr5:107546222-107546234(-) TACAGGAAGTAT >mm10_chr5:107564454-107564465(-)::chr5:107564453-107564465(-) acaaggaaggag >mm10_chr5:107564476-107564487(-)::chr5:107564475-107564487(-) tgaaggaagtca >mm10_chr5:107645779-107645790(+)::chr5:107645778-107645790(+) agaaggaagtgc >mm10_chr5:107646783-107646794(+)::chr5:107646782-107646794(+) tgcaggaaggat >mm10_chr5:107699044-107699055(+)::chr5:107699043-107699055(+) ATCAGGAAGCTA >mm10_chr5:107699058-107699069(-)::chr5:107699057-107699069(-) CTCAGGAAGTAC >mm10_chr5:107711276-107711287(-)::chr5:107711275-107711287(-) ttaaggatgtgc >mm10_chr5:107723956-107723967(+)::chr5:107723955-107723967(+) CCAAGGAAGAGT >mm10_chr5:107723984-107723995(+)::chr5:107723983-107723995(+) AGAGGGAAGCCG >mm10_chr5:107749800-107749811(-)::chr5:107749799-107749811(-) AAAAGGAAGACA >mm10_chr5:107801035-107801046(-)::chr5:107801034-107801046(-) AGCAGGAAGCAC >mm10_chr5:107853949-107853960(-)::chr5:107853948-107853960(-) ggaaggaagtgg >mm10_chr5:107853953-107853964(-)::chr5:107853952-107853964(-) tgcaggaaggaa >mm10_chr5:107900438-107900449(+)::chr5:107900437-107900449(+) AGGAGGAAGATG >mm10_chr5:107960882-107960893(+)::chr5:107960881-107960893(+) agcaggaagctg >mm10_chr5:107961455-107961466(+)::chr5:107961454-107961466(+) GAAAGGAACTCG >mm10_chr5:107978767-107978778(+)::chr5:107978766-107978778(+) TAAAGGAAGGCC >mm10_chr5:107985057-107985068(+)::chr5:107985056-107985068(+) aggaggaagagg >mm10_chr5:107985063-107985074(+)::chr5:107985062-107985074(+) aagaggaagagg >mm10_chr5:107993709-107993720(-)::chr5:107993708-107993720(-) ttcaggaagtgt >mm10_chr5:107997790-107997801(+)::chr5:107997789-107997801(+) CCAAGGAAGTCC >mm10_chr5:108031421-108031432(+)::chr5:108031420-108031432(+) agcaggaactga >mm10_chr5:108109604-108109615(+)::chr5:108109603-108109615(+) AGAAGGAAAGGA >mm10_chr5:108132545-108132556(-)::chr5:108132544-108132556(-) GGAAGGCAGTGC >mm10_chr5:108268903-108268914(-)::chr5:108268902-108268914(-) CACCGGAAGTCG >mm10_chr5:108360372-108360383(-)::chr5:108360371-108360383(-) GGAAGGAAGTTG >mm10_chr5:108360376-108360387(-)::chr5:108360375-108360387(-) GACAGGAAGGAA >mm10_chr5:108362782-108362793(+)::chr5:108362781-108362793(+) AGGAGGAAGTTG >mm10_chr5:108362796-108362807(-)::chr5:108362795-108362807(-) AGAAGGAAGTTT >mm10_chr5:108362809-108362820(+)::chr5:108362808-108362820(+) GGAAGGAAGAAT >mm10_chr5:108444559-108444570(+)::chr5:108444558-108444570(+) AGGAGGACGTAG >mm10_chr5:108483988-108483999(-)::chr5:108483987-108483999(-) tgtaggaagtag >mm10_chr5:108619516-108619527(-)::chr5:108619515-108619527(-) tgaaggaagtcc >mm10_chr5:108627182-108627193(-)::chr5:108627181-108627193(-) ACCAGGAAGTAT >mm10_chr5:108627404-108627415(-)::chr5:108627403-108627415(-) AGAAGGAAGAGG >mm10_chr5:108636356-108636367(+)::chr5:108636355-108636367(+) AAGAGGAAGTGT >mm10_chr5:108662975-108662986(+)::chr5:108662974-108662986(+) GGAAGGATGTAC >mm10_chr5:108710324-108710335(-)::chr5:108710323-108710335(-) AACAGGAAGAGA >mm10_chr5:108711158-108711169(-)::chr5:108711157-108711169(-) AGGAGGAAGGGG >mm10_chr5:108711180-108711191(+)::chr5:108711179-108711191(+) ACAGGGAAGTTG >mm10_chr5:108711209-108711220(-)::chr5:108711208-108711220(-) TTCAGGAAGTAT >mm10_chr5:108714321-108714332(+)::chr5:108714320-108714332(+) AAGAGGAAATGA >mm10_chr5:108722854-108722865(+)::chr5:108722853-108722865(+) ATAAGGAAGTAC >mm10_chr5:108786577-108786588(-)::chr5:108786576-108786588(-) TAGAGGAAGTTG >mm10_chr5:108822779-108822790(-)::chr5:108822778-108822790(-) AAGAGGAAGTTC >mm10_chr5:108822795-108822806(+)::chr5:108822794-108822806(+) AGGAGGAAGAAG >mm10_chr5:109557100-109557111(+)::chr5:109557099-109557111(+) ACCCGGAAGTGA >mm10_chr5:109557123-109557134(+)::chr5:109557122-109557134(+) GACAGGAAATAT >mm10_chr5:109557279-109557290(+)::chr5:109557278-109557290(+) GAAAGGAAGTAC >mm10_chr5:109557293-109557304(-)::chr5:109557292-109557304(-) GACAGGAAGGAG >mm10_chr5:109557319-109557330(+)::chr5:109557318-109557330(+) GAAAGGAAGTAC >mm10_chr5:109596831-109596842(-)::chr5:109596830-109596842(-) ACAAGGAAGCGC >mm10_chr5:110107763-110107774(-)::chr5:110107762-110107774(-) TACAGGAAGGCC >mm10_chr5:110119598-110119609(+)::chr5:110119597-110119609(+) TCCAGGAAGTCA >mm10_chr5:110135632-110135643(-)::chr5:110135631-110135643(-) GCACGGAAGTAG >mm10_chr5:110135647-110135658(+)::chr5:110135646-110135658(+) TGCAGGAAGGTA >mm10_chr5:110176375-110176386(-)::chr5:110176374-110176386(-) ACGAGGAAGTCT >mm10_chr5:110248590-110248601(+)::chr5:110248589-110248601(+) AGAAGGAAGTGT >mm10_chr5:110269885-110269896(-)::chr5:110269884-110269896(-) AGCCGGAAGCGG >mm10_chr5:110275040-110275051(-)::chr5:110275039-110275051(-) ACAAGGAAGTTA >mm10_chr5:110293696-110293707(-)::chr5:110293695-110293707(-) ATAAGGAAGTAC >mm10_chr5:110293738-110293749(+)::chr5:110293737-110293749(+) TCGAGGAAATGC >mm10_chr5:110331827-110331838(+)::chr5:110331826-110331838(+) ATTAGGAAATAG >mm10_chr5:110332425-110332436(+)::chr5:110332424-110332436(+) acaaggaaaagg >mm10_chr5:110332440-110332451(+)::chr5:110332439-110332451(+) aggaggaagagg >mm10_chr5:110339290-110339301(+)::chr5:110339289-110339301(+) CCAAGGAAGGAA >mm10_chr5:110339294-110339305(+)::chr5:110339293-110339305(+) GGAAGGAAGGAT >mm10_chr5:110340017-110340028(+)::chr5:110340016-110340028(+) GAGAGGAAGAAT >mm10_chr5:110386146-110386157(+)::chr5:110386145-110386157(+) TGGAGGAAGTCT >mm10_chr5:110421103-110421114(+)::chr5:110421102-110421114(+) ATGAGGAAGTTG >mm10_chr5:110421395-110421406(-)::chr5:110421394-110421406(-) ACCAGGAAGGAG >mm10_chr5:110427033-110427044(+)::chr5:110427032-110427044(+) gacagGAAGCAC >mm10_chr5:110427056-110427067(+)::chr5:110427055-110427067(+) ACCAGGAAGTCT >mm10_chr5:110439096-110439107(+)::chr5:110439095-110439107(+) ATAAGGAACTAA >mm10_chr5:110439115-110439126(+)::chr5:110439114-110439126(+) AGCAGGAAGAAG >mm10_chr5:110439146-110439157(-)::chr5:110439145-110439157(-) GCCAGGAAGTCT >mm10_chr5:110446425-110446436(-)::chr5:110446424-110446436(-) TTCAGGAAGTCC >mm10_chr5:110446488-110446499(-)::chr5:110446487-110446499(-) AGAAGGAAACAA >mm10_chr5:110479440-110479451(-)::chr5:110479439-110479451(-) TTCAGGAAGTAC >mm10_chr5:110572897-110572908(+)::chr5:110572896-110572908(+) CCAAGGAAGTCA >mm10_chr5:110582818-110582829(-)::chr5:110582817-110582829(-) GACAGGAAGTTG >mm10_chr5:110583300-110583311(-)::chr5:110583299-110583311(-) ACCAGGAAGTGG >mm10_chr5:110588370-110588381(+)::chr5:110588369-110588381(+) TGAAGGAAGTCA >mm10_chr5:110613551-110613562(-)::chr5:110613550-110613562(-) ggaagtaagtcg >mm10_chr5:110613555-110613566(-)::chr5:110613554-110613566(-) tgcaggaagtaa >mm10_chr5:110707429-110707440(+)::chr5:110707428-110707440(+) tggaggaagtgt >mm10_chr5:110727195-110727206(-)::chr5:110727194-110727206(-) agaaggaagagg >mm10_chr5:110727209-110727220(-)::chr5:110727208-110727220(-) aaaaggaagaga >mm10_chr5:110727228-110727239(-)::chr5:110727227-110727239(-) gggaggaaggga >mm10_chr5:110727248-110727259(-)::chr5:110727247-110727259(-) agaaggaagaag >mm10_chr5:110729894-110729905(-)::chr5:110729893-110729905(-) AGAAGGAAGAAC >mm10_chr5:110745249-110745260(+)::chr5:110745248-110745260(+) TCAAGGAAGAAG >mm10_chr5:110755585-110755596(-)::chr5:110755584-110755596(-) TCAAGGAAGTCT >mm10_chr5:110755603-110755614(-)::chr5:110755602-110755614(-) ACAAGGAAATGG >mm10_chr5:110778457-110778468(-)::chr5:110778456-110778468(-) tccgggaagttg >mm10_chr5:110780599-110780610(+)::chr5:110780598-110780610(+) AGGCGGAAGCGG >mm10_chr5:110781934-110781945(+)::chr5:110781933-110781945(+) AACAGGAAGAAG >mm10_chr5:110781941-110781952(+)::chr5:110781940-110781952(+) AGAAGGAACTGC >mm10_chr5:110787723-110787734(+)::chr5:110787722-110787734(+) AGGGGGAAGTGC >mm10_chr5:110808124-110808135(+)::chr5:110808123-110808135(+) GGGAGGAAGGAA >mm10_chr5:110808128-110808139(+)::chr5:110808127-110808139(+) GGAAGGAAGGGG >mm10_chr5:110815739-110815750(+)::chr5:110815738-110815750(+) aaaaggaactac >mm10_chr5:110840183-110840194(-)::chr5:110840182-110840194(-) AAAAGGAAGAAT >mm10_chr5:110859264-110859275(-)::chr5:110859263-110859275(-) aaacggaagcaa >mm10_chr5:110859309-110859320(-)::chr5:110859308-110859320(-) aaaaggaagaag >mm10_chr5:110867772-110867783(+)::chr5:110867771-110867783(+) AACAGGAAGGGT >mm10_chr5:110871931-110871942(+)::chr5:110871930-110871942(+) GTCAGGAAGGTA >mm10_chr5:110878330-110878341(+)::chr5:110878329-110878341(+) accAGGAAGAGC >mm10_chr5:110978654-110978665(+)::chr5:110978653-110978665(+) GGAAGGAAGTCA >mm10_chr5:110980783-110980794(+)::chr5:110980782-110980794(+) CCACGGAAGTAT >mm10_chr5:111017553-111017564(+)::chr5:111017552-111017564(+) tggaggaaggag >mm10_chr5:111017560-111017571(+)::chr5:111017559-111017571(+) aggaggaaggaa >mm10_chr5:111017564-111017575(+)::chr5:111017563-111017575(+) ggaaggaagctg >mm10_chr5:111059548-111059559(-)::chr5:111059547-111059559(-) ACAAGGAAGGCT >mm10_chr5:111069623-111069634(-)::chr5:111069622-111069634(-) AGAAGGAAATGA >mm10_chr5:111069666-111069677(-)::chr5:111069665-111069677(-) ACAAGGATATAG >mm10_chr5:111069694-111069705(-)::chr5:111069693-111069705(-) CCAAGGAAGACT >mm10_chr5:111115878-111115889(+)::chr5:111115877-111115889(+) AAGAGGAAGTGA >mm10_chr5:111124986-111124997(-)::chr5:111124985-111124997(-) aagaggaaggag >mm10_chr5:111124992-111125003(-)::chr5:111124991-111125003(-) aagaggaagagg >mm10_chr5:111125006-111125017(-)::chr5:111125005-111125017(-) agaaggaaggag >mm10_chr5:111125013-111125024(-)::chr5:111125012-111125024(-) aagaggaagaag >mm10_chr5:111128580-111128591(+)::chr5:111128579-111128591(+) AGGAGGAAATAG >mm10_chr5:111128634-111128645(+)::chr5:111128633-111128645(+) AGGAGGAAGAGA >mm10_chr5:111156422-111156433(-)::chr5:111156421-111156433(-) TACAGGAAGTGC >mm10_chr5:111178688-111178699(+)::chr5:111178687-111178699(+) tggaggaagcgg >mm10_chr5:111178721-111178732(-)::chr5:111178720-111178732(-) agcaggaagtgg >mm10_chr5:111198271-111198282(-)::chr5:111198270-111198282(-) GGGAGGAAGATA >mm10_chr5:111198291-111198302(-)::chr5:111198290-111198302(-) ACAAGGAAGGAT >mm10_chr5:111198312-111198323(-)::chr5:111198311-111198323(-) ATAAGGAAGGCT >mm10_chr5:111229211-111229222(-)::chr5:111229210-111229222(-) TACAGGAAGTGA >mm10_chr5:111288885-111288896(+)::chr5:111288884-111288896(+) GCCAGGAAGTAT >mm10_chr5:111288897-111288908(+)::chr5:111288896-111288908(+) TCAAGGAAGCAG >mm10_chr5:111295503-111295514(+)::chr5:111295502-111295514(+) aggaggaagaaa >mm10_chr5:111295515-111295526(-)::chr5:111295514-111295526(-) ataaggaagtag >mm10_chr5:111301897-111301908(+)::chr5:111301896-111301908(+) aagaggaagtgc >mm10_chr5:111323278-111323289(+)::chr5:111323277-111323289(+) gccaggaaatgg >mm10_chr5:111323570-111323581(+)::chr5:111323569-111323581(+) gagaggaaggga >mm10_chr5:111387753-111387764(-)::chr5:111387752-111387764(-) aggaggaagagg >mm10_chr5:111387768-111387779(-)::chr5:111387767-111387779(-) aggaggaagagg >mm10_chr5:111387783-111387794(-)::chr5:111387782-111387794(-) aggaggaagagg >mm10_chr5:111450285-111450296(+)::chr5:111450284-111450296(+) TAGAGGAAGAAG >mm10_chr5:111450292-111450303(+)::chr5:111450291-111450303(+) AGAAGGAAAGGA >mm10_chr5:111450356-111450367(+)::chr5:111450355-111450367(+) AAgaggaaggaa >mm10_chr5:111450360-111450371(+)::chr5:111450359-111450371(+) ggaaggaagggg >mm10_chr5:111450372-111450383(+)::chr5:111450371-111450383(+) gagaggaaggaa >mm10_chr5:111590749-111590760(-)::chr5:111590748-111590760(-) TCCAGGAAGTTC >mm10_chr5:111591140-111591151(+)::chr5:111591139-111591151(+) gaaaggaaggaa >mm10_chr5:111591144-111591155(+)::chr5:111591143-111591155(+) ggaaggaagaag >mm10_chr5:111591151-111591162(+)::chr5:111591150-111591162(+) agaaggaaggga >mm10_chr5:111591173-111591184(+)::chr5:111591172-111591184(+) gagaggaaggag >mm10_chr5:111591180-111591191(+)::chr5:111591179-111591191(+) aggaggaaggaa >mm10_chr5:111591184-111591195(+)::chr5:111591183-111591195(+) ggaaggaagaga >mm10_chr5:111591206-111591217(+)::chr5:111591205-111591217(+) aggaggaagaaa >mm10_chr5:111591218-111591229(+)::chr5:111591217-111591229(+) gggaggaaggag >mm10_chr5:111598719-111598730(+)::chr5:111598718-111598730(+) ATAAGGAAACAA >mm10_chr5:111604888-111604899(-)::chr5:111604887-111604899(-) ATGAGGAAGAAA >mm10_chr5:111624418-111624429(-)::chr5:111624417-111624429(-) AGGAGGAAGTGG >mm10_chr5:111725474-111725485(-)::chr5:111725473-111725485(-) GGAGGGAAGGCG >mm10_chr5:111734194-111734205(+)::chr5:111734193-111734205(+) GACAGGAAGTGG >mm10_chr5:111734216-111734227(+)::chr5:111734215-111734227(+) ACAGGGAAGATA >mm10_chr5:111931949-111931960(-)::chr5:111931948-111931960(-) cccaggaagtAA >mm10_chr5:112028813-112028824(-)::chr5:112028812-112028824(-) GACAGGAAGAGA >mm10_chr5:112028848-112028859(-)::chr5:112028847-112028859(-) AGTAGGAAGGCA >mm10_chr5:112028855-112028866(-)::chr5:112028854-112028866(-) GGAAGGAAGTAG >mm10_chr5:112218279-112218290(+)::chr5:112218278-112218290(+) ACTAGGAAGTCA >mm10_chr5:112218288-112218299(-)::chr5:112218287-112218299(-) GGGAGGAAGTGA >mm10_chr5:112218339-112218350(-)::chr5:112218338-112218350(-) TCCAGGAAGAAT >mm10_chr5:112280433-112280444(-)::chr5:112280432-112280444(-) agcaggaagaac >mm10_chr5:112280938-112280949(-)::chr5:112280937-112280949(-) ACAAGGAAGGCC >mm10_chr5:112287133-112287144(+)::chr5:112287132-112287144(+) TCGAGGAAGGGG >mm10_chr5:112287139-112287150(+)::chr5:112287138-112287150(+) AAGGGGAAGTTA >mm10_chr5:112310052-112310063(+)::chr5:112310051-112310063(+) AAAAGGAAGTTC >mm10_chr5:112326340-112326351(-)::chr5:112326339-112326351(-) AGGCGGAAGTGG >mm10_chr5:112355600-112355611(-)::chr5:112355599-112355611(-) GAAAGGAAGAAA >mm10_chr5:112355637-112355648(+)::chr5:112355636-112355648(+) ACCCGGAAGTCA >mm10_chr5:112598059-112598070(-)::chr5:112598058-112598070(-) TAAAGGAAATGG >mm10_chr5:112600483-112600494(-)::chr5:112600482-112600494(-) AACAGGAAGACA >mm10_chr5:112600767-112600778(-)::chr5:112600766-112600778(-) AGGAGGAAGGGT >mm10_chr5:112755566-112755577(+)::chr5:112755565-112755577(+) CATAGGAAGTAG >mm10_chr5:112886837-112886848(+)::chr5:112886836-112886848(+) GTAAGGAAGAGA >mm10_chr5:112907572-112907583(-)::chr5:112907571-112907583(-) AGCAGGAAGTTG >mm10_chr5:112973585-112973596(+)::chr5:112973584-112973596(+) AGAGGGAAGAAA >mm10_chr5:113013291-113013302(+)::chr5:113013290-113013302(+) TCCAGGAAGAGA >mm10_chr5:113024562-113024573(-)::chr5:113024561-113024573(-) GAAAGGAAGCTT >mm10_chr5:113121598-113121609(-)::chr5:113121597-113121609(-) TGTAGGAAGTAA >mm10_chr5:113122522-113122533(+)::chr5:113122521-113122533(+) atgaggaagagg >mm10_chr5:113132891-113132902(+)::chr5:113132890-113132902(+) TGGAGGAAGCAA >mm10_chr5:113137001-113137012(+)::chr5:113137000-113137012(+) GCAGGGAAGTGT >mm10_chr5:113137011-113137022(-)::chr5:113137010-113137022(-) AGCAGGAAGAAC >mm10_chr5:113137037-113137048(-)::chr5:113137036-113137048(-) TGCGGGAAGTCT >mm10_chr5:113206130-113206141(+)::chr5:113206129-113206141(+) GTGAGGAAGAGA >mm10_chr5:113206165-113206176(+)::chr5:113206164-113206176(+) TCAAGGAAGGCT >mm10_chr5:113206176-113206187(+)::chr5:113206175-113206187(+) TCCAGGAAGGGG >mm10_chr5:113208228-113208239(+)::chr5:113208227-113208239(+) CTAAGGAAGTAC >mm10_chr5:113208257-113208268(+)::chr5:113208256-113208268(+) GGCAGGAAGCCA >mm10_chr5:113224659-113224670(-)::chr5:113224658-113224670(-) agcaggaagagc >mm10_chr5:113224709-113224720(-)::chr5:113224708-113224720(-) ACCAGGAAgggc >mm10_chr5:113226670-113226681(+)::chr5:113226669-113226681(+) tacaggaaatgc >mm10_chr5:113298300-113298311(-)::chr5:113298299-113298311(-) AACAGGAAGTGA >mm10_chr5:113298330-113298341(+)::chr5:113298329-113298341(+) TCCAGGAAGGAA >mm10_chr5:113298334-113298345(+)::chr5:113298333-113298345(+) GGAAGGAAGGGA >mm10_chr5:113396645-113396656(+)::chr5:113396644-113396656(+) TGGAGGAAGAGT >mm10_chr5:113545868-113545879(-)::chr5:113545867-113545879(-) ACCAGGAAGCTA >mm10_chr5:113625201-113625212(-)::chr5:113625200-113625212(-) GTGAGGAAGACA >mm10_chr5:113626612-113626623(-)::chr5:113626611-113626623(-) ATGAGGAAGAAG >mm10_chr5:113634401-113634412(+)::chr5:113634400-113634412(+) AGAAGGAAACAG >mm10_chr5:113636351-113636362(+)::chr5:113636350-113636362(+) AAAAGAAAGTGG >mm10_chr5:113636387-113636398(+)::chr5:113636386-113636398(+) TCCAGGAAGATG >mm10_chr5:113751702-113751713(+)::chr5:113751701-113751713(+) GCCAGGAAGTCA >mm10_chr5:113751737-113751748(-)::chr5:113751736-113751748(-) ATAAGGAAACAG >mm10_chr5:113796544-113796555(+)::chr5:113796543-113796555(+) AGCAGGAAGCTG >mm10_chr5:113796569-113796580(+)::chr5:113796568-113796580(+) AACAGGAAGTGG >mm10_chr5:113882805-113882816(+)::chr5:113882804-113882816(+) AACGGGAAGTGG >mm10_chr5:113907495-113907506(+)::chr5:113907494-113907506(+) GGCAGGAAGCAA >mm10_chr5:113910221-113910232(+)::chr5:113910220-113910232(+) agcaggaagtgc >mm10_chr5:113948610-113948621(-)::chr5:113948609-113948621(-) ACCAGGAAGTTC >mm10_chr5:113948675-113948686(-)::chr5:113948674-113948686(-) TGGAGGAAGAGG >mm10_chr5:113985961-113985972(+)::chr5:113985960-113985972(+) AAGAGGAAGAGC >mm10_chr5:113992817-113992828(+)::chr5:113992816-113992828(+) ggaaggaaggaa >mm10_chr5:113992821-113992832(+)::chr5:113992820-113992832(+) ggaaggaaggaa >mm10_chr5:113992825-113992836(+)::chr5:113992824-113992836(+) ggaaggaaggaa >mm10_chr5:113992829-113992840(+)::chr5:113992828-113992840(+) ggaaggaaggaa >mm10_chr5:113992833-113992844(+)::chr5:113992832-113992844(+) ggaaggaaggaa >mm10_chr5:113992837-113992848(+)::chr5:113992836-113992848(+) ggaaggaaggaa >mm10_chr5:113992841-113992852(+)::chr5:113992840-113992852(+) ggaaggaaggaa >mm10_chr5:113992845-113992856(+)::chr5:113992844-113992856(+) ggaaggaagAGA >mm10_chr5:113999597-113999608(+)::chr5:113999596-113999608(+) atgaggaagtag >mm10_chr5:114018675-114018686(+)::chr5:114018674-114018686(+) aggaggaagaag >mm10_chr5:114018699-114018710(+)::chr5:114018698-114018710(+) aagaggaaggag >mm10_chr5:114018721-114018732(+)::chr5:114018720-114018732(+) aggaggaaggag >mm10_chr5:114100264-114100275(-)::chr5:114100263-114100275(-) GACCGGAAGTTA >mm10_chr5:114139391-114139402(+)::chr5:114139390-114139402(+) TGCAGGAAGGGC >mm10_chr5:114162594-114162605(-)::chr5:114162593-114162605(-) AGGAGGAAGGAG >mm10_chr5:114169578-114169589(-)::chr5:114169577-114169589(-) ggaaggaagttt >mm10_chr5:114169582-114169593(-)::chr5:114169581-114169593(-) ataaggaaggaa >mm10_chr5:114169625-114169636(-)::chr5:114169624-114169636(-) acaaggaaatga >mm10_chr5:114170789-114170800(-)::chr5:114170788-114170800(-) aagaggaagagA >mm10_chr5:114170795-114170806(-)::chr5:114170794-114170806(-) agaaggaagagg >mm10_chr5:114170813-114170824(-)::chr5:114170812-114170824(-) aggaggaagagg >mm10_chr5:114179731-114179742(-)::chr5:114179730-114179742(-) AGGAGGAAGGAC >mm10_chr5:114188928-114188939(-)::chr5:114188927-114188939(-) ACCAGGAAGAGC >mm10_chr5:114274732-114274743(-)::chr5:114274731-114274743(-) ACAAGGAAGCCC >mm10_chr5:114274759-114274770(-)::chr5:114274758-114274770(-) AGAAGGAAGTGA >mm10_chr5:114332288-114332299(+)::chr5:114332287-114332299(+) TGTAGGAAGTTA >mm10_chr5:114341144-114341155(-)::chr5:114341143-114341155(-) agaaggaagTAT >mm10_chr5:114371628-114371639(+)::chr5:114371627-114371639(+) gggaggaagaca >mm10_chr5:114371643-114371654(+)::chr5:114371642-114371654(+) aagaggaagagc >mm10_chr5:114371669-114371680(+)::chr5:114371668-114371680(+) aaaaggaaggag >mm10_chr5:114443883-114443894(-)::chr5:114443882-114443894(-) GCGGGGAAGACG >mm10_chr5:114479501-114479512(-)::chr5:114479500-114479512(-) aggaggaagagg >mm10_chr5:114479516-114479527(-)::chr5:114479515-114479527(-) ataaggaaggga >mm10_chr5:114479528-114479539(-)::chr5:114479527-114479539(-) atgaggaagaag >mm10_chr5:114479540-114479551(-)::chr5:114479539-114479551(-) aggaggaagaag >mm10_chr5:114501289-114501300(-)::chr5:114501288-114501300(-) agcaggaactga >mm10_chr5:114565521-114565532(+)::chr5:114565520-114565532(+) AGGAGGAAGGAT >mm10_chr5:114575702-114575713(-)::chr5:114575701-114575713(-) GCCAGGAAGAAC >mm10_chr5:114639484-114639495(+)::chr5:114639483-114639495(+) AAGAGGAAGCAG >mm10_chr5:114667609-114667620(+)::chr5:114667608-114667620(+) ATGCGGAAGTGG >mm10_chr5:114681923-114681934(-)::chr5:114681922-114681934(-) aggaggaaatga >mm10_chr5:114686859-114686870(+)::chr5:114686858-114686870(+) AGGAGGAAGGAC >mm10_chr5:114690957-114690968(-)::chr5:114690956-114690968(-) GGGCGGAAGTGG >mm10_chr5:114707780-114707791(+)::chr5:114707779-114707791(+) GGGAGGAAGTTT >mm10_chr5:114762309-114762320(+)::chr5:114762308-114762320(+) TCAAGGAAGTGG >mm10_chr5:114772079-114772090(+)::chr5:114772078-114772090(+) AAGAGGAAGTTT >mm10_chr5:114772128-114772139(+)::chr5:114772127-114772139(+) AGAAGGAAGCGG >mm10_chr5:114773568-114773579(-)::chr5:114773567-114773579(-) ATACGGAAGCGT >mm10_chr5:114774914-114774925(-)::chr5:114774913-114774925(-) ACAGGGAAGTGC >mm10_chr5:114889096-114889107(-)::chr5:114889095-114889107(-) TAAAGGAAGAAA >mm10_chr5:114978246-114978257(+)::chr5:114978245-114978257(+) AGGAGGAAGTTA >mm10_chr5:114978268-114978279(+)::chr5:114978267-114978279(+) ATAAGGAATAAA >mm10_chr5:114982907-114982918(+)::chr5:114982906-114982918(+) ATAAGGAAATGA >mm10_chr5:115040909-115040920(-)::chr5:115040908-115040920(-) GTGAGGAAGTGC >mm10_chr5:115051046-115051057(+)::chr5:115051045-115051057(+) ACAAGGAAGCTT >mm10_chr5:115104938-115104949(+)::chr5:115104937-115104949(+) gtcaggaaggaa >mm10_chr5:115104942-115104953(+)::chr5:115104941-115104953(+) ggaaggaagcca >mm10_chr5:115133842-115133853(-)::chr5:115133841-115133853(-) TGAAGGATGTCA >mm10_chr5:115158427-115158438(+)::chr5:115158426-115158438(+) ATGCGGAAGTGG >mm10_chr5:115186970-115186981(-)::chr5:115186969-115186981(-) ATAAGGAAGAAG >mm10_chr5:115273066-115273077(-)::chr5:115273065-115273077(-) agaAGGAACCCG >mm10_chr5:115292430-115292441(+)::chr5:115292429-115292441(+) AAGAGGAAGCAG >mm10_chr5:115292437-115292448(+)::chr5:115292436-115292448(+) AGCAGGAAGTGC >mm10_chr5:115327135-115327146(-)::chr5:115327134-115327146(-) GTGAGGAAGCGG >mm10_chr5:115327146-115327157(-)::chr5:115327145-115327157(-) GCGCGGAAGCCG >mm10_chr5:115441845-115441856(+)::chr5:115441844-115441856(+) ACCAGGAAGCAC >mm10_chr5:115473178-115473189(-)::chr5:115473177-115473189(-) aggaggaaggaa >mm10_chr5:115473185-115473196(-)::chr5:115473184-115473196(-) acgaggaaggag >mm10_chr5:115474637-115474648(-)::chr5:115474636-115474648(-) GGCAGGAAGTGA >mm10_chr5:115489724-115489735(-)::chr5:115489723-115489735(-) TCAAGGAAATAG >mm10_chr5:115521907-115521918(-)::chr5:115521906-115521918(-) GGGAGGAAGACT >mm10_chr5:115525602-115525613(+)::chr5:115525601-115525613(+) AGCAGGAAGGAC >mm10_chr5:115530362-115530373(-)::chr5:115530361-115530373(-) GGGAGGAAGCAC >mm10_chr5:115530376-115530387(+)::chr5:115530375-115530387(+) TCCAGGAAGAGG >mm10_chr5:115535105-115535116(-)::chr5:115535104-115535116(-) TGAAGGAAGTGT >mm10_chr5:115542869-115542880(-)::chr5:115542868-115542880(-) AGCAGGAACTAA >mm10_chr5:115542939-115542950(+)::chr5:115542938-115542950(+) GGCAGGAAGTCA >mm10_chr5:115556382-115556393(-)::chr5:115556381-115556393(-) AGCAGGAAGTCT >mm10_chr5:115635754-115635765(+)::chr5:115635753-115635765(+) ATGAGGAAGTGA >mm10_chr5:115636840-115636851(+)::chr5:115636839-115636851(+) gaaaggaagaag >mm10_chr5:115636847-115636858(+)::chr5:115636846-115636858(+) agaaggaaggaa >mm10_chr5:115636851-115636862(+)::chr5:115636850-115636862(+) ggaaggaaggaa >mm10_chr5:115636855-115636866(+)::chr5:115636854-115636866(+) ggaaggaaggaa >mm10_chr5:115636859-115636870(+)::chr5:115636858-115636870(+) ggaaggaaggaa >mm10_chr5:115636863-115636874(+)::chr5:115636862-115636874(+) ggaaggaaggaa >mm10_chr5:115636867-115636878(+)::chr5:115636866-115636878(+) ggaaggaaggaa >mm10_chr5:115652875-115652886(-)::chr5:115652874-115652886(-) AGGAGGAAGTAT >mm10_chr5:115670664-115670675(+)::chr5:115670663-115670675(+) ACCAGGAAGTTC >mm10_chr5:115678184-115678195(-)::chr5:115678183-115678195(-) ttgaggaagttt >mm10_chr5:115678901-115678912(-)::chr5:115678900-115678912(-) agaaggaagttt >mm10_chr5:115686359-115686370(-)::chr5:115686358-115686370(-) TCCAGGAAGTCC >mm10_chr5:115686435-115686446(+)::chr5:115686434-115686446(+) AACAGGAAGGCT >mm10_chr5:115701399-115701410(+)::chr5:115701398-115701410(+) atgaggaagtgg >mm10_chr5:115708919-115708930(+)::chr5:115708918-115708930(+) TGAAGGAAGTGG >mm10_chr5:115708982-115708993(+)::chr5:115708981-115708993(+) CTAAGGAAATTA >mm10_chr5:115971097-115971108(+)::chr5:115971096-115971108(+) GTCAGGAAGGAA >mm10_chr5:115971101-115971112(+)::chr5:115971100-115971112(+) GGAAGGAAGACA >mm10_chr5:115971417-115971428(-)::chr5:115971416-115971428(-) AGGAGGAAATGA >mm10_chr5:115976372-115976383(-)::chr5:115976371-115976383(-) ACAAGGAAGTGA >mm10_chr5:115977827-115977838(+)::chr5:115977826-115977838(+) aggaggaagtgt >mm10_chr5:115978224-115978235(+)::chr5:115978223-115978235(+) AGAAGGAAGAAG >mm10_chr5:116006869-116006880(-)::chr5:116006868-116006880(-) AACAGGAAATAC >mm10_chr5:116022853-116022864(-)::chr5:116022852-116022864(-) AAGAGGAAGTAA >mm10_chr5:116024450-116024461(-)::chr5:116024449-116024461(-) AAGCGGAAGTGG >mm10_chr5:116024476-116024487(+)::chr5:116024475-116024487(+) CGAAGGAAGGAA >mm10_chr5:116024480-116024491(+)::chr5:116024479-116024491(+) GGAAGGAAGGAA >mm10_chr5:116024484-116024495(+)::chr5:116024483-116024495(+) GGAAGGAAGGGT >mm10_chr5:116123605-116123616(-)::chr5:116123604-116123616(-) GACAGGAAATAG >mm10_chr5:116143118-116143129(+)::chr5:116143117-116143129(+) ATAAGGAATAGG >mm10_chr5:116263786-116263797(+)::chr5:116263785-116263797(+) ggaaggaagcaa >mm10_chr5:116300439-116300450(+)::chr5:116300438-116300450(+) caaaggaagGCA >mm10_chr5:116300443-116300454(+)::chr5:116300442-116300454(+) ggaagGCAGTAC >mm10_chr5:116410167-116410178(-)::chr5:116410166-116410178(-) ACAAGGAAGTAG >mm10_chr5:117117045-117117056(+)::chr5:117117044-117117056(+) aggaggaagagg >mm10_chr5:117117075-117117086(+)::chr5:117117074-117117086(+) aggaggaagagg >mm10_chr5:117117081-117117092(+)::chr5:117117080-117117092(+) aagaggaagaag >mm10_chr5:117167233-117167244(-)::chr5:117167232-117167244(-) TGCAGGAAGCAG >mm10_chr5:117181670-117181681(+)::chr5:117181669-117181681(+) gagaggaagagg >mm10_chr5:117181685-117181696(+)::chr5:117181684-117181696(+) aggaggaagggg >mm10_chr5:117181726-117181737(+)::chr5:117181725-117181737(+) agaaggaACTCT >mm10_chr5:117192226-117192237(-)::chr5:117192225-117192237(-) ATCAGGAAGCTG >mm10_chr5:117219071-117219082(-)::chr5:117219070-117219082(-) ttcaggaagtcc >mm10_chr5:117219096-117219107(-)::chr5:117219095-117219107(-) acaaggaaggaa >mm10_chr5:117281655-117281666(+)::chr5:117281654-117281666(+) TGAAGGAAGTGT >mm10_chr5:117281669-117281680(+)::chr5:117281668-117281680(+) AAACGGAAGACA >mm10_chr5:117314164-117314175(+)::chr5:117314163-117314175(+) GGGAGGAAGAGG >mm10_chr5:117319226-117319237(+)::chr5:117319225-117319237(+) TGGAGGAAGTTG >mm10_chr5:117363262-117363273(+)::chr5:117363261-117363273(+) AGAAGGAAGTGA >mm10_chr5:117364725-117364736(-)::chr5:117364724-117364736(-) GGAAGGAAATGC >mm10_chr5:117364729-117364740(-)::chr5:117364728-117364740(-) AGCAGGAAGGAA >mm10_chr5:117364778-117364789(-)::chr5:117364777-117364789(-) TGAAGGAAGGAC >mm10_chr5:117364794-117364805(-)::chr5:117364793-117364805(-) AGGAGGAAGAAT >mm10_chr5:117370558-117370569(+)::chr5:117370557-117370569(+) AAAAGGAATTGA >mm10_chr5:117373525-117373536(-)::chr5:117373524-117373536(-) TACAGGAAGAAA >mm10_chr5:117373567-117373578(+)::chr5:117373566-117373578(+) GTGAGGAAGTTT >mm10_chr5:117376296-117376307(+)::chr5:117376295-117376307(+) ATAAGGAAATTA >mm10_chr5:117376310-117376321(+)::chr5:117376309-117376321(+) ACAGGGAAGTGT >mm10_chr5:117381384-117381395(+)::chr5:117381383-117381395(+) AGCAGGAAGCAG >mm10_chr5:117381391-117381402(+)::chr5:117381390-117381402(+) AGCAGGAACTTA >mm10_chr5:117389070-117389081(-)::chr5:117389069-117389081(-) GGACGGAAGCCG >mm10_chr5:117410259-117410270(+)::chr5:117410258-117410270(+) gggaggaagggg >mm10_chr5:117410272-117410283(+)::chr5:117410271-117410283(+) aggaggaaggag >mm10_chr5:117410311-117410322(-)::chr5:117410310-117410322(-) AGAAGGAAGGGG >mm10_chr5:117949521-117949532(-)::chr5:117949520-117949532(-) ACAAGGAAGTAT >mm10_chr5:117949565-117949576(-)::chr5:117949564-117949576(-) ACCAGGAAGCAA >mm10_chr5:117974522-117974533(+)::chr5:117974521-117974533(+) acaaggaaatgg >mm10_chr5:117974650-117974661(+)::chr5:117974649-117974661(+) acagggaagtag >mm10_chr5:118245046-118245057(-)::chr5:118245045-118245057(-) GGCCGGAAGTCA >mm10_chr5:118259828-118259839(-)::chr5:118259827-118259839(-) AAAGGGAAGATA >mm10_chr5:118260269-118260280(-)::chr5:118260268-118260280(-) AAGAGGAAGTGA >mm10_chr5:118272720-118272731(-)::chr5:118272719-118272731(-) ggaaggaagggg >mm10_chr5:118272724-118272735(-)::chr5:118272723-118272735(-) gggaggaaggaa >mm10_chr5:118272739-118272750(-)::chr5:118272738-118272750(-) ggaaggaaggga >mm10_chr5:118272743-118272754(-)::chr5:118272742-118272754(-) agaaggaaggaa >mm10_chr5:118276505-118276516(+)::chr5:118276504-118276516(+) TCAGGGAAGTGG >mm10_chr5:118298486-118298497(+)::chr5:118298485-118298497(+) AGAAGGAAGTCA >mm10_chr5:118316904-118316915(-)::chr5:118316903-118316915(-) ACCAGGAAGTGC >mm10_chr5:118339561-118339572(-)::chr5:118339560-118339572(-) ggaaggaaggag >mm10_chr5:118339565-118339576(-)::chr5:118339564-118339576(-) ggaaggaaggaa >mm10_chr5:118339569-118339580(-)::chr5:118339568-118339580(-) ggaaggaaggaa >mm10_chr5:118339573-118339584(-)::chr5:118339572-118339584(-) ggaaggaaggaa >mm10_chr5:118339577-118339588(-)::chr5:118339576-118339588(-) ggaaggaaggaa >mm10_chr5:118339581-118339592(-)::chr5:118339580-118339592(-) agaaggaaggaa >mm10_chr5:118339590-118339601(-)::chr5:118339589-118339601(-) gagaggaagaga >mm10_chr5:118340287-118340298(-)::chr5:118340286-118340298(-) AGAAGGAAGGAg >mm10_chr5:118354268-118354279(-)::chr5:118354267-118354279(-) aagaggaagagt >mm10_chr5:118354274-118354285(-)::chr5:118354273-118354285(-) gggaggaagagg >mm10_chr5:118354294-118354305(-)::chr5:118354293-118354305(-) AAGAGGAAGAGA >mm10_chr5:118355073-118355084(-)::chr5:118355072-118355084(-) TCAAGGAAGAGT >mm10_chr5:118386212-118386223(+)::chr5:118386211-118386223(+) AGAAGGAAGAGA >mm10_chr5:118424996-118425007(+)::chr5:118424995-118425007(+) AACAGGAAATTC >mm10_chr5:118426540-118426551(+)::chr5:118426539-118426551(+) aggaggaagaga >mm10_chr5:118426554-118426565(+)::chr5:118426553-118426565(+) aggaggaaggag >mm10_chr5:118426595-118426606(+)::chr5:118426594-118426606(+) gggaggaaggag >mm10_chr5:118437465-118437476(-)::chr5:118437464-118437476(-) GGACGGAAGTTG >mm10_chr5:118465054-118465065(+)::chr5:118465053-118465065(+) AGCAGGAAGGAG >mm10_chr5:118476853-118476864(-)::chr5:118476852-118476864(-) TCCAGGAAGGGG >mm10_chr5:118487003-118487014(+)::chr5:118487002-118487014(+) TTAAGGAAGAAT >mm10_chr5:118487014-118487025(+)::chr5:118487013-118487025(+) TGAAGGAAGAGA >mm10_chr5:118509577-118509588(-)::chr5:118509576-118509588(-) aggaggaagcca >mm10_chr5:118556427-118556438(-)::chr5:118556426-118556438(-) GGAAGGAAGCGG >mm10_chr5:118556431-118556442(-)::chr5:118556430-118556442(-) GAAAGGAAGGAA >mm10_chr5:118556457-118556468(-)::chr5:118556456-118556468(-) AGGAGGAAGTGT >mm10_chr5:118575119-118575130(-)::chr5:118575118-118575130(-) AGTAGGAAGTGA >mm10_chr5:118575137-118575148(-)::chr5:118575136-118575148(-) GGGAGGAAGGAG >mm10_chr5:118592308-118592319(-)::chr5:118592307-118592319(-) CTCAGGAAGTAG >mm10_chr5:118595618-118595629(-)::chr5:118595617-118595629(-) ATCAGGAAGGAG >mm10_chr5:118614658-118614669(+)::chr5:118614657-118614669(+) GAAAGGAAGCCC >mm10_chr5:118614681-118614692(-)::chr5:118614680-118614692(-) TAGAGGAAGTAG >mm10_chr5:118635963-118635974(-)::chr5:118635962-118635974(-) AGCAGGAAGCAG >mm10_chr5:118645251-118645262(+)::chr5:118645250-118645262(+) AGCAGGAAGAGC >mm10_chr5:118645261-118645272(+)::chr5:118645260-118645272(+) GCAAGGAAGATG >mm10_chr5:118656169-118656180(+)::chr5:118656168-118656180(+) TCAAGGAAGGAA >mm10_chr5:118656173-118656184(+)::chr5:118656172-118656184(+) GGAAGGAAATGA >mm10_chr5:118674635-118674646(-)::chr5:118674634-118674646(-) TCGAGGAAGGAG >mm10_chr5:118674646-118674657(-)::chr5:118674645-118674657(-) AACAGGAAGTCT >mm10_chr5:118680683-118680694(-)::chr5:118680682-118680694(-) GCAAGGAAGAGA >mm10_chr5:118680755-118680766(+)::chr5:118680754-118680766(+) ATAAGGAAGCAC >mm10_chr5:118689747-118689758(-)::chr5:118689746-118689758(-) ATAAGGAAGCCA >mm10_chr5:118708214-118708225(-)::chr5:118708213-118708225(-) ATGAGGAAGAAC >mm10_chr5:118708426-118708437(-)::chr5:118708425-118708437(-) AAGAGGAAGTAC >mm10_chr5:118708432-118708443(-)::chr5:118708431-118708443(-) CCGAGGAAGAGG >mm10_chr5:118709962-118709973(-)::chr5:118709961-118709973(-) AACAGGAAGCAT >mm10_chr5:118721684-118721695(-)::chr5:118721683-118721695(-) AAGAGGAAGTCC >mm10_chr5:118731844-118731855(+)::chr5:118731843-118731855(+) ACCAGGAAGTTC >mm10_chr5:118796249-118796260(+)::chr5:118796248-118796260(+) ACCAGGAAGGGA >mm10_chr5:118809155-118809166(-)::chr5:118809154-118809166(-) AGCAGGAAGCAG >mm10_chr5:118809172-118809183(+)::chr5:118809171-118809183(+) TCCAGGAAGTTA >mm10_chr5:118809184-118809195(-)::chr5:118809183-118809195(-) AAGAGGAAGTGC >mm10_chr5:118842151-118842162(+)::chr5:118842150-118842162(+) agcaggaagctg >mm10_chr5:118880823-118880834(+)::chr5:118880822-118880834(+) ACCAGGAAGTGA >mm10_chr5:118985961-118985972(-)::chr5:118985960-118985972(-) TGTAGGAAGTGA >mm10_chr5:119086413-119086424(+)::chr5:119086412-119086424(+) tggaggaagagg >mm10_chr5:119086422-119086433(+)::chr5:119086421-119086433(+) aggaggaagaag >mm10_chr5:119086454-119086465(+)::chr5:119086453-119086465(+) aggaggaagagg >mm10_chr5:119189568-119189579(+)::chr5:119189567-119189579(+) TAGAGGAAGAAC >mm10_chr5:119204999-119205010(+)::chr5:119204998-119205010(+) AAGAGGAAGGAT >mm10_chr5:119310327-119310338(-)::chr5:119310326-119310338(-) TGGAGGAAGTAG >mm10_chr5:119312163-119312174(-)::chr5:119312162-119312174(-) ACACGGAAGGTC >mm10_chr5:119588584-119588595(-)::chr5:119588583-119588595(-) AGAAGGAAGCTG >mm10_chr5:119588591-119588602(-)::chr5:119588590-119588602(-) GGAAGGAAGAAG >mm10_chr5:119588595-119588606(-)::chr5:119588594-119588606(-) ATCAGGAAGGAA >mm10_chr5:120116479-120116490(-)::chr5:120116478-120116490(-) ATCCGGAAGTGG >mm10_chr5:120528473-120528484(-)::chr5:120528472-120528484(-) agcaggaagtca >mm10_chr5:120566332-120566343(+)::chr5:120566331-120566343(+) CAAAGGATGTAT >mm10_chr5:120567074-120567085(+)::chr5:120567073-120567085(+) ACCAGGAAGGTG >mm10_chr5:120570406-120570417(+)::chr5:120570405-120570417(+) AAGAGGAAGTCA >mm10_chr5:120570420-120570431(+)::chr5:120570419-120570431(+) ATGAGGAAGAGC >mm10_chr5:120586666-120586677(+)::chr5:120586665-120586677(+) ATGAGGAAGAAG >mm10_chr5:120586728-120586739(-)::chr5:120586727-120586739(-) AGAAGGAAGGAT >mm10_chr5:120600456-120600467(+)::chr5:120600455-120600467(+) TGGAGGAAGAGG >mm10_chr5:120600462-120600473(+)::chr5:120600461-120600473(+) AAGAGGAAGAAG >mm10_chr5:120627281-120627292(+)::chr5:120627280-120627292(+) AAAAGGATGTGA >mm10_chr5:120627312-120627323(-)::chr5:120627311-120627323(-) ACCAGGAAGCCC >mm10_chr5:120643882-120643893(-)::chr5:120643881-120643893(-) AGTAGGAAGGGA >mm10_chr5:120646146-120646157(-)::chr5:120646145-120646157(-) TAGAGGAAGTTC >mm10_chr5:120646173-120646184(-)::chr5:120646172-120646184(-) TGGAGGAAGTGC >mm10_chr5:120648145-120648156(+)::chr5:120648144-120648156(+) AAAAGGAAGAAG >mm10_chr5:120717103-120717114(+)::chr5:120717102-120717114(+) tgcaggaagttg >mm10_chr5:120717117-120717128(+)::chr5:120717116-120717128(+) agcaggaagcag >mm10_chr5:120717124-120717135(+)::chr5:120717123-120717135(+) agcaggaagcag >mm10_chr5:120717131-120717142(+)::chr5:120717130-120717142(+) agcaggaagGCA >mm10_chr5:120731743-120731754(+)::chr5:120731742-120731754(+) AGGAGGAAGGAT >mm10_chr5:120738719-120738730(+)::chr5:120738718-120738730(+) TTAAGGAAGGAA >mm10_chr5:120738723-120738734(+)::chr5:120738722-120738734(+) GGAAGGAACATA >mm10_chr5:120738744-120738755(-)::chr5:120738743-120738755(-) TCAAGGAAGCTG >mm10_chr5:120811619-120811630(-)::chr5:120811618-120811630(-) TCCAGGAAGGAA >mm10_chr5:120811674-120811685(-)::chr5:120811673-120811685(-) ATGAGGAAATAA >mm10_chr5:120811690-120811701(-)::chr5:120811689-120811701(-) ACCAGGATGTAC >mm10_chr5:120936528-120936539(+)::chr5:120936527-120936539(+) ACCAGGATGTAC >mm10_chr5:120936544-120936555(+)::chr5:120936543-120936555(+) ATGAGGAAATGA >mm10_chr5:121101017-121101028(+)::chr5:121101016-121101028(+) GAACGGAAGATG >mm10_chr5:121109450-121109461(+)::chr5:121109449-121109461(+) TGAAGGATGTGA >mm10_chr5:121204467-121204478(+)::chr5:121204466-121204478(+) TACAGGAAGGAA >mm10_chr5:121204471-121204482(+)::chr5:121204470-121204482(+) GGAAGGAAGTGA >mm10_chr5:121226240-121226251(+)::chr5:121226239-121226251(+) CGAAGGAAGGTG >mm10_chr5:121226294-121226305(+)::chr5:121226293-121226305(+) AAGAGGAAGGAC >mm10_chr5:121226298-121226309(+)::chr5:121226297-121226309(+) GGAAGGACGTCA >mm10_chr5:121226562-121226573(-)::chr5:121226561-121226573(-) acAAGGAAAGGA >mm10_chr5:121241869-121241880(+)::chr5:121241868-121241880(+) AGTAGGAAATGT >mm10_chr5:121251954-121251965(+)::chr5:121251953-121251965(+) TGCAGGAAATGG >mm10_chr5:121267105-121267116(+)::chr5:121267104-121267116(+) aagaGGAAGAAA >mm10_chr5:121452737-121452748(-)::chr5:121452736-121452748(-) GGAAGGAAGGGA >mm10_chr5:121530181-121530192(+)::chr5:121530180-121530192(+) AGAAGGAAATGT >mm10_chr5:121564687-121564698(-)::chr5:121564686-121564698(-) AGGAGGAAATGC >mm10_chr5:121584246-121584257(-)::chr5:121584245-121584257(-) GGAAGGAAGGAC >mm10_chr5:121584250-121584261(-)::chr5:121584249-121584261(-) AGAAGGAAGGAA >mm10_chr5:121584299-121584310(-)::chr5:121584298-121584310(-) GGGAGGAAATGG >mm10_chr5:121584501-121584512(-)::chr5:121584500-121584512(-) AAGAGGAAATGC >mm10_chr5:121602081-121602092(+)::chr5:121602080-121602092(+) GGCAGGAAGGAA >mm10_chr5:121602085-121602096(+)::chr5:121602084-121602096(+) GGAAGGAAGGAC >mm10_chr5:121637553-121637564(+)::chr5:121637552-121637564(+) ACAAGGAAGCCA >mm10_chr5:121637615-121637626(+)::chr5:121637614-121637626(+) ACAAGGAAGCTT >mm10_chr5:121646035-121646046(+)::chr5:121646034-121646046(+) TATAGGAAGATG >mm10_chr5:121660584-121660595(+)::chr5:121660583-121660595(+) aggcggaagcgg >mm10_chr5:121710999-121711010(+)::chr5:121710998-121711010(+) AGGAGGAACTAA >mm10_chr5:121738832-121738843(-)::chr5:121738831-121738843(-) agaaggaaatag >mm10_chr5:121738839-121738850(-)::chr5:121738838-121738850(-) aacaggaagaag >mm10_chr5:121775996-121776007(-)::chr5:121775995-121776007(-) ctaaggaagtgc >mm10_chr5:121777120-121777131(-)::chr5:121777119-121777131(-) aggaggaagaag >mm10_chr5:121784414-121784425(-)::chr5:121784413-121784425(-) GGGAGGAAGCAC >mm10_chr5:121807323-121807334(-)::chr5:121807322-121807334(-) CCAAGGAAATGA >mm10_chr5:121838783-121838794(-)::chr5:121838782-121838794(-) AAGAGGAAGAAC >mm10_chr5:121842953-121842964(-)::chr5:121842952-121842964(-) CCCAGGAAGCGC >mm10_chr5:121843330-121843341(-)::chr5:121843329-121843341(-) ATGAGGAAATGA >mm10_chr5:121845577-121845588(+)::chr5:121845576-121845588(+) TACAGGAAGAGG >mm10_chr5:121847936-121847947(-)::chr5:121847935-121847947(-) TGGAGGAAGAAG >mm10_chr5:121848283-121848294(+)::chr5:121848282-121848294(+) AGAAGGAAGTGA >mm10_chr5:121848301-121848312(+)::chr5:121848300-121848312(+) GGCAGGAAGAAA >mm10_chr5:122142405-122142416(+)::chr5:122142404-122142416(+) AGCAGGAAGTCA >mm10_chr5:122142448-122142459(-)::chr5:122142447-122142459(-) AGGAGGAAGGCA >mm10_chr5:122143700-122143711(-)::chr5:122143699-122143711(-) gaaatgaagtgg >mm10_chr5:122143705-122143716(-)::chr5:122143704-122143716(-) agcaggaaatga >mm10_chr5:122158062-122158073(-)::chr5:122158061-122158073(-) AGAGGGAAGTTG >mm10_chr5:122304817-122304828(-)::chr5:122304816-122304828(-) AGAAGGAAGCCA >mm10_chr5:122312600-122312611(+)::chr5:122312599-122312611(+) acaaggaagcta >mm10_chr5:122317068-122317079(-)::chr5:122317067-122317079(-) TGAAGGAAGCCC >mm10_chr5:122318337-122318348(+)::chr5:122318336-122318348(+) ATGAGGAAGTGG >mm10_chr5:122320088-122320099(+)::chr5:122320087-122320099(+) AGAGGGAAGTTG >mm10_chr5:122320118-122320129(-)::chr5:122320117-122320129(-) ACCAGGAAGTAG >mm10_chr5:122325128-122325139(-)::chr5:122325127-122325139(-) GGAAGGAAGCAT >mm10_chr5:122343998-122344009(+)::chr5:122343997-122344009(+) AGGAGGAAGTGG >mm10_chr5:122391906-122391917(-)::chr5:122391905-122391917(-) GGGCGGAAGTAA >mm10_chr5:122392352-122392363(-)::chr5:122392351-122392363(-) TCAGGGAAGTGA >mm10_chr5:122392368-122392379(-)::chr5:122392367-122392379(-) CGGAGGAAGGGG >mm10_chr5:122452239-122452250(-)::chr5:122452238-122452250(-) TGACGGAAGAAG >mm10_chr5:122481712-122481723(+)::chr5:122481711-122481723(+) GCCAGGAAGTAG >mm10_chr5:122536098-122536109(-)::chr5:122536097-122536109(-) ATCGGGAAGTAA >mm10_chr5:122547596-122547607(+)::chr5:122547595-122547607(+) GAAAGGAAATTG >mm10_chr5:122548820-122548831(+)::chr5:122548819-122548831(+) AACAGGAAGTAC >mm10_chr5:122560810-122560821(-)::chr5:122560809-122560821(-) ACAAGGACGCGC >mm10_chr5:122574261-122574272(+)::chr5:122574260-122574272(+) agaaggaagccg >mm10_chr5:122574283-122574294(+)::chr5:122574282-122574294(+) tccaggaagtag >mm10_chr5:122607583-122607594(+)::chr5:122607582-122607594(+) TCCAGGAAATAG >mm10_chr5:122644369-122644380(+)::chr5:122644368-122644380(+) TGGAGGAAGCCG >mm10_chr5:122689035-122689046(+)::chr5:122689034-122689046(+) accaggaagaag >mm10_chr5:122689042-122689053(+)::chr5:122689041-122689053(+) agaaggaagttt >mm10_chr5:122767245-122767256(+)::chr5:122767244-122767256(+) AGGAGGAAGTCA >mm10_chr5:122769526-122769537(+)::chr5:122769525-122769537(+) ATGAGGAAGTCC >mm10_chr5:122770281-122770292(-)::chr5:122770280-122770292(-) GAGAGGAAGGAG >mm10_chr5:122770883-122770894(+)::chr5:122770882-122770894(+) ACCAGGAAGGGC >mm10_chr5:122821505-122821516(+)::chr5:122821504-122821516(+) GGGAGGAAGACG >mm10_chr5:122850207-122850218(+)::chr5:122850206-122850218(+) AGAAGGAAGGAA >mm10_chr5:122850211-122850222(+)::chr5:122850210-122850222(+) GGAAGGAAGATC >mm10_chr5:122879716-122879727(-)::chr5:122879715-122879727(-) AGCAGGAAGAGT >mm10_chr5:122879739-122879750(+)::chr5:122879738-122879750(+) AGGAGGAAGTTC >mm10_chr5:122879744-122879755(-)::chr5:122879743-122879755(-) TAAAGGAACTTC >mm10_chr5:122885265-122885276(+)::chr5:122885264-122885276(+) accaggaaataa >mm10_chr5:122886446-122886457(-)::chr5:122886445-122886457(-) ACAAGGAAATCC >mm10_chr5:122886487-122886498(-)::chr5:122886486-122886498(-) CTGAGGAAGTGG >mm10_chr5:122886500-122886511(-)::chr5:122886499-122886511(-) AGAAGGATGTGA >mm10_chr5:122903629-122903640(+)::chr5:122903628-122903640(+) ACAAGGAAGTAA >mm10_chr5:122909151-122909162(+)::chr5:122909150-122909162(+) AAGAGGAAGAGG >mm10_chr5:122928504-122928515(-)::chr5:122928503-122928515(-) TCTAGGAAGTGC >mm10_chr5:122937214-122937225(+)::chr5:122937213-122937225(+) ACAAGGTAGTTG >mm10_chr5:122942409-122942420(+)::chr5:122942408-122942420(+) ACCAGGAAGCAA >mm10_chr5:122944603-122944614(+)::chr5:122944602-122944614(+) AGGAGGAAGTGG >mm10_chr5:122951739-122951750(-)::chr5:122951738-122951750(-) AGGAGGAAGCTG >mm10_chr5:122956106-122956117(+)::chr5:122956105-122956117(+) AAAAGGAAGTGC >mm10_chr5:122956217-122956228(-)::chr5:122956216-122956228(-) gagaggaagtaa >mm10_chr5:122960801-122960812(+)::chr5:122960800-122960812(+) AACAGGAAGAAA >mm10_chr5:122965583-122965594(+)::chr5:122965582-122965594(+) AGGAGGAAGTGA >mm10_chr5:122971021-122971032(+)::chr5:122971020-122971032(+) TCAAGGAAATCA >mm10_chr5:122971042-122971053(+)::chr5:122971041-122971053(+) ACAAGGAAGTTT >mm10_chr5:122979121-122979132(+)::chr5:122979120-122979132(+) AAGAGGAAGGGA >mm10_chr5:122979141-122979152(+)::chr5:122979140-122979152(+) AGAAGGAAAGAA >mm10_chr5:122988506-122988517(-)::chr5:122988505-122988517(-) CTTAGGAAGTGA >mm10_chr5:122988537-122988548(-)::chr5:122988536-122988548(-) AGAAGGATGCGG >mm10_chr5:122988544-122988555(-)::chr5:122988543-122988555(-) AGGAGGAAGAAG >mm10_chr5:123009768-123009779(-)::chr5:123009767-123009779(-) TGGAGGAAGGAC >mm10_chr5:123014868-123014879(+)::chr5:123014867-123014879(+) TCCAGGAAGGCG >mm10_chr5:123017696-123017707(-)::chr5:123017695-123017707(-) GGCAGGAAGTTG >mm10_chr5:123017714-123017725(-)::chr5:123017713-123017725(-) GGAAGGAAGCCC >mm10_chr5:123017718-123017729(-)::chr5:123017717-123017729(-) TGTAGGAAGGAA >mm10_chr5:123025449-123025460(+)::chr5:123025448-123025460(+) ATGAGGAAGAAC >mm10_chr5:123027616-123027627(+)::chr5:123027615-123027627(+) TCCAGGAAGTGG >mm10_chr5:123029983-123029994(-)::chr5:123029982-123029994(-) GACAGGAAGCAT >mm10_chr5:123040823-123040834(+)::chr5:123040822-123040834(+) AGGAGGAAGAGG >mm10_chr5:123049450-123049461(-)::chr5:123049449-123049461(-) TGCAGGAAGAGG >mm10_chr5:123049716-123049727(-)::chr5:123049715-123049727(-) AGAAGCAAGTGT >mm10_chr5:123049800-123049811(-)::chr5:123049799-123049811(-) TGGAGGAAGAGA >mm10_chr5:123058126-123058137(+)::chr5:123058125-123058137(+) TCGCGGAAGTGA >mm10_chr5:123078896-123078907(-)::chr5:123078895-123078907(-) agaaggaagctg >mm10_chr5:123088126-123088137(-)::chr5:123088125-123088137(-) aggaggaagagg >mm10_chr5:123088138-123088149(-)::chr5:123088137-123088149(-) gagaggaagagg >mm10_chr5:123126221-123126232(-)::chr5:123126220-123126232(-) ATCAGGAAGCGG >mm10_chr5:123134508-123134519(+)::chr5:123134507-123134519(+) TGGAGGAAGAGC >mm10_chr5:123134529-123134540(+)::chr5:123134528-123134540(+) GGGAGGAAATGA >mm10_chr5:123136577-123136588(+)::chr5:123136576-123136588(+) TTCAGGAAGTGG >mm10_chr5:123151268-123151279(+)::chr5:123151267-123151279(+) tccaggaagtta >mm10_chr5:123248382-123248393(-)::chr5:123248381-123248393(-) ACGAGGAAACGC >mm10_chr5:123252060-123252071(-)::chr5:123252059-123252071(-) GCAAGGAAGCAG >mm10_chr5:123257308-123257319(-)::chr5:123257307-123257319(-) gaaaggaagaga >mm10_chr5:123257332-123257343(-)::chr5:123257331-123257343(-) aggaggaagagg >mm10_chr5:123257344-123257355(-)::chr5:123257343-123257355(-) gagaggaagagg >mm10_chr5:123280721-123280732(-)::chr5:123280720-123280732(-) ttcaggaagtgg >mm10_chr5:123397174-123397185(-)::chr5:123397173-123397185(-) AAGAGGAAATGG >mm10_chr5:123397222-123397233(-)::chr5:123397221-123397233(-) AGAAGGAAGAGC >mm10_chr5:123417080-123417091(+)::chr5:123417079-123417091(+) TGGAGGAAGGAG >mm10_chr5:123417117-123417128(-)::chr5:123417116-123417128(-) CCAAGGAAATGG >mm10_chr5:123436278-123436289(-)::chr5:123436277-123436289(-) AACAGGAAGTTC >mm10_chr5:123444148-123444159(+)::chr5:123444147-123444159(+) GGAAGGAAGTTG >mm10_chr5:123444176-123444187(-)::chr5:123444175-123444187(-) TCAAGGAAGAAA >mm10_chr5:123524325-123524336(-)::chr5:123524324-123524336(-) GCGACGAAGTCG >mm10_chr5:123579524-123579535(+)::chr5:123579523-123579535(+) ACCAGGAAGTTG >mm10_chr5:123607445-123607456(+)::chr5:123607444-123607456(+) GACAGGAAGAGG >mm10_chr5:123650638-123650649(+)::chr5:123650637-123650649(+) cccaggaagtag >mm10_chr5:123682498-123682509(-)::chr5:123682497-123682509(-) CAGAGGAAGTGG >mm10_chr5:123682550-123682561(-)::chr5:123682549-123682561(-) CCAAGGAAGTGG >mm10_chr5:123697658-123697669(+)::chr5:123697657-123697669(+) agcaggaactag >mm10_chr5:123697683-123697694(-)::chr5:123697682-123697694(-) GGAAGGAAGTAG >mm10_chr5:123697687-123697698(-)::chr5:123697686-123697698(-) AGACGGAAGGAA >mm10_chr5:123700750-123700761(-)::chr5:123700749-123700761(-) CGAAGGAAAGGC >mm10_chr5:123886558-123886569(+)::chr5:123886557-123886569(+) TGAAGGAAGTTC >mm10_chr5:123980466-123980477(+)::chr5:123980465-123980477(+) aagaggaagggt >mm10_chr5:124014889-124014900(+)::chr5:124014888-124014900(+) AGAGGGAAGTCC >mm10_chr5:124047203-124047214(+)::chr5:124047202-124047214(+) acagggaagtgg >mm10_chr5:124050551-124050562(-)::chr5:124050550-124050562(-) TTCAGGAAGTCC >mm10_chr5:124050592-124050603(-)::chr5:124050591-124050603(-) ATGAGGAAATGT >mm10_chr5:124052311-124052322(-)::chr5:124052310-124052322(-) AGGAGGAAGCTG >mm10_chr5:124059846-124059857(+)::chr5:124059845-124059857(+) AGGAGGAAGTTC >mm10_chr5:124074985-124074996(+)::chr5:124074984-124074996(+) GCCAGGAAGTGA >mm10_chr5:124075013-124075024(-)::chr5:124075012-124075024(-) GTGAGGAAGTGG >mm10_chr5:124075658-124075669(+)::chr5:124075657-124075669(+) AGAAGGAAGCGA >mm10_chr5:124084279-124084290(-)::chr5:124084278-124084290(-) AGAAGGAAATGG >mm10_chr5:124211283-124211294(-)::chr5:124211282-124211294(-) accaggaagaga >mm10_chr5:124236524-124236535(-)::chr5:124236523-124236535(-) AGCAGGAAGTCA >mm10_chr5:124328303-124328314(-)::chr5:124328302-124328314(-) AGTCGGAAGTAG >mm10_chr5:124328310-124328321(-)::chr5:124328309-124328321(-) GGACGGAAGTCG >mm10_chr5:124351296-124351307(+)::chr5:124351295-124351307(+) AGGAGGAAGTAA >mm10_chr5:124358116-124358127(-)::chr5:124358115-124358127(-) CAGAGGAAGTCT >mm10_chr5:124358131-124358142(-)::chr5:124358130-124358142(-) GCAAGGAAGAGC >mm10_chr5:124424424-124424435(-)::chr5:124424423-124424435(-) ACCCGGAAGTTT >mm10_chr5:124439595-124439606(+)::chr5:124439594-124439606(+) AACCGGAAGTTC >mm10_chr5:124447128-124447139(-)::chr5:124447127-124447139(-) AGAAGGAAGAAT >mm10_chr5:124453746-124453757(+)::chr5:124453745-124453757(+) GGAAGGAAGGAG >mm10_chr5:124454245-124454256(+)::chr5:124454244-124454256(+) AGCAGGAAGGAC >mm10_chr5:124460300-124460311(-)::chr5:124460299-124460311(-) ACCAGGAAGGAG >mm10_chr5:124468440-124468451(-)::chr5:124468439-124468451(-) AGGAGGAAGTCT >mm10_chr5:124481637-124481648(-)::chr5:124481636-124481648(-) ggcaggaaatag >mm10_chr5:124532143-124532154(+)::chr5:124532142-124532154(+) AACAGGAAGttt >mm10_chr5:124541495-124541506(-)::chr5:124541494-124541506(-) GCTAGGAAGTTA >mm10_chr5:124541506-124541517(-)::chr5:124541505-124541517(-) GTAAGGATGCGG >mm10_chr5:124545001-124545012(-)::chr5:124545000-124545012(-) TCCAGGAAGTAC >mm10_chr5:124552851-124552862(+)::chr5:124552850-124552862(+) AACCGGAAGCGG >mm10_chr5:124553277-124553288(-)::chr5:124553276-124553288(-) TGAACGAAGTAG >mm10_chr5:124568086-124568097(+)::chr5:124568085-124568097(+) gaaaggaagaag >mm10_chr5:124568110-124568121(+)::chr5:124568109-124568121(+) aagaggaagaag >mm10_chr5:124582762-124582773(+)::chr5:124582761-124582773(+) ACGGGGAAGTTG >mm10_chr5:124582787-124582798(-)::chr5:124582786-124582798(-) AGAAGGAACTGT >mm10_chr5:124640151-124640162(-)::chr5:124640150-124640162(-) ACAAGGAAATGC >mm10_chr5:124640164-124640175(-)::chr5:124640163-124640175(-) AAAAGGAAATTA >mm10_chr5:124714001-124714012(+)::chr5:124714000-124714012(+) TCAGGGAAGTCA >mm10_chr5:124715294-124715305(-)::chr5:124715293-124715305(-) GAGAGGAAGTGC >mm10_chr5:124715326-124715337(+)::chr5:124715325-124715337(+) ATGAggaagcag >mm10_chr5:124821804-124821815(-)::chr5:124821803-124821815(-) gacaggaagtgc >mm10_chr5:124828582-124828593(+)::chr5:124828581-124828593(+) AGGAGGAAGTTT >mm10_chr5:124877820-124877831(-)::chr5:124877819-124877831(-) GGAAGGAAATGG >mm10_chr5:124877824-124877835(-)::chr5:124877823-124877835(-) CTAAGGAAGGAA >mm10_chr5:124896667-124896678(-)::chr5:124896666-124896678(-) ACAAGGAAGGGG >mm10_chr5:124907626-124907637(-)::chr5:124907625-124907637(-) agaaggaagagT >mm10_chr5:124907641-124907652(-)::chr5:124907640-124907652(-) gggaggaagatg >mm10_chr5:124907660-124907671(-)::chr5:124907659-124907671(-) gggaggaagaag >mm10_chr5:124993245-124993256(-)::chr5:124993244-124993256(-) aggaggaAATGG >mm10_chr5:125040201-125040212(+)::chr5:125040200-125040212(+) GAGAGGAAGTCT >mm10_chr5:125052847-125052858(-)::chr5:125052846-125052858(-) tgaaggaagtga >mm10_chr5:125058087-125058098(-)::chr5:125058086-125058098(-) AGCAGGAAGGGC >mm10_chr5:125058126-125058137(+)::chr5:125058125-125058137(+) AGCGGGAAGTTG >mm10_chr5:125068939-125068950(-)::chr5:125068938-125068950(-) agaaggaAGAAC >mm10_chr5:125068969-125068980(-)::chr5:125068968-125068980(-) aggaggaagaga >mm10_chr5:125081717-125081728(-)::chr5:125081716-125081728(-) CGCAGGAAGCTG >mm10_chr5:125082072-125082083(+)::chr5:125082071-125082083(+) CCAAGGAAGCAG >mm10_chr5:125100923-125100934(-)::chr5:125100922-125100934(-) TGAAGGAAGAAG >mm10_chr5:125107590-125107601(+)::chr5:125107589-125107601(+) ACCAGGAAGTCT >mm10_chr5:125128699-125128710(+)::chr5:125128698-125128710(+) ACAAGGAAGCAG >mm10_chr5:125135192-125135203(+)::chr5:125135191-125135203(+) GGGAGGAAGCGG >mm10_chr5:125141474-125141485(-)::chr5:125141473-125141485(-) GGGAGGAAATGG >mm10_chr5:125142101-125142112(+)::chr5:125142100-125142112(+) AGCAGGAAGCCC >mm10_chr5:125142151-125142162(+)::chr5:125142150-125142162(+) ATAAGGAAGGTC >mm10_chr5:125147189-125147200(+)::chr5:125147188-125147200(+) GTAAGGAAGTCA >mm10_chr5:125147276-125147287(+)::chr5:125147275-125147287(+) AGAAGGAAAGAG >mm10_chr5:125152079-125152090(+)::chr5:125152078-125152090(+) TGGAGGAAGCTA >mm10_chr5:125175577-125175588(+)::chr5:125175576-125175588(+) AAGAGGAAGGTC >mm10_chr5:125189004-125189015(-)::chr5:125189003-125189015(-) aagaggaagttc >mm10_chr5:125202770-125202781(-)::chr5:125202769-125202781(-) gcaaggaagcag >mm10_chr5:125210965-125210976(+)::chr5:125210964-125210976(+) aagaggaagagg >mm10_chr5:125210971-125210982(+)::chr5:125210970-125210982(+) aagaggaagagg >mm10_chr5:125210977-125210988(+)::chr5:125210976-125210988(+) aagaggaagagg >mm10_chr5:125210983-125210994(+)::chr5:125210982-125210994(+) aagaggaagaag >mm10_chr5:125223889-125223900(+)::chr5:125223888-125223900(+) ATAAGGAAGCAG >mm10_chr5:125237975-125237986(-)::chr5:125237974-125237986(-) AGGAGGAAGTAA >mm10_chr5:125313384-125313395(+)::chr5:125313383-125313395(+) ttaaggaaggct >mm10_chr5:125313444-125313455(+)::chr5:125313443-125313455(+) tagaggaagaag >mm10_chr5:125315261-125315272(+)::chr5:125315260-125315272(+) TCAAGGAAGACA >mm10_chr5:125323240-125323251(+)::chr5:125323239-125323251(+) GAAAGGAAGCGG >mm10_chr5:125329457-125329468(+)::chr5:125329456-125329468(+) AGAAGGAACTTC >mm10_chr5:125329462-125329473(-)::chr5:125329461-125329473(-) CGCCGGAAGTTC >mm10_chr5:125339224-125339235(-)::chr5:125339223-125339235(-) GGAAGGAAGAGC >mm10_chr5:125339228-125339239(-)::chr5:125339227-125339239(-) TGAAGGAAGGAA >mm10_chr5:125341152-125341163(-)::chr5:125341151-125341163(-) CAAAGGAAGCCA >mm10_chr5:125348222-125348233(-)::chr5:125348221-125348233(-) AGAGGGAAGTCC >mm10_chr5:125352517-125352528(-)::chr5:125352516-125352528(-) ACGAGGAAGACT >mm10_chr5:125360690-125360701(-)::chr5:125360689-125360701(-) GCCAGGAAGAAT >mm10_chr5:125361144-125361155(-)::chr5:125361143-125361155(-) gacaggaagtgc >mm10_chr5:125365324-125365335(-)::chr5:125365323-125365335(-) aggaggaagagg >mm10_chr5:125365339-125365350(-)::chr5:125365338-125365350(-) aggaggaagagg >mm10_chr5:125365351-125365362(-)::chr5:125365350-125365362(-) aggaggaagaag >mm10_chr5:125365365-125365376(-)::chr5:125365364-125365376(-) agaaggaagaga >mm10_chr5:125365393-125365404(-)::chr5:125365392-125365404(-) aggaggaagagg >mm10_chr5:125389727-125389738(+)::chr5:125389726-125389738(+) AGACGGAACTCG >mm10_chr5:125391914-125391925(+)::chr5:125391913-125391925(+) GCGAGGAAGAAG >mm10_chr5:125391962-125391973(+)::chr5:125391961-125391973(+) GAAAGGAAGAGA >mm10_chr5:125391990-125392001(+)::chr5:125391989-125392001(+) GTAAGGAAGAAA >mm10_chr5:125391994-125392005(+)::chr5:125391993-125392005(+) GGAAGAAAGTAC >mm10_chr5:125393417-125393428(+)::chr5:125393416-125393428(+) ATAAGGAAGTGT >mm10_chr5:125434103-125434114(-)::chr5:125434102-125434114(-) ACCCGGAAGTCT >mm10_chr5:125478278-125478289(-)::chr5:125478277-125478289(-) aggaggaaggaa >mm10_chr5:125478292-125478303(-)::chr5:125478291-125478303(-) agaaggaaagag >mm10_chr5:125491061-125491072(+)::chr5:125491060-125491072(+) AACAGGAAGGCC >mm10_chr5:126052091-126052102(-)::chr5:126052090-126052102(-) ACGAGGAAGTGT >mm10_chr5:126570427-126570438(-)::chr5:126570426-126570438(-) gaaaggaagatt >mm10_chr5:126828305-126828316(+)::chr5:126828304-126828316(+) ATGAGGAAGTAC >mm10_chr5:127596691-127596702(+)::chr5:127596690-127596702(+) ATGAGGAAGAGC >mm10_chr5:128297247-128297258(-)::chr5:128297246-128297258(-) gaaaggaaaata >mm10_chr5:128377170-128377181(-)::chr5:128377169-128377181(-) CTAAGGAAGTGG >mm10_chr5:128542066-128542077(-)::chr5:128542065-128542077(-) ACAAGGAAGAGC >mm10_chr5:128542088-128542099(+)::chr5:128542087-128542099(+) GGCAGGAAGTGA >mm10_chr5:128542252-128542263(-)::chr5:128542251-128542263(-) AACAGGAAGCCA >mm10_chr5:128542277-128542288(-)::chr5:128542276-128542288(-) GGAGGGAAGTGT >mm10_chr5:128551497-128551508(-)::chr5:128551496-128551508(-) taaaggaagcag >mm10_chr5:128572053-128572064(-)::chr5:128572052-128572064(-) ataCGGAAGAGC >mm10_chr5:128572070-128572081(-)::chr5:128572069-128572081(-) acaaggaagtaa >mm10_chr5:128576544-128576555(-)::chr5:128576543-128576555(-) CCAAGGAAGTGA >mm10_chr5:128917400-128917411(+)::chr5:128917399-128917411(+) TGCAGGAAATAG >mm10_chr5:128927699-128927710(+)::chr5:128927698-128927710(+) tggaggaagtga >mm10_chr5:129165290-129165301(+)::chr5:129165289-129165301(+) ttaaggaagtgc >mm10_chr5:129299022-129299033(+)::chr5:129299021-129299033(+) AGCAGGAAGATT >mm10_chr5:129390679-129390690(-)::chr5:129390678-129390690(-) TCCAGGAAGGGG >mm10_chr5:129524948-129524959(-)::chr5:129524947-129524959(-) GGTAGGAAGACA >mm10_chr5:129715379-129715390(-)::chr5:129715378-129715390(-) CGGCGGAAGTCC >mm10_chr5:129730312-129730323(+)::chr5:129730311-129730323(+) GGCAGGAAGGAG >mm10_chr5:129749525-129749536(-)::chr5:129749524-129749536(-) TATAGGAAGTTT >mm10_chr5:129886798-129886809(+)::chr5:129886797-129886809(+) ctaaggaagcgg >mm10_chr5:129886821-129886832(+)::chr5:129886820-129886832(+) tcaaggaaggcc >mm10_chr5:129887491-129887502(+)::chr5:129887490-129887502(+) AACCGGAAGTTC >mm10_chr5:129895597-129895608(-)::chr5:129895596-129895608(-) GCCGGGAAGTTG >mm10_chr5:129959242-129959253(-)::chr5:129959241-129959253(-) aggaggaagcag >mm10_chr5:129959257-129959268(-)::chr5:129959256-129959268(-) aggaggaagaag >mm10_chr5:129959266-129959277(-)::chr5:129959265-129959277(-) aaaaggaagagg >mm10_chr5:129959289-129959300(-)::chr5:129959288-129959300(-) CACAGGAAGTCa >mm10_chr5:129968734-129968745(-)::chr5:129968733-129968745(-) ACCAGGAAGAGG >mm10_chr5:130001450-130001461(+)::chr5:130001449-130001461(+) ACAAGGAAGAAG >mm10_chr5:130003003-130003014(+)::chr5:130003002-130003014(+) AAGAGGAAGTCG >mm10_chr5:130029243-130029254(+)::chr5:130029242-130029254(+) TTAAGGAAGAAC >mm10_chr5:130049313-130049324(-)::chr5:130049312-130049324(-) GAGAGGAAGACA >mm10_chr5:130075109-130075120(-)::chr5:130075108-130075120(-) ACAGGGAAGTGA >mm10_chr5:130129573-130129584(-)::chr5:130129572-130129584(-) AAGAGGAAGGAG >mm10_chr5:130129579-130129590(-)::chr5:130129578-130129590(-) AACAGGAAGAGG >mm10_chr5:130170811-130170822(-)::chr5:130170810-130170822(-) ATAAGGAAGGTT >mm10_chr5:130170861-130170872(-)::chr5:130170860-130170872(-) gacaggaagttc >mm10_chr5:130172448-130172459(+)::chr5:130172447-130172459(+) TCGCGGAAGTTG >mm10_chr5:130172467-130172478(+)::chr5:130172466-130172478(+) AGAGGGAAGCGC >mm10_chr5:130198392-130198403(+)::chr5:130198391-130198403(+) AGCAGGAACTAG >mm10_chr5:130198442-130198453(-)::chr5:130198441-130198453(-) AGAAGGAAGATC >mm10_chr5:130198451-130198462(-)::chr5:130198450-130198462(-) ATAAGGAACAGA >mm10_chr5:130204105-130204116(+)::chr5:130204104-130204116(+) agaagcaagtgg >mm10_chr5:130204176-130204187(+)::chr5:130204175-130204187(+) GAAAGGAAGAAA >mm10_chr5:130205690-130205701(+)::chr5:130205689-130205701(+) TTGAGGAAGTTG >mm10_chr5:130205701-130205712(+)::chr5:130205700-130205712(+) GGGAGGAAGTAG >mm10_chr5:130207637-130207648(-)::chr5:130207636-130207648(-) AGTAGGAAGACT >mm10_chr5:130217641-130217652(-)::chr5:130217640-130217652(-) ggaaGGAATTAC >mm10_chr5:130219742-130219753(+)::chr5:130219741-130219753(+) AGAAGGAAGTAG >mm10_chr5:130219776-130219787(+)::chr5:130219775-130219787(+) TCAAGGAAGATG >mm10_chr5:130256814-130256825(+)::chr5:130256813-130256825(+) AGCAGGAAGCTC >mm10_chr5:130256987-130256998(+)::chr5:130256986-130256998(+) GAGAGGAAGTTA >mm10_chr5:130257029-130257040(-)::chr5:130257028-130257040(-) AGAAGGAAAAAA >mm10_chr5:130316784-130316795(-)::chr5:130316783-130316795(-) AGGAGGAAGAGG >mm10_chr5:131133354-131133365(-)::chr5:131133353-131133365(-) ACAAGGAAGGAG >mm10_chr5:131133413-131133424(+)::chr5:131133412-131133424(+) agaaggaagctg >mm10_chr5:131438330-131438341(+)::chr5:131438329-131438341(+) ACGAGGAAGTGT >mm10_chr5:131608670-131608681(-)::chr5:131608669-131608681(-) TACAGGAAGGAC >mm10_chr5:131608681-131608692(-)::chr5:131608680-131608692(-) AAGAGGAAGAAT >mm10_chr5:131648094-131648105(+)::chr5:131648093-131648105(+) ATGAGGAAATGA >mm10_chr5:131815759-131815770(+)::chr5:131815758-131815770(+) GGGAGGAAGCAG >mm10_chr5:131872078-131872089(+)::chr5:131872077-131872089(+) aagaggaagagg >mm10_chr5:131872084-131872095(+)::chr5:131872083-131872095(+) aagaggaagagg >mm10_chr5:131872103-131872114(+)::chr5:131872102-131872114(+) aggaggaagagg >mm10_chr5:131872109-131872120(+)::chr5:131872108-131872120(+) aagaggaagaCC >mm10_chr5:131994236-131994247(+)::chr5:131994235-131994247(+) GGCAGGAAGGGG >mm10_chr5:132091520-132091531(+)::chr5:132091519-132091531(+) agcaggaagttg >mm10_chr5:132117418-132117429(+)::chr5:132117417-132117429(+) aggaggaagaag >mm10_chr5:132117445-132117456(+)::chr5:132117444-132117456(+) aggaggaagagg >mm10_chr5:132117457-132117468(+)::chr5:132117456-132117468(+) aaaaggaagagg >mm10_chr5:132117472-132117483(+)::chr5:132117471-132117483(+) gagaggaagagg >mm10_chr5:132117478-132117489(+)::chr5:132117477-132117489(+) aagaggaagagg >mm10_chr5:132167416-132167427(+)::chr5:132167415-132167427(+) TCCAGGAAGTGT >mm10_chr5:132167444-132167455(+)::chr5:132167443-132167455(+) ATGAGGAAATAC >mm10_chr5:132186340-132186351(-)::chr5:132186339-132186351(-) ACAAGGAAGGCT >mm10_chr5:132189809-132189820(+)::chr5:132189808-132189820(+) tgaaggaagaag >mm10_chr5:132189822-132189833(+)::chr5:132189821-132189833(+) ttaaggaagaca >mm10_chr5:132201458-132201469(+)::chr5:132201457-132201469(+) GCCAGGAAGGAA >mm10_chr5:132201462-132201473(+)::chr5:132201461-132201473(+) GGAAGGAAGCTA >mm10_chr5:132261881-132261892(+)::chr5:132261880-132261892(+) ACAAGGAAGGTG >mm10_chr5:132307132-132307143(-)::chr5:132307131-132307143(-) GGAAGGAAGGAT >mm10_chr5:132307136-132307147(-)::chr5:132307135-132307147(-) AAGAGGAAGGAA >mm10_chr5:132333532-132333543(+)::chr5:132333531-132333543(+) ACGAGGAAGACA >mm10_chr5:132340589-132340600(+)::chr5:132340588-132340600(+) acaaggaaatca >mm10_chr5:132356696-132356707(+)::chr5:132356695-132356707(+) ACAAGGAAGTGC >mm10_chr5:132391824-132391835(+)::chr5:132391823-132391835(+) AAGAGGAAGGAA >mm10_chr5:132391828-132391839(+)::chr5:132391827-132391839(+) GGAAGGAAGCAG >mm10_chr5:132397480-132397491(+)::chr5:132397479-132397491(+) AAGAGGAAGCAG >mm10_chr5:132400699-132400710(+)::chr5:132400698-132400710(+) GCAAGGAAGAGG >mm10_chr5:132400705-132400716(+)::chr5:132400704-132400716(+) AAGAGGAAGGAG >mm10_chr5:132430298-132430309(-)::chr5:132430297-132430309(-) AGAGGGAAGTCT >mm10_chr5:132430316-132430327(+)::chr5:132430315-132430327(+) AGCAGGAACTAA >mm10_chr5:132440324-132440335(+)::chr5:132440323-132440335(+) CCAAGGAAGACC >mm10_chr5:132449510-132449521(-)::chr5:132449509-132449521(-) aagaggaagtta >mm10_chr5:132449516-132449527(-)::chr5:132449515-132449527(-) tccaggaagagg >mm10_chr5:132449537-132449548(-)::chr5:132449536-132449548(-) tgagggaagtgt >mm10_chr5:132504950-132504961(+)::chr5:132504949-132504961(+) ttagggaagtaa >mm10_chr5:132504979-132504990(-)::chr5:132504978-132504990(-) acaaggaagtaa >mm10_chr5:132530217-132530228(+)::chr5:132530216-132530228(+) TGTAGGAAGaat >mm10_chr5:132542670-132542681(+)::chr5:132542669-132542681(+) AGGAGGAAGGGA >mm10_chr5:132579456-132579467(+)::chr5:132579455-132579467(+) AGGAGGAAGAGA >mm10_chr5:132594963-132594974(-)::chr5:132594962-132594974(-) AACAGGAAGTAA >mm10_chr5:132609985-132609996(-)::chr5:132609984-132609996(-) AAGAGGAAGGAG >mm10_chr5:132610013-132610024(+)::chr5:132610012-132610024(+) aggaggaagagg >mm10_chr5:132610019-132610030(+)::chr5:132610018-132610030(+) aagaggaagagg >mm10_chr5:132610046-132610057(+)::chr5:132610045-132610057(+) aggaggaagaag >mm10_chr5:132656939-132656950(+)::chr5:132656938-132656950(+) ggaagtaagttg >mm10_chr5:132656986-132656997(-)::chr5:132656985-132656997(-) aacaggaagtga >mm10_chr5:132657006-132657017(-)::chr5:132657005-132657017(-) gccaggaagcaa >mm10_chr5:132657019-132657030(+)::chr5:132657018-132657030(+) gccgggaagtga >mm10_chr5:132660613-132660624(-)::chr5:132660612-132660624(-) agaaggaaggaG >mm10_chr5:132678690-132678701(+)::chr5:132678689-132678701(+) agcaggaaggct >mm10_chr5:132694175-132694186(-)::chr5:132694174-132694186(-) ACCAGGAAATTC >mm10_chr5:132729056-132729067(-)::chr5:132729055-132729067(-) ACCCGGAAGTAG >mm10_chr5:132765219-132765230(-)::chr5:132765218-132765230(-) aacaggaagtaa >mm10_chr5:132772414-132772425(-)::chr5:132772413-132772425(-) ggaaggaagagt >mm10_chr5:132772418-132772429(-)::chr5:132772417-132772429(-) GAaaggaaggaa >mm10_chr5:132786727-132786738(+)::chr5:132786726-132786738(+) actaggaagtac >mm10_chr5:132786772-132786783(-)::chr5:132786771-132786783(-) tggaggaagtat >mm10_chr5:132892843-132892854(+)::chr5:132892842-132892854(+) AGCAGGAAGGAA >mm10_chr5:132892847-132892858(+)::chr5:132892846-132892858(+) GGAAGGAAGGGT >mm10_chr5:132953129-132953140(+)::chr5:132953128-132953140(+) ttaaggaagcag >mm10_chr5:132969438-132969449(+)::chr5:132969437-132969449(+) ACCAGGAAGTGC >mm10_chr5:132973962-132973973(+)::chr5:132973961-132973973(+) CCCAGGAAGTGC >mm10_chr5:133108650-133108661(-)::chr5:133108649-133108661(-) cgagggaaatag >mm10_chr5:133108667-133108678(+)::chr5:133108666-133108678(+) accaggaagtag >mm10_chr5:133130531-133130542(+)::chr5:133130530-133130542(+) acaaggaagaag >mm10_chr5:133157508-133157519(-)::chr5:133157507-133157519(-) ATGAGGAAGTCA >mm10_chr5:133211554-133211565(-)::chr5:133211553-133211565(-) gtcaggaagtag >mm10_chr5:133284548-133284559(-)::chr5:133284547-133284559(-) AGAAGTAAGTGG >mm10_chr5:133298422-133298433(-)::chr5:133298421-133298433(-) AGAAGGAAAAGG >mm10_chr5:133298456-133298467(+)::chr5:133298455-133298467(+) AGGAGGAAGCTG >mm10_chr5:133681212-133681223(-)::chr5:133681211-133681223(-) ACCAGGAAGCCA >mm10_chr5:133822604-133822615(+)::chr5:133822603-133822615(+) aggaggaagaga >mm10_chr5:133822640-133822651(+)::chr5:133822639-133822651(+) aggaggaagaag >mm10_chr5:134058508-134058519(+)::chr5:134058507-134058519(+) aggaggaaggag >mm10_chr5:134063760-134063771(-)::chr5:134063759-134063771(-) aagaggaaggga >mm10_chr5:134218360-134218371(-)::chr5:134218359-134218371(-) aggaggaagTGG >mm10_chr5:134218367-134218378(-)::chr5:134218366-134218378(-) aagaggaaggag >mm10_chr5:134218388-134218399(-)::chr5:134218387-134218399(-) gggaggaaggga >mm10_chr5:134218398-134218409(-)::chr5:134218397-134218409(-) gggaggaagagg >mm10_chr5:134218418-134218429(-)::chr5:134218417-134218429(-) gggaggaagaag >mm10_chr5:134218433-134218444(-)::chr5:134218432-134218444(-) aagaggaaggag >mm10_chr5:134232780-134232791(-)::chr5:134232779-134232791(-) AGAAGGAAGTGC >mm10_chr5:134342725-134342736(-)::chr5:134342724-134342736(-) AAGAGGAAATAG >mm10_chr5:134418550-134418561(-)::chr5:134418549-134418561(-) TTGAGGAAGTAG >mm10_chr5:134509159-134509170(+)::chr5:134509158-134509170(+) aggaggaagctg >mm10_chr5:134509180-134509191(+)::chr5:134509179-134509191(+) gaagggaagtgg >mm10_chr5:134509220-134509231(+)::chr5:134509219-134509231(+) atcaggaagagc >mm10_chr5:134531911-134531922(+)::chr5:134531910-134531922(+) TGGAGGAAGTTC >mm10_chr5:134541194-134541205(+)::chr5:134541193-134541205(+) AGCAGGATGTAG >mm10_chr5:134549777-134549788(+)::chr5:134549776-134549788(+) ACAAGGAAGACT >mm10_chr5:134549829-134549840(+)::chr5:134549828-134549840(+) AGCAGGAAGCAG >mm10_chr5:134549836-134549847(+)::chr5:134549835-134549847(+) AGCAGGAAGGGA >mm10_chr5:134555637-134555648(-)::chr5:134555636-134555648(-) GGCAGGAAGAAT >mm10_chr5:134555695-134555706(+)::chr5:134555694-134555706(+) TGGAGGAAGGGA >mm10_chr5:134582657-134582668(+)::chr5:134582656-134582668(+) CGCAGGAAGAGA >mm10_chr5:134582686-134582697(-)::chr5:134582685-134582697(-) AAGCGGAAGTGC >mm10_chr5:134652205-134652216(+)::chr5:134652204-134652216(+) CAAAGGAAGTTT >mm10_chr5:134671533-134671544(+)::chr5:134671532-134671544(+) GGGAGGAAGTCA >mm10_chr5:134727213-134727224(-)::chr5:134727212-134727224(-) AGGAGGAAGAGT >mm10_chr5:134742914-134742925(-)::chr5:134742913-134742925(-) gagaggAAGTCA >mm10_chr5:134742922-134742933(-)::chr5:134742921-134742933(-) gaaaggaagaga >mm10_chr5:134761482-134761493(-)::chr5:134761481-134761493(-) GGAAGGAAGCTG >mm10_chr5:134890519-134890530(-)::chr5:134890518-134890530(-) GGGAGGAAATGG >mm10_chr5:134931055-134931066(-)::chr5:134931054-134931066(-) aggaggaagttg >mm10_chr5:134931071-134931082(-)::chr5:134931070-134931082(-) aagaggaagaag >mm10_chr5:134931083-134931094(-)::chr5:134931082-134931094(-) aagaggaagaag >mm10_chr5:134951167-134951178(-)::chr5:134951166-134951178(-) AGCAGGAAGGTG >mm10_chr5:134961273-134961284(-)::chr5:134961272-134961284(-) AACAGGAAGGAG >mm10_chr5:134967761-134967772(+)::chr5:134967760-134967772(+) TGCAGGAAGTGC >mm10_chr5:134967788-134967799(+)::chr5:134967787-134967799(+) TGCAGGAAGTGC >mm10_chr5:134978796-134978807(-)::chr5:134978795-134978807(-) ACACGGAAGAGG >mm10_chr5:134981359-134981370(-)::chr5:134981358-134981370(-) GGCAGGAAGAGT >mm10_chr5:134992733-134992744(-)::chr5:134992732-134992744(-) AGCAGGAAGAGC >mm10_chr5:135001708-135001719(-)::chr5:135001707-135001719(-) AGGCGGAAGTTA >mm10_chr5:135008893-135008904(+)::chr5:135008892-135008904(+) TCAGGGAAGTGT >mm10_chr5:135018030-135018041(-)::chr5:135018029-135018041(-) tcagggaagtga >mm10_chr5:135018063-135018074(-)::chr5:135018062-135018074(-) accaggaagagt >mm10_chr5:135030972-135030983(+)::chr5:135030971-135030983(+) gaaaggaaggaa >mm10_chr5:135030976-135030987(+)::chr5:135030975-135030987(+) ggaaggaaggaa >mm10_chr5:135030980-135030991(+)::chr5:135030979-135030991(+) ggaaggaaggaa >mm10_chr5:135030984-135030995(+)::chr5:135030983-135030995(+) ggaaggaagTAG >mm10_chr5:135031495-135031506(-)::chr5:135031494-135031506(-) accaggaaggtg >mm10_chr5:135067133-135067144(-)::chr5:135067132-135067144(-) GGAAggaagttc >mm10_chr5:135067137-135067148(-)::chr5:135067136-135067148(-) TAGAGGAAggaa >mm10_chr5:135196526-135196537(+)::chr5:135196525-135196537(+) TACAGGAAGCAG >mm10_chr5:135196570-135196581(+)::chr5:135196569-135196581(+) AACAGGAAGTTG >mm10_chr5:135222024-135222035(+)::chr5:135222023-135222035(+) TCCAGGAAGGGA >mm10_chr5:135264266-135264277(-)::chr5:135264265-135264277(-) GGCAGGAAGGAG >mm10_chr5:135264745-135264756(+)::chr5:135264744-135264756(+) GGAAGGAAATGA >mm10_chr5:135264794-135264805(-)::chr5:135264793-135264805(-) TCCAGGAAGTGC >mm10_chr5:135326238-135326249(+)::chr5:135326237-135326249(+) AGCAGGAAGCAG >mm10_chr5:135356259-135356270(+)::chr5:135356258-135356270(+) aacaggaagatg >mm10_chr5:135375434-135375445(+)::chr5:135375433-135375445(+) AGAATGAAGACG >mm10_chr5:135390048-135390059(-)::chr5:135390047-135390059(-) ATGAGGAAGTGC >mm10_chr5:135440729-135440740(-)::chr5:135440728-135440740(-) acagggaagtcc >mm10_chr5:135452141-135452152(-)::chr5:135452140-135452152(-) AGGAGGAAGCAA >mm10_chr5:135460431-135460442(-)::chr5:135460430-135460442(-) CGCAGGAAGTGG >mm10_chr5:135466111-135466122(+)::chr5:135466110-135466122(+) AAAGGGAAGTTT >mm10_chr5:135466144-135466155(+)::chr5:135466143-135466155(+) AGCAGGAAGTGT >mm10_chr5:135515044-135515055(+)::chr5:135515043-135515055(+) agaaggaagagg >mm10_chr5:135515059-135515070(+)::chr5:135515058-135515070(+) gggaggaaggag >mm10_chr5:135515080-135515091(+)::chr5:135515079-135515091(+) aggaggaagaga >mm10_chr5:135515113-135515124(+)::chr5:135515112-135515124(+) gggaggaagaag >mm10_chr5:135686624-135686635(+)::chr5:135686623-135686635(+) AGGAGGAAGTGT >mm10_chr5:135686831-135686842(+)::chr5:135686830-135686842(+) TCTAGGAAGTGT >mm10_chr5:135702832-135702843(+)::chr5:135702831-135702843(+) agccggaagtag >mm10_chr5:135713667-135713678(+)::chr5:135713666-135713678(+) ATGAGGAAGCAG >mm10_chr5:135733300-135733311(-)::chr5:135733299-135733311(-) TAGAGGAAGCAC >mm10_chr5:135733332-135733343(-)::chr5:135733331-135733343(-) GGCAGGAAGTGC >mm10_chr5:135878133-135878144(+)::chr5:135878132-135878144(+) AACAGGAAGTAT >mm10_chr5:135958000-135958011(-)::chr5:135957999-135958011(-) TCCGGGAAGTGT >mm10_chr5:135990806-135990817(-)::chr5:135990805-135990817(-) ATGAGGAAATGA >mm10_chr5:135990822-135990833(+)::chr5:135990821-135990833(+) AAGAGGAAGTAT >mm10_chr5:135990850-135990861(+)::chr5:135990849-135990861(+) CAGAGGAAGTTC >mm10_chr5:136062050-136062061(+)::chr5:136062049-136062061(+) AGGAGGAAGTAT >mm10_chr5:136116670-136116681(+)::chr5:136116669-136116681(+) ATCCGGAAGTGG >mm10_chr5:136136067-136136078(+)::chr5:136136066-136136078(+) GGAAGGAAGTAG >mm10_chr5:136199178-136199189(+)::chr5:136199177-136199189(+) GAGAGGAAGAGA >mm10_chr5:136213965-136213976(-)::chr5:136213964-136213976(-) ggaaggcagtta >mm10_chr5:136213969-136213980(-)::chr5:136213968-136213980(-) ccgaggaaggca >mm10_chr5:136245386-136245397(+)::chr5:136245385-136245397(+) CCAAGGAAATGT >mm10_chr5:136245440-136245451(-)::chr5:136245439-136245451(-) AGGAGGAAGACA >mm10_chr5:136293304-136293315(+)::chr5:136293303-136293315(+) CTAAGGAAGATA >mm10_chr5:136395752-136395763(-)::chr5:136395751-136395763(-) TGGAGGAAGTAG >mm10_chr5:136395774-136395785(-)::chr5:136395773-136395785(-) AGAGGGAAGTCT >mm10_chr5:136395817-136395828(+)::chr5:136395816-136395828(+) GGGAGGAAGTGC >mm10_chr5:136414098-136414109(+)::chr5:136414097-136414109(+) ggaaggaaggga >mm10_chr5:136414115-136414126(+)::chr5:136414114-136414126(+) gAACGGAAGTGG >mm10_chr5:136414772-136414783(+)::chr5:136414771-136414783(+) AGAAGGAACTAG >mm10_chr5:136414802-136414813(+)::chr5:136414801-136414813(+) AGAAGGAAGGAG >mm10_chr5:136428190-136428201(-)::chr5:136428189-136428201(-) ACCAGGAAGAGT >mm10_chr5:136469889-136469900(+)::chr5:136469888-136469900(+) accaggaagctg >mm10_chr5:136488657-136488668(+)::chr5:136488656-136488668(+) GAAAGGAAGTAG >mm10_chr5:136489983-136489994(+)::chr5:136489982-136489994(+) AGAAGGAAACAG >mm10_chr5:136490037-136490048(+)::chr5:136490036-136490048(+) AGAAGGAACTTA >mm10_chr5:136500553-136500564(-)::chr5:136500552-136500564(-) GGCAGGAAGCAC >mm10_chr5:136500569-136500580(+)::chr5:136500568-136500580(+) ATCAGGAAGATA >mm10_chr5:136500590-136500601(+)::chr5:136500589-136500601(+) CAAAGGAAGCAG >mm10_chr5:136533702-136533713(+)::chr5:136533701-136533713(+) AGGAGGAAATGG >mm10_chr5:136560889-136560900(+)::chr5:136560888-136560900(+) GGAAGGAAGCAG >mm10_chr5:136560943-136560954(-)::chr5:136560942-136560954(-) ACAAGGAAGCAA >mm10_chr5:136568414-136568425(-)::chr5:136568413-136568425(-) AGAAGGAAATGT >mm10_chr5:136583824-136583835(+)::chr5:136583823-136583835(+) acaagcaagtgc >mm10_chr5:136583873-136583884(-)::chr5:136583872-136583884(-) ATGAGGAAGAAG >mm10_chr5:136609061-136609072(-)::chr5:136609060-136609072(-) TAGAGGAAGTGC >mm10_chr5:136634452-136634463(-)::chr5:136634451-136634463(-) GGGAGGAAGACA >mm10_chr5:136649404-136649415(-)::chr5:136649403-136649415(-) gacaggaagggg >mm10_chr5:136649412-136649423(-)::chr5:136649411-136649423(-) aggaggaagaca >mm10_chr5:136649436-136649447(-)::chr5:136649435-136649447(-) aggaggaagagg >mm10_chr5:136654841-136654852(-)::chr5:136654840-136654852(-) accaggaagcaa >mm10_chr5:136664741-136664752(-)::chr5:136664740-136664752(-) AACGGGAAGTTA >mm10_chr5:136672091-136672102(-)::chr5:136672090-136672102(-) ATCAGGAAGCCA >mm10_chr5:136673497-136673508(-)::chr5:136673496-136673508(-) ataaggaaaggt >mm10_chr5:136702520-136702531(+)::chr5:136702519-136702531(+) AAGAGGAAGTGT >mm10_chr5:136702547-136702558(-)::chr5:136702546-136702558(-) TCGAGGAAGTGA >mm10_chr5:136709076-136709087(-)::chr5:136709075-136709087(-) AGCAGGAAGACA >mm10_chr5:136712653-136712664(-)::chr5:136712652-136712664(-) ATAAGGAAAATT >mm10_chr5:136714617-136714628(-)::chr5:136714616-136714628(-) TAGAGGAAATTA >mm10_chr5:136719488-136719499(-)::chr5:136719487-136719499(-) CTGAGGAAGTCA >mm10_chr5:136719555-136719566(+)::chr5:136719554-136719566(+) AGAGGGAAGCGC >mm10_chr5:136953223-136953234(-)::chr5:136953222-136953234(-) TCTAGGAAGTCG >mm10_chr5:136953255-136953266(+)::chr5:136953254-136953266(+) ACCCGGAAGTTC >mm10_chr5:136956950-136956961(+)::chr5:136956949-136956961(+) ggaAGGAAGAGG >mm10_chr5:136956972-136956983(+)::chr5:136956971-136956983(+) AAAAGGAAGAGA >mm10_chr5:136958683-136958694(-)::chr5:136958682-136958694(-) ACAAGGAAGATG >mm10_chr5:136958701-136958712(-)::chr5:136958700-136958712(-) ACAAGGAAGGGA >mm10_chr5:136962063-136962074(+)::chr5:136962062-136962074(+) AGGAGGAAGGGG >mm10_chr5:136962094-136962105(+)::chr5:136962093-136962105(+) TGCCGGAAGTGC >mm10_chr5:137032751-137032762(+)::chr5:137032750-137032762(+) AGGAGGAAGCGG >mm10_chr5:137045875-137045886(+)::chr5:137045874-137045886(+) TCCAGGAAGTGG >mm10_chr5:137080073-137080084(-)::chr5:137080072-137080084(-) AGGAGGAAGGAG >mm10_chr5:137087488-137087499(-)::chr5:137087487-137087499(-) AGCAGGAAATGG >mm10_chr5:137088447-137088458(-)::chr5:137088446-137088458(-) TGAAGGAAGAAA >mm10_chr5:137088514-137088525(+)::chr5:137088513-137088525(+) ATCAGGAAGGAG >mm10_chr5:137107175-137107186(+)::chr5:137107174-137107186(+) AAAAGGAAGGCG >mm10_chr5:137107846-137107857(-)::chr5:137107845-137107857(-) ACAAGGAAAGAC >mm10_chr5:137115994-137116005(-)::chr5:137115993-137116005(-) gaaaggaaggga >mm10_chr5:137116232-137116243(-)::chr5:137116231-137116243(-) GGACGGAAGTTG >mm10_chr5:137116278-137116289(-)::chr5:137116277-137116289(-) GTGAGGAAGGCG >mm10_chr5:137121417-137121428(+)::chr5:137121416-137121428(+) ACAAGGAAGAAG >mm10_chr5:137121424-137121435(+)::chr5:137121423-137121435(+) AGAAGGAAGTGA >mm10_chr5:137293539-137293550(-)::chr5:137293538-137293550(-) AAAAGGAAGAAG >mm10_chr5:137293942-137293953(-)::chr5:137293941-137293953(-) GAGAGGAAGAGA >mm10_chr5:137293951-137293962(-)::chr5:137293950-137293962(-) AACAGGAAGGAG >mm10_chr5:137307707-137307718(+)::chr5:137307706-137307718(+) ACGCGGAAGTCT >mm10_chr5:137307758-137307769(+)::chr5:137307757-137307769(+) CTACGGAAGTTG >mm10_chr5:137338382-137338393(+)::chr5:137338381-137338393(+) TCAAGGAAGGGA >mm10_chr5:137338800-137338811(-)::chr5:137338799-137338811(-) ACAAGGAAAGGA >mm10_chr5:137338815-137338826(+)::chr5:137338814-137338826(+) ATCAGGAAGTCC >mm10_chr5:137375811-137375822(+)::chr5:137375810-137375822(+) ACCAGGAAGGGG >mm10_chr5:137468987-137468998(+)::chr5:137468986-137468998(+) agcaggatgtga >mm10_chr5:137502175-137502186(-)::chr5:137502174-137502186(-) GGAAGGAAGAGG >mm10_chr5:137502204-137502215(-)::chr5:137502203-137502215(-) AAGCGGAAGTGG >mm10_chr5:137531040-137531051(-)::chr5:137531039-137531051(-) TGAAGGAAGATT >mm10_chr5:137531080-137531091(+)::chr5:137531079-137531091(+) AAGAGGAAGAGC >mm10_chr5:137533191-137533202(-)::chr5:137533190-137533202(-) CGGAGGAAGACA >mm10_chr5:137535484-137535495(-)::chr5:137535483-137535495(-) TTAAGGAAGTCA >mm10_chr5:137538073-137538084(-)::chr5:137538072-137538084(-) GGGAGGAAGAGG >mm10_chr5:137538104-137538115(-)::chr5:137538103-137538115(-) AGGAGGAAGAGA >mm10_chr5:137601063-137601074(-)::chr5:137601062-137601074(-) CGGCGGAAGTGC >mm10_chr5:137624154-137624165(+)::chr5:137624153-137624165(+) AGAAGGAAGTTC >mm10_chr5:137624168-137624179(+)::chr5:137624167-137624179(+) ATAAGGAAGGGC >mm10_chr5:137624192-137624203(+)::chr5:137624191-137624203(+) AGGAGGAAGTTA >mm10_chr5:137624206-137624217(+)::chr5:137624205-137624217(+) GAAGGGAAGTGT >mm10_chr5:137629103-137629114(-)::chr5:137629102-137629114(-) GGGAGGAAGCGA >mm10_chr5:137651522-137651533(+)::chr5:137651521-137651533(+) GCAAGGAAGAGG >mm10_chr5:137662106-137662117(-)::chr5:137662105-137662117(-) tgaaggaagtta >mm10_chr5:137662135-137662146(+)::chr5:137662134-137662146(+) acccggaagcga >mm10_chr5:137671682-137671693(-)::chr5:137671681-137671693(-) ACAAGGAAGCGC >mm10_chr5:137684723-137684734(-)::chr5:137684722-137684734(-) ACGAGGAAGGGG >mm10_chr5:137706943-137706954(+)::chr5:137706942-137706954(+) aggaggaagaga >mm10_chr5:137745906-137745917(+)::chr5:137745905-137745917(+) aggaggaagagg >mm10_chr5:137745960-137745971(-)::chr5:137745959-137745971(-) ACCAGGAAGTCC >mm10_chr5:137817840-137817851(+)::chr5:137817839-137817851(+) agaaggaagaga >mm10_chr5:137817873-137817884(+)::chr5:137817872-137817884(+) aggaggaagaag >mm10_chr5:137817885-137817896(+)::chr5:137817884-137817896(+) agaagAAAGTAA >mm10_chr5:137859160-137859171(+)::chr5:137859159-137859171(+) CAGAGGAAGTGG >mm10_chr5:137870821-137870832(+)::chr5:137870820-137870832(+) ACAAGGAAGCCA >mm10_chr5:137870887-137870898(+)::chr5:137870886-137870898(+) TCCAGGAAGTGA >mm10_chr5:137962545-137962556(+)::chr5:137962544-137962556(+) CACAGGAAGTTA >mm10_chr5:137962580-137962591(+)::chr5:137962579-137962591(+) ATGAGGAAGGAG >mm10_chr5:137980187-137980198(+)::chr5:137980186-137980198(+) gtaaggaagaaa >mm10_chr5:137987363-137987374(+)::chr5:137987362-137987374(+) TAAAGGAATTGA >mm10_chr5:138085087-138085098(-)::chr5:138085086-138085098(-) GACCGGAAGTAG >mm10_chr5:138130625-138130636(-)::chr5:138130624-138130636(-) AGGAGgaaggag >mm10_chr5:138138709-138138720(+)::chr5:138138708-138138720(+) GTGAGGAAGTGG >mm10_chr5:138155279-138155290(-)::chr5:138155278-138155290(-) AGAAGGAAGTTT >mm10_chr5:138155516-138155527(+)::chr5:138155515-138155527(+) AGGAGGAAGGTG >mm10_chr5:138229567-138229578(-)::chr5:138229566-138229578(-) AGCAGGAAGGTG >mm10_chr5:138241669-138241680(+)::chr5:138241668-138241680(+) AGCAGGAAGGAA >mm10_chr5:138241673-138241684(+)::chr5:138241672-138241684(+) GGAAGGAAGAGC >mm10_chr5:138264055-138264066(+)::chr5:138264054-138264066(+) GGAAGGAAGGGG >mm10_chr5:138278599-138278610(+)::chr5:138278598-138278610(+) ggcaggaaggaa >mm10_chr5:138278665-138278676(+)::chr5:138278664-138278676(+) ACAAGGAAGGGC >mm10_chr5:138310231-138310242(-)::chr5:138310230-138310242(-) AGAAGGAAGTAG >mm10_chr5:138643350-138643361(-)::chr5:138643349-138643361(-) taaaggaagagg >mm10_chr5:138689452-138689463(-)::chr5:138689451-138689463(-) tcaaggaagcaa >mm10_chr5:138756503-138756514(+)::chr5:138756502-138756514(+) ACAAGGAAGCAT >mm10_chr5:138770413-138770424(+)::chr5:138770412-138770424(+) aggaggaagaag >mm10_chr5:138770420-138770431(+)::chr5:138770419-138770431(+) agaaggaagaga >mm10_chr5:138770432-138770443(+)::chr5:138770431-138770443(+) aggaggaaggga >mm10_chr5:138770469-138770480(+)::chr5:138770468-138770480(+) aggaggaagagg >mm10_chr5:138781473-138781484(+)::chr5:138781472-138781484(+) CCAAGGAAGCAG >mm10_chr5:138784565-138784576(-)::chr5:138784564-138784576(-) acaaggaagtgg >mm10_chr5:138784594-138784605(-)::chr5:138784593-138784605(-) agagggaagaaa >mm10_chr5:138786347-138786358(-)::chr5:138786346-138786358(-) ACAAGGAACTGT >mm10_chr5:138806301-138806312(-)::chr5:138806300-138806312(-) GGCAGGAAGGCC >mm10_chr5:138806329-138806340(+)::chr5:138806328-138806340(+) AAGAGGAAGACA >mm10_chr5:138824605-138824616(-)::chr5:138824604-138824616(-) TCAGGGAAGTGA >mm10_chr5:138824619-138824630(-)::chr5:138824618-138824630(-) AATAGGAAGTGG >mm10_chr5:138846579-138846590(-)::chr5:138846578-138846590(-) ACAAGGAAGCTG >mm10_chr5:138920324-138920335(-)::chr5:138920323-138920335(-) AGGAGGAAGCCA >mm10_chr5:139015433-139015444(+)::chr5:139015432-139015444(+) TCAAGGAAATGG >mm10_chr5:139033352-139033363(-)::chr5:139033351-139033363(-) AGAAGGAAGGCC >mm10_chr5:139033359-139033370(-)::chr5:139033358-139033370(-) TGCAGGAAGAAG >mm10_chr5:139043678-139043689(+)::chr5:139043677-139043689(+) AGGAGGAAGGTC >mm10_chr5:139150168-139150179(+)::chr5:139150167-139150179(+) AGCCGGAAGTCT >mm10_chr5:139197229-139197240(+)::chr5:139197228-139197240(+) AGAAGGAAGGAA >mm10_chr5:139197233-139197244(+)::chr5:139197232-139197244(+) GGAAGGAAGGGA >mm10_chr5:139197238-139197249(+)::chr5:139197237-139197249(+) GAAGGGAAGTCC >mm10_chr5:139197252-139197263(-)::chr5:139197251-139197263(-) TTAAGGAAGCAA >mm10_chr5:139217090-139217101(-)::chr5:139217089-139217101(-) GAGAGGAAGACA >mm10_chr5:139314948-139314959(+)::chr5:139314947-139314959(+) AGAAGGAAGAGT >mm10_chr5:139331907-139331918(-)::chr5:139331906-139331918(-) GAAAGGAAACGC >mm10_chr5:139331956-139331967(-)::chr5:139331955-139331967(-) TCAAGGAAGAAG >mm10_chr5:139364079-139364090(-)::chr5:139364078-139364090(-) AGAAGGAAGAGA >mm10_chr5:139364086-139364097(-)::chr5:139364085-139364097(-) GAACGGAAGAAG >mm10_chr5:139364091-139364102(-)::chr5:139364090-139364102(-) AGAAGGAACGGA >mm10_chr5:139379127-139379138(+)::chr5:139379126-139379138(+) GAAGGGAAGTTC >mm10_chr5:139388333-139388344(+)::chr5:139388332-139388344(+) TACAGGAAGTCC >mm10_chr5:139388366-139388377(+)::chr5:139388365-139388377(+) AACAGGAAGGAA >mm10_chr5:139388370-139388381(+)::chr5:139388369-139388381(+) GGAAGGAAGATG >mm10_chr5:139408708-139408719(+)::chr5:139408707-139408719(+) TGCAGGAAGCAG >mm10_chr5:139409733-139409744(-)::chr5:139409732-139409744(-) GGAACGAAGTCC >mm10_chr5:139465972-139465983(-)::chr5:139465971-139465983(-) ataaggaagtgt >mm10_chr5:139542126-139542137(-)::chr5:139542125-139542137(-) AGCAGGAAGAAA >mm10_chr5:139555897-139555908(-)::chr5:139555896-139555908(-) AGCAGGAAGGAA >mm10_chr5:139555921-139555932(+)::chr5:139555920-139555932(+) GAGAGGAAGTTG >mm10_chr5:139666667-139666678(-)::chr5:139666666-139666678(-) ATAGGGAAGTCT >mm10_chr5:139666714-139666725(+)::chr5:139666713-139666725(+) ACCAGGAAGTTC >mm10_chr5:139671349-139671360(+)::chr5:139671348-139671360(+) ATGAGGAAGAAC >mm10_chr5:139733042-139733053(-)::chr5:139733041-139733053(-) ACCAGGAAGTCC >mm10_chr5:139734256-139734267(+)::chr5:139734255-139734267(+) GCAAGGAAGTCA >mm10_chr5:139734322-139734333(-)::chr5:139734321-139734333(-) GACAGGAAGGAG >mm10_chr5:139745548-139745559(+)::chr5:139745547-139745559(+) aggaggaagagc >mm10_chr5:139775710-139775721(+)::chr5:139775709-139775721(+) AACCGGAAGTAA >mm10_chr5:139826881-139826892(-)::chr5:139826880-139826892(-) AACCGGAAGTTC >mm10_chr5:139845538-139845549(-)::chr5:139845537-139845549(-) TCAAGGAAGTTC >mm10_chr5:139854287-139854298(+)::chr5:139854286-139854298(+) aagaggaagagg >mm10_chr5:139957055-139957066(+)::chr5:139957054-139957066(+) ATCAGGAAGGAA >mm10_chr5:140045585-140045596(-)::chr5:140045584-140045596(-) AGCAGGAAGGAC >mm10_chr5:140045619-140045630(+)::chr5:140045618-140045630(+) TGGAGGAAGAAA >mm10_chr5:140068866-140068877(-)::chr5:140068865-140068877(-) ACAAGGAAGTTG >mm10_chr5:140106302-140106313(+)::chr5:140106301-140106313(+) TGGAGGAAGAGG >mm10_chr5:140107702-140107713(+)::chr5:140107701-140107713(+) ACAAGGAAGATG >mm10_chr5:140108041-140108052(+)::chr5:140108040-140108052(+) AAAGGGAAGTCA >mm10_chr5:140108064-140108075(+)::chr5:140108063-140108075(+) ACAAGGAAGAAG >mm10_chr5:140116197-140116208(+)::chr5:140116196-140116208(+) AGCAGGAAGTCA >mm10_chr5:140118992-140119003(-)::chr5:140118991-140119003(-) ACATGGAAGTAC >mm10_chr5:140119063-140119074(-)::chr5:140119062-140119074(-) TGCGGGAAGTAG >mm10_chr5:140126560-140126571(+)::chr5:140126559-140126571(+) ATGAGGAAGGAC >mm10_chr5:140162663-140162674(+)::chr5:140162662-140162674(+) tggaggaagtgc >mm10_chr5:140162711-140162722(-)::chr5:140162710-140162722(-) tgcaggaagtaa >mm10_chr5:140198638-140198649(+)::chr5:140198637-140198649(+) AGAAGGAAAAAA >mm10_chr5:140199602-140199613(-)::chr5:140199601-140199613(-) ACAAGGAAGAGC >mm10_chr5:140207209-140207220(-)::chr5:140207208-140207220(-) GAGAGGAAGTGA >mm10_chr5:140218197-140218208(-)::chr5:140218196-140218208(-) TCCGGGAAGTTA >mm10_chr5:140244990-140245001(+)::chr5:140244989-140245001(+) accaggaagaga >mm10_chr5:140269927-140269938(+)::chr5:140269926-140269938(+) CAAAGGAAGTCT >mm10_chr5:140281392-140281403(-)::chr5:140281391-140281403(-) ACCAGGAAGTTT >mm10_chr5:140281422-140281433(+)::chr5:140281421-140281433(+) ATCAGGAAGTAA >mm10_chr5:140281446-140281457(+)::chr5:140281445-140281457(+) AGAAGGAAGAGA >mm10_chr5:140381259-140381270(+)::chr5:140381258-140381270(+) ACAAGGAACTGC >mm10_chr5:140387532-140387543(+)::chr5:140387531-140387543(+) aagaggaagagg >mm10_chr5:140387544-140387555(+)::chr5:140387543-140387555(+) aggaggaagagg >mm10_chr5:140387556-140387567(+)::chr5:140387555-140387567(+) atgaggaagagg >mm10_chr5:140387562-140387573(+)::chr5:140387561-140387573(+) aagaggaagagg >mm10_chr5:140387568-140387579(+)::chr5:140387567-140387579(+) aagaggaagTGA >mm10_chr5:140387918-140387929(+)::chr5:140387917-140387929(+) AGAAGGAAGAAA >mm10_chr5:140387976-140387987(+)::chr5:140387975-140387987(+) AGAAGGAAGTGA >mm10_chr5:140403049-140403060(-)::chr5:140403048-140403060(-) TAAAGGAAATTT >mm10_chr5:140454678-140454689(+)::chr5:140454677-140454689(+) aacaggaagcag >mm10_chr5:140454748-140454759(-)::chr5:140454747-140454759(-) tggaggaagtat >mm10_chr5:140557800-140557811(+)::chr5:140557799-140557811(+) GAAAGGAAGTat >mm10_chr5:140562366-140562377(+)::chr5:140562365-140562377(+) ttgaggaagtcc >mm10_chr5:140562410-140562421(+)::chr5:140562409-140562421(+) ataAGGAAACTA >mm10_chr5:140608207-140608218(-)::chr5:140608206-140608218(-) AGAAGGAAGGGG >mm10_chr5:140617918-140617929(-)::chr5:140617917-140617929(-) AGAGGGAAGTTG >mm10_chr5:140617932-140617943(-)::chr5:140617931-140617943(-) GGAGGGAAGTTC >mm10_chr5:140617963-140617974(-)::chr5:140617962-140617974(-) TCCAGGAAGTCA >mm10_chr5:140619713-140619724(+)::chr5:140619712-140619724(+) ACCAGGAAGAAC >mm10_chr5:140636867-140636878(+)::chr5:140636866-140636878(+) AACAGGAAGTGC >mm10_chr5:140636886-140636897(-)::chr5:140636885-140636897(-) CACAGGAAGTGA >mm10_chr5:140650169-140650180(-)::chr5:140650168-140650180(-) GCAAGGAAGGAG >mm10_chr5:140651596-140651607(-)::chr5:140651595-140651607(-) GGCAGGAAGAAT >mm10_chr5:140663985-140663996(+)::chr5:140663984-140663996(+) ACAAGGAAGCAG >mm10_chr5:140664203-140664214(-)::chr5:140664202-140664214(-) ACCAGGAAGAGG >mm10_chr5:140664235-140664246(-)::chr5:140664234-140664246(-) TTCAGGAAGTGA >mm10_chr5:140670113-140670124(+)::chr5:140670112-140670124(+) TTAATGAAGTAG >mm10_chr5:140670167-140670178(+)::chr5:140670166-140670178(+) AGAAGGAAGCCC >mm10_chr5:140675250-140675261(+)::chr5:140675249-140675261(+) TGCAGGAAATGA >mm10_chr5:140749281-140749292(+)::chr5:140749280-140749292(+) TTGAGGAAGTTG >mm10_chr5:140761128-140761139(+)::chr5:140761127-140761139(+) ATGAGGAAGGGA >mm10_chr5:140761148-140761159(+)::chr5:140761147-140761159(+) AGAAGGAAGGAA >mm10_chr5:140761160-140761171(+)::chr5:140761159-140761171(+) ACCAGGAAGGAT >mm10_chr5:140767878-140767889(-)::chr5:140767877-140767889(-) AGCAGGAAGATG >mm10_chr5:140844311-140844322(-)::chr5:140844310-140844322(-) gcaagcaagtaa >mm10_chr5:141000461-141000472(-)::chr5:141000460-141000472(-) TGGAGGAAGTTG >mm10_chr5:141012328-141012339(-)::chr5:141012327-141012339(-) GGAAGGAAGGAC >mm10_chr5:141012332-141012343(-)::chr5:141012331-141012343(-) GAAAGGAAGGAA >mm10_chr5:141028198-141028209(+)::chr5:141028197-141028209(+) TTAAGGAAGCCT >mm10_chr5:141035432-141035443(-)::chr5:141035431-141035443(-) TCAAGGAAGAAC >mm10_chr5:141042044-141042055(+)::chr5:141042043-141042055(+) accaggaagtag >mm10_chr5:141135991-141136002(-)::chr5:141135990-141136002(-) TTAAGGAAGAGC >mm10_chr5:141265084-141265095(-)::chr5:141265083-141265095(-) ataaggaaggac >mm10_chr5:141439940-141439951(-)::chr5:141439939-141439951(-) ACAAGTAAGTCT >mm10_chr5:141439954-141439965(-)::chr5:141439953-141439965(-) GGAAGGAAGAAC >mm10_chr5:141583737-141583748(-)::chr5:141583736-141583748(-) aagaggaagccg >mm10_chr5:141583743-141583754(-)::chr5:141583742-141583754(-) tacaggaagagg >mm10_chr5:141583790-141583801(-)::chr5:141583789-141583801(-) agaaggaagaaa >mm10_chr5:141759147-141759158(+)::chr5:141759146-141759158(+) AGGAGGAAGAGC >mm10_chr5:141780079-141780090(-)::chr5:141780078-141780090(-) GTAAGGAAGTGA >mm10_chr5:141781318-141781329(+)::chr5:141781317-141781329(+) AGGGGGAAGTGA >mm10_chr5:141781349-141781360(-)::chr5:141781348-141781360(-) GGGAGGAAGTGT >mm10_chr5:141956791-141956802(+)::chr5:141956790-141956802(+) tacaggaagaga >mm10_chr5:142072999-142073010(-)::chr5:142072998-142073010(-) TCCAGGAAGAGA >mm10_chr5:142329876-142329887(+)::chr5:142329875-142329887(+) TTGAGGAAGTTA >mm10_chr5:142329886-142329897(-)::chr5:142329885-142329897(-) AGCAGGAAGGTA >mm10_chr5:142395288-142395299(-)::chr5:142395287-142395299(-) AGAAGGAAGGAA >mm10_chr5:142395306-142395317(+)::chr5:142395305-142395317(+) AGAAGGAAGGTT >mm10_chr5:142395333-142395344(-)::chr5:142395332-142395344(-) gccagGAAGCGG >mm10_chr5:142396353-142396364(-)::chr5:142396352-142396364(-) AGAAGGAAGAAA >mm10_chr5:142414085-142414096(-)::chr5:142414084-142414096(-) ACAAGGAAAGAA >mm10_chr5:142424751-142424762(-)::chr5:142424750-142424762(-) GCCAGGAAGCAA >mm10_chr5:142424768-142424779(-)::chr5:142424767-142424779(-) GCACGGAAGAAG >mm10_chr5:142428141-142428152(-)::chr5:142428140-142428152(-) GGAAGGAAGAAA >mm10_chr5:142442130-142442141(-)::chr5:142442129-142442141(-) GCCAGGAAGACA >mm10_chr5:142442150-142442161(-)::chr5:142442149-142442161(-) TGAAGGAAGCAC >mm10_chr5:142476012-142476023(-)::chr5:142476011-142476023(-) aggaggaaatgc >mm10_chr5:142547269-142547280(+)::chr5:142547268-142547280(+) acaaggaaaaag >mm10_chr5:142555834-142555845(+)::chr5:142555833-142555845(+) aggaggaagggg >mm10_chr5:142555846-142555857(+)::chr5:142555845-142555857(+) aaaaggaagaag >mm10_chr5:142555853-142555864(+)::chr5:142555852-142555864(+) agaaggaagaga >mm10_chr5:142649798-142649809(+)::chr5:142649797-142649809(+) TCGAGGAAGTGG >mm10_chr5:142693971-142693982(-)::chr5:142693970-142693982(-) agaaggaaggga >mm10_chr5:142693987-142693998(-)::chr5:142693986-142693998(-) agaaggaaggaa >mm10_chr5:142778385-142778396(+)::chr5:142778384-142778396(+) GCCAGGAAGTCT >mm10_chr5:142779116-142779127(+)::chr5:142779115-142779127(+) gacaggaagaca >mm10_chr5:142781341-142781352(-)::chr5:142781340-142781352(-) AGACGGAAGCTG >mm10_chr5:142781355-142781366(+)::chr5:142781354-142781366(+) TCCAGGAAGGAA >mm10_chr5:142781359-142781370(+)::chr5:142781358-142781370(+) GGAAGGAAGGAA >mm10_chr5:142781363-142781374(+)::chr5:142781362-142781374(+) GGAAGGAACTGC >mm10_chr5:142782231-142782242(-)::chr5:142782230-142782242(-) TCGAGGAAGTTA >mm10_chr5:142800923-142800934(-)::chr5:142800922-142800934(-) AGAGGGAAGTCC >mm10_chr5:142800935-142800946(+)::chr5:142800934-142800946(+) GGAAGGAATTTA >mm10_chr5:142818065-142818076(+)::chr5:142818064-142818076(+) TAGAGGAAGATG >mm10_chr5:142818115-142818126(-)::chr5:142818114-142818126(-) AGAAGGAAGCTG >mm10_chr5:142842335-142842346(-)::chr5:142842334-142842346(-) GAAGGGAAGTAT >mm10_chr5:142842340-142842351(-)::chr5:142842339-142842351(-) AAGAGGAAGGGA >mm10_chr5:142895243-142895254(-)::chr5:142895242-142895254(-) AAGCGGAAGTAC >mm10_chr5:142905759-142905770(-)::chr5:142905758-142905770(-) TGCCGGAAGTGG >mm10_chr5:142905818-142905829(+)::chr5:142905817-142905829(+) CAAAGGAAGCGC >mm10_chr5:142906104-142906115(+)::chr5:142906103-142906115(+) ACTAGGAAGAGG >mm10_chr5:142910398-142910409(+)::chr5:142910397-142910409(+) GGAAGGAAGCAA >mm10_chr5:142920948-142920959(+)::chr5:142920947-142920959(+) CACAGGAAGTCC >mm10_chr5:142922604-142922615(+)::chr5:142922603-142922615(+) ATAAGGAAGCCA >mm10_chr5:142922629-142922640(+)::chr5:142922628-142922640(+) ACAAGGAAAAGG >mm10_chr5:142923890-142923901(+)::chr5:142923889-142923901(+) acaaggaaggct >mm10_chr5:142923904-142923915(+)::chr5:142923903-142923915(+) ggcaggaagatc >mm10_chr5:142929255-142929266(-)::chr5:142929254-142929266(-) CGGAGGAAGTcg >mm10_chr5:142929268-142929279(-)::chr5:142929267-142929279(-) AACGGGAAGTCG >mm10_chr5:142935785-142935796(+)::chr5:142935784-142935796(+) aacaggaagctg >mm10_chr5:142936952-142936963(+)::chr5:142936951-142936963(+) TACAGGAAATGT >mm10_chr5:143050690-143050701(-)::chr5:143050689-143050701(-) AACAGGAAGGTG >mm10_chr5:143059062-143059073(-)::chr5:143059061-143059073(-) GAGAGGAAGTAG >mm10_chr5:143077005-143077016(-)::chr5:143077004-143077016(-) AGAAGGAAGGTT >mm10_chr5:143077080-143077091(-)::chr5:143077079-143077091(-) AGGAGGAAGAAA >mm10_chr5:143113047-143113058(+)::chr5:143113046-143113058(+) AACAGGAAGAAC >mm10_chr5:143133138-143133149(-)::chr5:143133137-143133149(-) TTAAGGAAATAC >mm10_chr5:143197798-143197809(-)::chr5:143197797-143197809(-) aggaggaagaga >mm10_chr5:143197820-143197831(-)::chr5:143197819-143197831(-) aagaggaagaac >mm10_chr5:143197826-143197837(-)::chr5:143197825-143197837(-) aggaggaagagg >mm10_chr5:143197835-143197846(-)::chr5:143197834-143197846(-) aagaggaagagg >mm10_chr5:143197871-143197882(-)::chr5:143197870-143197882(-) aggaggaagagg >mm10_chr5:143233012-143233023(+)::chr5:143233011-143233023(+) TTAAGGAAGCTA >mm10_chr5:143235096-143235107(+)::chr5:143235095-143235107(+) GAAAGGAAGACC >mm10_chr5:143320385-143320396(+)::chr5:143320384-143320396(+) ATGAGGAAGTAC >mm10_chr5:143329222-143329233(-)::chr5:143329221-143329233(-) CGCCGGAAGTCT >mm10_chr5:143329267-143329278(-)::chr5:143329266-143329278(-) CGTCGGAAGTCG >mm10_chr5:143386751-143386762(+)::chr5:143386750-143386762(+) AGAAGGAAGTGG >mm10_chr5:143403635-143403646(+)::chr5:143403634-143403646(+) AGAAGGAAATCG >mm10_chr5:143403671-143403682(+)::chr5:143403670-143403682(+) AGACGGAAATTG >mm10_chr5:143406282-143406293(+)::chr5:143406281-143406293(+) AAAAGGAAGCAG >mm10_chr5:143464480-143464491(-)::chr5:143464479-143464491(-) ACCCGGAAGTCA >mm10_chr5:143497354-143497365(-)::chr5:143497353-143497365(-) agaaggaaagag >mm10_chr5:143497398-143497409(-)::chr5:143497397-143497409(-) atagggaagagg >mm10_chr5:143507538-143507549(-)::chr5:143507537-143507549(-) GGAAGGAAGGAT >mm10_chr5:143507542-143507553(-)::chr5:143507541-143507553(-) TGAAGGAAGGAA >mm10_chr5:143511756-143511767(+)::chr5:143511755-143511767(+) ACAAGGAAGTGG >mm10_chr5:143513601-143513612(-)::chr5:143513600-143513612(-) GTAAGGAAGCAA >mm10_chr5:143513609-143513620(-)::chr5:143513608-143513620(-) ACAGGGAAGTAA >mm10_chr5:143518460-143518471(-)::chr5:143518459-143518471(-) aagaggaagttg >mm10_chr5:143528001-143528012(+)::chr5:143528000-143528012(+) AACAGGAAATGG >mm10_chr5:143530910-143530921(-)::chr5:143530909-143530921(-) TGAAGGAAGTAG >mm10_chr5:143537222-143537233(+)::chr5:143537221-143537233(+) TGGAGGAAGTCC >mm10_chr5:143561828-143561839(-)::chr5:143561827-143561839(-) TGGAGGAAATTA >mm10_chr5:143624016-143624027(+)::chr5:143624015-143624027(+) TGTAGGAAGTTG >mm10_chr5:143625569-143625580(+)::chr5:143625568-143625580(+) ATAAGGAAAGGA >mm10_chr5:143627991-143628002(+)::chr5:143627990-143628002(+) TGCAGGAAGTCC >mm10_chr5:143633169-143633180(-)::chr5:143633168-143633180(-) AATAGGAAATGC >mm10_chr5:143633250-143633261(+)::chr5:143633249-143633261(+) AGGAGGAAATGG >mm10_chr5:143634037-143634048(+)::chr5:143634036-143634048(+) GCCAGGAAGAGG >mm10_chr5:143652014-143652025(+)::chr5:143652013-143652025(+) gtaaggaagtct >mm10_chr5:143665768-143665779(+)::chr5:143665767-143665779(+) AAAAGGAAGAGG >mm10_chr5:143670043-143670054(+)::chr5:143670042-143670054(+) AACAGGAAGAGC >mm10_chr5:143678584-143678595(-)::chr5:143678583-143678595(-) GGCAGGAAGTCC >mm10_chr5:143678592-143678603(-)::chr5:143678591-143678603(-) GGAAGGAAGGCA >mm10_chr5:143686645-143686656(-)::chr5:143686644-143686656(-) ATGAGGAAGGAT >mm10_chr5:143688029-143688040(+)::chr5:143688028-143688040(+) ACAAGGAAATGC >mm10_chr5:143688183-143688194(+)::chr5:143688182-143688194(+) TCAAGGAAGTCT >mm10_chr5:143758158-143758169(+)::chr5:143758157-143758169(+) TACCGGAAGTGG >mm10_chr5:143758183-143758194(+)::chr5:143758182-143758194(+) CGGCGGAAGTTC >mm10_chr5:143758225-143758236(-)::chr5:143758224-143758236(-) GGGAGGAAGTTA >mm10_chr5:143817708-143817719(-)::chr5:143817707-143817719(-) TAAAGGAAATGA >mm10_chr5:143817762-143817773(-)::chr5:143817761-143817773(-) ACCAGGAAGTTT >mm10_chr5:143820146-143820157(-)::chr5:143820145-143820157(-) AGCAGGATGTCG >mm10_chr5:143932928-143932939(-)::chr5:143932927-143932939(-) AGCAGGATGTAC >mm10_chr5:143990274-143990285(-)::chr5:143990273-143990285(-) AAAGGGAAGTAA >mm10_chr5:143990290-143990301(-)::chr5:143990289-143990301(-) AATAGGAAGTTC >mm10_chr5:143990297-143990308(-)::chr5:143990296-143990308(-) ACAAGGAAATAG >mm10_chr5:144084781-144084792(-)::chr5:144084780-144084792(-) acaaggaagagg >mm10_chr5:144084832-144084843(-)::chr5:144084831-144084843(-) aggaggaagggg >mm10_chr5:144084841-144084852(-)::chr5:144084840-144084852(-) aggaggaagagg >mm10_chr5:144086296-144086307(+)::chr5:144086295-144086307(+) AGGAGGAAATCC >mm10_chr5:144086636-144086647(+)::chr5:144086635-144086647(+) TACAGGAAGTCA >mm10_chr5:144086652-144086663(-)::chr5:144086651-144086663(-) TAAAGGAAGTCC >mm10_chr5:144105261-144105272(+)::chr5:144105260-144105272(+) CAGAGGAAGTTT >mm10_chr5:144126155-144126166(-)::chr5:144126154-144126166(-) GAAAGGAAGTAA >mm10_chr5:144126208-144126219(-)::chr5:144126207-144126219(-) ATGAGGAAATGA >mm10_chr5:144146945-144146956(-)::chr5:144146944-144146956(-) ACAAGGAAATGG >mm10_chr5:144155278-144155289(-)::chr5:144155277-144155289(-) atgaggaagtca >mm10_chr5:144157281-144157292(+)::chr5:144157280-144157292(+) GGCAGGAAGAGT >mm10_chr5:144157296-144157307(+)::chr5:144157295-144157307(+) AGACGGAAGGAG >mm10_chr5:144170346-144170357(+)::chr5:144170345-144170357(+) AGCAGGAAATTG >mm10_chr5:144192916-144192927(-)::chr5:144192915-144192927(-) GGAAGGAAGAGG >mm10_chr5:144192920-144192931(-)::chr5:144192919-144192931(-) GGGAGGAAGGAA >mm10_chr5:144222968-144222979(+)::chr5:144222967-144222979(+) GGCAGGAAGCGA >mm10_chr5:144301498-144301509(+)::chr5:144301497-144301509(+) ACAAGGAAGTCC >mm10_chr5:144301511-144301522(-)::chr5:144301510-144301522(-) CTAAGGAAGTTT >mm10_chr5:144301535-144301546(-)::chr5:144301534-144301546(-) GAGAGGAAGAGA >mm10_chr5:144306834-144306845(-)::chr5:144306833-144306845(-) atcaggaagcgg >mm10_chr5:144314956-144314967(+)::chr5:144314955-144314967(+) AGAAGGAACGGA >mm10_chr5:144314980-144314991(-)::chr5:144314979-144314991(-) TAAAGGAAGCAG >mm10_chr5:144321892-144321903(-)::chr5:144321891-144321903(-) CGGAGGAAGTGA >mm10_chr5:144334114-144334125(-)::chr5:144334113-144334125(-) TCAAGGAAGGAG >mm10_chr5:144336383-144336394(+)::chr5:144336382-144336394(+) agtaggaagagt >mm10_chr5:144359784-144359795(-)::chr5:144359783-144359795(-) ACCAGGAAggac >mm10_chr5:144359794-144359805(-)::chr5:144359793-144359805(-) AACAGGAAGCAC >mm10_chr5:144360483-144360494(-)::chr5:144360482-144360494(-) AGAAGGAAGACA >mm10_chr5:144447358-144447369(+)::chr5:144447357-144447369(+) agaacgaagttc >mm10_chr5:144447363-144447374(-)::chr5:144447362-144447374(-) ataaggaacttc >mm10_chr5:144447377-144447388(+)::chr5:144447376-144447388(+) cccaggaagtaa >mm10_chr5:144447402-144447413(+)::chr5:144447401-144447413(+) ctcaggaagtcc >mm10_chr5:144515437-144515448(+)::chr5:144515436-144515448(+) agcaggaagtga >mm10_chr5:144727316-144727327(-)::chr5:144727315-144727327(-) AACAGGAAGAGT >mm10_chr5:144922099-144922110(+)::chr5:144922098-144922110(+) GCCAGGAAGGAC >mm10_chr5:144945380-144945391(-)::chr5:144945379-144945391(-) AGCAGGAAGTGT >mm10_chr5:144959696-144959707(+)::chr5:144959695-144959707(+) GCAAGGAAGAGG >mm10_chr5:144959723-144959734(+)::chr5:144959722-144959734(+) ACAAGCAAGTGT >mm10_chr5:144959741-144959752(-)::chr5:144959740-144959752(-) GTAGGGAAGTCA >mm10_chr5:144959775-144959786(-)::chr5:144959774-144959786(-) GTAGGGAAGCCG >mm10_chr5:144963557-144963568(-)::chr5:144963556-144963568(-) AAGAGGAAATAC >mm10_chr5:144975615-144975626(-)::chr5:144975614-144975626(-) CCAAGGAAGTTG >mm10_chr5:145005761-145005772(-)::chr5:145005760-145005772(-) AGCAGGAAGCAA >mm10_chr5:145096451-145096462(-)::chr5:145096450-145096462(-) gaagggaagtga >mm10_chr5:145096456-145096467(-)::chr5:145096455-145096467(-) gtaaggaaggga >mm10_chr5:145096472-145096483(-)::chr5:145096471-145096483(-) aaaaggaagtag >mm10_chr5:145096489-145096500(-)::chr5:145096488-145096500(-) gggaggaagtaa >mm10_chr5:145104012-145104023(+)::chr5:145104011-145104023(+) GACAGGAAGAGC >mm10_chr5:145114699-145114710(-)::chr5:145114698-145114710(-) AGGGGGAAGTGA >mm10_chr5:145116498-145116509(+)::chr5:145116497-145116509(+) AACAGGAAGTTG >mm10_chr5:145129333-145129344(-)::chr5:145129332-145129344(-) GGGAGGAAGCAG >mm10_chr5:145140695-145140706(-)::chr5:145140694-145140706(-) TTACGGAAGGGA >mm10_chr5:145165089-145165100(+)::chr5:145165088-145165100(+) TGAAAGAAGTAC >mm10_chr5:145165144-145165155(-)::chr5:145165143-145165155(-) AGGAGGAAGAAC >mm10_chr5:145177861-145177872(+)::chr5:145177860-145177872(+) ACAAGGAAAGGG >mm10_chr5:145178144-145178155(-)::chr5:145178143-145178155(-) TTCAGGAAGTCC >mm10_chr5:145178152-145178163(+)::chr5:145178151-145178163(+) TGAAGGAAGCCT >mm10_chr5:145201510-145201521(-)::chr5:145201509-145201521(-) ACCAGGAAGTGG >mm10_chr5:145201510-145201521(-)::chr5:145201509-145201521(-) ACCAGGAAGTGG >mm10_chr5:145204537-145204548(-)::chr5:145204536-145204548(-) CGCCGGAAGTAA >mm10_chr5:145231698-145231709(-)::chr5:145231697-145231709(-) CGACGGAAGTCC >mm10_chr5:145231708-145231719(+)::chr5:145231707-145231719(+) CGCCGGAAGTGA >mm10_chr5:145280385-145280396(-)::chr5:145280384-145280396(-) AGAAGGAGGTAT >mm10_chr5:146204526-146204537(+)::chr5:146204525-146204537(+) agaaggatgtag >mm10_chr5:146204539-146204550(+)::chr5:146204538-146204550(+) aggaggaagttt >mm10_chr5:146249271-146249282(+)::chr5:146249270-146249282(+) agaaggaaagga >mm10_chr5:146249276-146249287(+)::chr5:146249275-146249287(+) gaaaggaaatga >mm10_chr5:146260993-146261004(+)::chr5:146260992-146261004(+) gtacggaagacg >mm10_chr5:146272549-146272560(-)::chr5:146272548-146272560(-) ATAAGGAAAAGG >mm10_chr5:146315270-146315281(-)::chr5:146315269-146315281(-) GAGAGGAAGTGG >mm10_chr5:146315283-146315294(-)::chr5:146315282-146315294(-) ACACGGAAGCGT >mm10_chr5:146315647-146315658(+)::chr5:146315646-146315658(+) AGGAGGAAGCAG >mm10_chr5:146418325-146418336(+)::chr5:146418324-146418336(+) acaaggaactca >mm10_chr5:146419810-146419821(-)::chr5:146419809-146419821(-) aggaggaagaag >mm10_chr5:146419831-146419842(-)::chr5:146419830-146419842(-) aggaggaagaag >mm10_chr5:146419838-146419849(-)::chr5:146419837-146419849(-) agaaggaaggag >mm10_chr5:146434266-146434277(-)::chr5:146434265-146434277(-) accaggaagtct >mm10_chr5:146461546-146461557(-)::chr5:146461545-146461557(-) GGCAGGAAGTCC >mm10_chr5:146758072-146758083(+)::chr5:146758071-146758083(+) GTGAGGAAGTGA >mm10_chr5:146758089-146758100(+)::chr5:146758088-146758100(+) GGCAGGAAGTCT >mm10_chr5:146769728-146769739(+)::chr5:146769727-146769739(+) CACAGGAAGTCC >mm10_chr5:146769742-146769753(+)::chr5:146769741-146769753(+) ACAAGGAAGCAG >mm10_chr5:146769775-146769786(+)::chr5:146769774-146769786(+) TGGAGGAAGAGA >mm10_chr5:146772120-146772131(+)::chr5:146772119-146772131(+) ACCAGGAAGGAG >mm10_chr5:146785557-146785568(+)::chr5:146785556-146785568(+) aggaggaagagg >mm10_chr5:146785563-146785574(+)::chr5:146785562-146785574(+) aagaggaagagg >mm10_chr5:146785569-146785580(+)::chr5:146785568-146785580(+) aagaggaagagg >mm10_chr5:146785575-146785586(+)::chr5:146785574-146785586(+) aagaggaagagg >mm10_chr5:146785581-146785592(+)::chr5:146785580-146785592(+) aagaggaagagg >mm10_chr5:146785587-146785598(+)::chr5:146785586-146785598(+) aagaggaagagg >mm10_chr5:146785593-146785604(+)::chr5:146785592-146785604(+) aagaggaagagg >mm10_chr5:146785599-146785610(+)::chr5:146785598-146785610(+) aagaggaagGAA >mm10_chr5:146785603-146785614(+)::chr5:146785602-146785614(+) ggaagGAAGGAC >mm10_chr5:146794110-146794121(-)::chr5:146794109-146794121(-) AGACGGAAGTCC >mm10_chr5:146794137-146794148(-)::chr5:146794136-146794148(-) GGAAGGAAGGGT >mm10_chr5:146794141-146794152(-)::chr5:146794140-146794152(-) GGCAGGAAGGAA >mm10_chr5:146824840-146824851(-)::chr5:146824839-146824851(-) AAAAGGAAGGAC >mm10_chr5:146845050-146845061(+)::chr5:146845049-146845061(+) TCCAGGAAGGGG >mm10_chr5:146885903-146885914(-)::chr5:146885902-146885914(-) acaaggaaacaa >mm10_chr5:146897175-146897186(+)::chr5:146897174-146897186(+) AAGAGGAAATGG >mm10_chr5:146907583-146907594(+)::chr5:146907582-146907594(+) GCCAGGAAGGAA >mm10_chr5:146907587-146907598(+)::chr5:146907586-146907598(+) GGAAGGAAACGG >mm10_chr5:146907593-146907604(+)::chr5:146907592-146907604(+) AAACGGAAGCGC >mm10_chr5:146907619-146907630(+)::chr5:146907618-146907630(+) AGAAGGAAAAGC >mm10_chr5:146948503-146948514(-)::chr5:146948502-146948514(-) AAACGGAAGCGC >mm10_chr5:146963808-146963819(-)::chr5:146963807-146963819(-) CTCAGGAAGTTC >mm10_chr5:147041613-147041624(-)::chr5:147041612-147041624(-) ACAAGGAAGAGC >mm10_chr5:147059439-147059450(-)::chr5:147059438-147059450(-) ACAAGGAAGCAG >mm10_chr5:147063861-147063872(+)::chr5:147063860-147063872(+) AGAAGGAAGAGA >mm10_chr5:147067127-147067138(+)::chr5:147067126-147067138(+) agcaggaagcac >mm10_chr5:147067143-147067154(+)::chr5:147067142-147067154(+) gacaggaagaca >mm10_chr5:147067151-147067162(+)::chr5:147067150-147067162(+) gacaggaagaca >mm10_chr5:147077529-147077540(-)::chr5:147077528-147077540(-) TGACGGAAGGAG >mm10_chr5:147077545-147077556(-)::chr5:147077544-147077556(-) CGACGGAAGAAG >mm10_chr5:147077561-147077572(-)::chr5:147077560-147077572(-) GGAAGGAAGAAA >mm10_chr5:147077565-147077576(-)::chr5:147077564-147077576(-) GGCAGGAAGGAA >mm10_chr5:147078693-147078704(+)::chr5:147078692-147078704(+) ACCCGGAAGTGG >mm10_chr5:147086587-147086598(+)::chr5:147086586-147086598(+) aagaggaagagg >mm10_chr5:147086593-147086604(+)::chr5:147086592-147086604(+) aagaggaagaga >mm10_chr5:147159473-147159484(+)::chr5:147159472-147159484(+) TGCAGGAAGTCT >mm10_chr5:147297792-147297803(+)::chr5:147297791-147297803(+) ACAGGGAAGCGA >mm10_chr5:147297814-147297825(-)::chr5:147297813-147297825(-) ACAAGCAAGTTA >mm10_chr5:147307172-147307183(-)::chr5:147307171-147307183(-) agaaggaagaga >mm10_chr5:147319777-147319788(-)::chr5:147319776-147319788(-) TAGAGGAAGAAA >mm10_chr5:147363216-147363227(+)::chr5:147363215-147363227(+) TTGAGGAAGTAA >mm10_chr5:147418587-147418598(-)::chr5:147418586-147418598(-) aacaggaaatgg >mm10_chr5:147418601-147418612(-)::chr5:147418600-147418612(-) gtcaggaagccg >mm10_chr5:147431136-147431147(-)::chr5:147431135-147431147(-) AGAAGGAAGGAG >mm10_chr5:147431143-147431154(-)::chr5:147431142-147431154(-) AACAGGAAGAAG >mm10_chr5:147435393-147435404(-)::chr5:147435392-147435404(-) TACAGGATGTAT >mm10_chr5:147467437-147467448(-)::chr5:147467436-147467448(-) aggaggaagaag >mm10_chr5:147467449-147467460(-)::chr5:147467448-147467460(-) aggaggaagaag >mm10_chr5:147467478-147467489(-)::chr5:147467477-147467489(-) GGGAGGAAGAGG >mm10_chr5:147477446-147477457(-)::chr5:147477445-147477457(-) AGAAGGAAGGAC >mm10_chr5:147487725-147487736(+)::chr5:147487724-147487736(+) TAAAGGAAGTGA >mm10_chr5:147499380-147499391(+)::chr5:147499379-147499391(+) aggaggaaggaa >mm10_chr5:147499384-147499395(+)::chr5:147499383-147499395(+) ggaaggaattca >mm10_chr5:147542723-147542734(-)::chr5:147542722-147542734(-) AGGAGGAAATGT >mm10_chr5:147554525-147554536(+)::chr5:147554524-147554536(+) AGAAGGAAGTCG >mm10_chr5:147574679-147574690(-)::chr5:147574678-147574690(-) AGAAGGAAGTGT >mm10_chr5:147580387-147580398(+)::chr5:147580386-147580398(+) AAAAGGATGTTT >mm10_chr5:147620334-147620345(+)::chr5:147620333-147620345(+) GCAGGGAAGTTT >mm10_chr5:147645497-147645508(-)::chr5:147645496-147645508(-) GGAAGGAAATAA >mm10_chr5:147645501-147645512(-)::chr5:147645500-147645512(-) TCCAGGAAGGAA >mm10_chr5:147759694-147759705(-)::chr5:147759693-147759705(-) AAAAGGAAATGT >mm10_chr5:147759756-147759767(-)::chr5:147759755-147759767(-) ACAAGGAAGGAG >mm10_chr5:147793788-147793799(-)::chr5:147793787-147793799(-) ggaaggaagaag >mm10_chr5:147793792-147793803(-)::chr5:147793791-147793803(-) aataggaaggaa >mm10_chr5:147793810-147793821(-)::chr5:147793809-147793821(-) agaaggaaggag >mm10_chr5:147793817-147793828(-)::chr5:147793816-147793828(-) ggaaggaagaag >mm10_chr5:147793821-147793832(-)::chr5:147793820-147793832(-) aggaggaaggaa >mm10_chr5:147793854-147793865(-)::chr5:147793853-147793865(-) aggaggaagggg >mm10_chr5:147793869-147793880(-)::chr5:147793868-147793880(-) ggaaggaaggcg >mm10_chr5:147843377-147843388(+)::chr5:147843376-147843388(+) ACCAGGAAGGCG >mm10_chr5:147843414-147843425(-)::chr5:147843413-147843425(-) agggggaAGTAG >mm10_chr5:147843449-147843460(+)::chr5:147843448-147843460(+) acaaggaagtga >mm10_chr5:147843458-147843469(+)::chr5:147843457-147843469(+) tgaaggaactca >mm10_chr5:147843634-147843645(-)::chr5:147843633-147843645(-) GGACGGAAGATC >mm10_chr5:147860555-147860566(-)::chr5:147860554-147860566(-) GCCAGGAAGGAA >mm10_chr5:147860576-147860587(-)::chr5:147860575-147860587(-) CGCCGGAAGTGC >mm10_chr5:147870147-147870158(-)::chr5:147870146-147870158(-) AGAAGGAAGCAA >mm10_chr5:147871626-147871637(-)::chr5:147871625-147871637(-) AGGAGGAAGACA >mm10_chr5:147871650-147871661(-)::chr5:147871649-147871661(-) AAAAGGAAGCAT >mm10_chr5:147874317-147874328(-)::chr5:147874316-147874328(-) ACAAGGAAGGTC >mm10_chr5:147874333-147874344(-)::chr5:147874332-147874344(-) ACAAGGAAGACG >mm10_chr5:147913652-147913663(-)::chr5:147913651-147913663(-) AGgaggaagggc >mm10_chr5:147913692-147913703(-)::chr5:147913691-147913703(-) AAGAGGAAGGAG >mm10_chr5:147923664-147923675(+)::chr5:147923663-147923675(+) TACAGGAAGGAG >mm10_chr5:147926611-147926622(-)::chr5:147926610-147926622(-) AACAGGAAGTAC >mm10_chr5:147945256-147945267(-)::chr5:147945255-147945267(-) GGGAGGAAGGGT >mm10_chr5:147992684-147992695(+)::chr5:147992683-147992695(+) tggaggaagtac >mm10_chr5:147992736-147992747(-)::chr5:147992735-147992747(-) aagaggaagcag >mm10_chr5:148361475-148361486(+)::chr5:148361474-148361486(+) TACAGGAAATGC >mm10_chr5:148384038-148384049(-)::chr5:148384037-148384049(-) GGGAGGAAGTAC >mm10_chr5:148399043-148399054(+)::chr5:148399042-148399054(+) CCCAGGAAGTCT >mm10_chr5:148419420-148419431(+)::chr5:148419419-148419431(+) TTAGGGAAGTAT >mm10_chr5:148419453-148419464(-)::chr5:148419452-148419464(-) ACAAGGAAGGCC >mm10_chr5:148444076-148444087(-)::chr5:148444075-148444087(-) AGCAGGAAGTGA >mm10_chr5:148460966-148460977(+)::chr5:148460965-148460977(+) aacaggaagtgg >mm10_chr5:148476032-148476043(-)::chr5:148476031-148476043(-) GGGAGGAAGGAG >mm10_chr5:148495387-148495398(+)::chr5:148495386-148495398(+) GTACGGAAGTGA >mm10_chr5:148495397-148495408(+)::chr5:148495396-148495408(+) GAGAGGAAGTGC >mm10_chr5:148550620-148550631(+)::chr5:148550619-148550631(+) AGAAGGAAGAAC >mm10_chr5:148551612-148551623(-)::chr5:148551611-148551623(-) CGGAGGAAATTC >mm10_chr5:148620078-148620089(+)::chr5:148620077-148620089(+) TACAGGAAGTGG >mm10_chr5:148620101-148620112(+)::chr5:148620100-148620112(+) TCCAGGAAGTAG >mm10_chr5:148684344-148684355(+)::chr5:148684343-148684355(+) gggaggaagtcc >mm10_chr5:148702156-148702167(+)::chr5:148702155-148702167(+) AGAAGGAAGTGA >mm10_chr5:148748878-148748889(+)::chr5:148748877-148748889(+) ataaggaaataa >mm10_chr5:148748902-148748913(+)::chr5:148748901-148748913(+) ataAGGAAATGG >mm10_chr5:148818415-148818426(+)::chr5:148818414-148818426(+) AGCAGGAAGCAG >mm10_chr5:148818450-148818461(+)::chr5:148818449-148818461(+) GACAGGAAGGGG >mm10_chr5:148831227-148831238(+)::chr5:148831226-148831238(+) ACAAGGAAGCAG >mm10_chr5:148847575-148847586(+)::chr5:148847574-148847586(+) ATGAGGAAGATG >mm10_chr5:148906141-148906152(-)::chr5:148906140-148906152(-) AGAAGGAAGAGC >mm10_chr5:148969770-148969781(+)::chr5:148969769-148969781(+) ACCAGGAAGGAA >mm10_chr5:148969774-148969785(+)::chr5:148969773-148969785(+) GGAAGGAAGAGC >mm10_chr5:148970014-148970025(-)::chr5:148970013-148970025(-) GTGAGGAAGTAG >mm10_chr5:148970026-148970037(-)::chr5:148970025-148970037(-) GGAAGGAAGAAG >mm10_chr5:148982709-148982720(+)::chr5:148982708-148982720(+) AACAGGAAGACA >mm10_chr5:149015404-149015415(+)::chr5:149015403-149015415(+) GAGAGGAAGCGA >mm10_chr5:149015433-149015444(+)::chr5:149015432-149015444(+) ACCAGGAAGCGG >mm10_chr5:149045899-149045910(+)::chr5:149045898-149045910(+) TCAAGGAAGTAA >mm10_chr5:149067848-149067859(-)::chr5:149067847-149067859(-) AGCAGGAAGATA >mm10_chr5:149068387-149068398(+)::chr5:149068386-149068398(+) ATGAGGAAGTAG >mm10_chr5:149068394-149068405(+)::chr5:149068393-149068405(+) AGTAGGAAGAAG >mm10_chr5:149079360-149079371(+)::chr5:149079359-149079371(+) GACAGGAAGGGC >mm10_chr5:149135022-149135033(-)::chr5:149135021-149135033(-) TCCAGGAAGAAA >mm10_chr5:149135760-149135771(-)::chr5:149135759-149135771(-) GAAAGGAATTGA >mm10_chr5:149135781-149135792(+)::chr5:149135780-149135792(+) TGAAGGAAGTAA >mm10_chr5:149144261-149144272(-)::chr5:149144260-149144272(-) ACACGGAAGGGC >mm10_chr5:149169744-149169755(+)::chr5:149169743-149169755(+) AGAAGGAAGAAG >mm10_chr5:149169751-149169762(+)::chr5:149169750-149169762(+) AGAAGGAAGATG >mm10_chr5:149221588-149221599(-)::chr5:149221587-149221599(-) AGGAGGAAGTCC >mm10_chr5:149242959-149242970(+)::chr5:149242958-149242970(+) ACACGGAAGAAG >mm10_chr5:149246160-149246171(+)::chr5:149246159-149246171(+) AAGAGGAAGTGT >mm10_chr5:149246225-149246236(+)::chr5:149246224-149246236(+) ACACGGAAGTGA >mm10_chr5:149264596-149264607(+)::chr5:149264595-149264607(+) AAGAGGAAGAAT >mm10_chr5:149264871-149264882(+)::chr5:149264870-149264882(+) aagaggaagagg >mm10_chr5:149264906-149264917(+)::chr5:149264905-149264917(+) agcaggaagagg >mm10_chr5:149264941-149264952(+)::chr5:149264940-149264952(+) gggaggaagaag >mm10_chr5:149342794-149342805(-)::chr5:149342793-149342805(-) agaaggaaggaa >mm10_chr5:149342807-149342818(-)::chr5:149342806-149342818(-) aggaggaagaag >mm10_chr5:149342826-149342837(-)::chr5:149342825-149342837(-) aaaaggaagaag >mm10_chr5:149342838-149342849(-)::chr5:149342837-149342849(-) aggaggaagaga >mm10_chr5:149342852-149342863(-)::chr5:149342851-149342863(-) agaaggaaagaa >mm10_chr5:149434447-149434458(+)::chr5:149434446-149434458(+) acaaggaagtaa >mm10_chr5:149434495-149434506(-)::chr5:149434494-149434506(-) ctgaggaagtca >mm10_chr5:149434520-149434531(+)::chr5:149434519-149434531(+) acgcggaagtgt >mm10_chr5:149521975-149521986(-)::chr5:149521974-149521986(-) AGCAGGAAATTG >mm10_chr5:149522010-149522021(-)::chr5:149522009-149522021(-) aggaggaagaAG >mm10_chr5:149522037-149522048(-)::chr5:149522036-149522048(-) aggaggaagaag >mm10_chr5:149589043-149589054(+)::chr5:149589042-149589054(+) ATGAGGAAGGTC >mm10_chr5:149603791-149603802(+)::chr5:149603790-149603802(+) aaaaggaagttg >mm10_chr5:149636335-149636346(-)::chr5:149636334-149636346(-) AAGCGGAAGTGG >mm10_chr5:149636341-149636352(-)::chr5:149636340-149636352(-) AGAAGGAAGCGG >mm10_chr5:149690044-149690055(+)::chr5:149690043-149690055(+) AAGAGGAAGAGG >mm10_chr5:149690050-149690061(+)::chr5:149690049-149690061(+) AAGAGGAAGAGA >mm10_chr5:149831472-149831483(+)::chr5:149831471-149831483(+) CCAAGGAAGTTA >mm10_chr5:149965375-149965386(-)::chr5:149965374-149965386(-) AGAAGGAAGCTG >mm10_chr5:149965382-149965393(-)::chr5:149965381-149965393(-) GGAAGGAAGAAG >mm10_chr5:149965386-149965397(-)::chr5:149965385-149965397(-) TCCAGGAAGGAA >mm10_chr5:150080675-150080686(+)::chr5:150080674-150080686(+) acagggaagtta >mm10_chr5:150195955-150195966(-)::chr5:150195954-150195966(-) GGAAGGAACCGG >mm10_chr5:150195959-150195970(-)::chr5:150195958-150195970(-) ACCAGGAAGGAA >mm10_chr5:150288068-150288079(-)::chr5:150288067-150288079(-) GGAAGGCAGTGT >mm10_chr5:150288072-150288083(-)::chr5:150288071-150288083(-) ACCAGGAAGGCA >mm10_chr5:150291075-150291086(+)::chr5:150291074-150291086(+) ATTAGGAAGTCA >mm10_chr5:150335437-150335448(+)::chr5:150335436-150335448(+) aggaggaagaca >mm10_chr5:150335449-150335460(+)::chr5:150335448-150335460(+) aagaggaaggag >mm10_chr5:150335468-150335479(+)::chr5:150335467-150335479(+) acgaggaagaaa >mm10_chr5:150335472-150335483(+)::chr5:150335471-150335483(+) ggaagaaagtaa >mm10_chr5:150335495-150335506(+)::chr5:150335494-150335506(+) gggaggaagaag >mm10_chr5:150350333-150350344(-)::chr5:150350332-150350344(-) AAGAGGAAGAGA >mm10_chr5:150350366-150350377(-)::chr5:150350365-150350377(-) ggaaggaagcaa >mm10_chr5:150350370-150350381(-)::chr5:150350369-150350381(-) acaaggaaggaa >mm10_chr5:150350382-150350393(-)::chr5:150350381-150350393(-) gcaaggaaggaa >mm10_chr5:150522557-150522568(-)::chr5:150522556-150522568(-) ACCGGGAAGTCA >mm10_chr5:150566378-150566389(-)::chr5:150566377-150566389(-) AGCAGGAAGGAG >mm10_chr5:150592649-150592660(-)::chr5:150592648-150592660(-) ATAGGGAAGAAA >mm10_chr5:150592676-150592687(-)::chr5:150592675-150592687(-) GAGAGGAAGTAG >mm10_chr5:150592717-150592728(-)::chr5:150592716-150592728(-) AGAAGGAAGTAA >mm10_chr5:150595590-150595601(+)::chr5:150595589-150595601(+) AAGAGGAAGCAC >mm10_chr5:150611881-150611892(+)::chr5:150611880-150611892(+) agcaggaagtcg >mm10_chr5:150611922-150611933(+)::chr5:150611921-150611933(+) GACaggaaggaa >mm10_chr5:150611926-150611937(+)::chr5:150611925-150611937(+) ggaaggaaggaa >mm10_chr5:150611930-150611941(+)::chr5:150611929-150611941(+) ggaaggaaggaa >mm10_chr5:150623007-150623018(-)::chr5:150623006-150623018(-) ACCAGGAAGGGT >mm10_chr5:150624072-150624083(+)::chr5:150624071-150624083(+) agaaggaaggaa >mm10_chr5:150624076-150624087(+)::chr5:150624075-150624087(+) ggaaggaagaaa >mm10_chr5:150624084-150624095(+)::chr5:150624083-150624095(+) gaaaggaagaag >mm10_chr5:150624120-150624131(+)::chr5:150624119-150624131(+) aggaggaagagg >mm10_chr5:150624126-150624137(+)::chr5:150624125-150624137(+) aagaggaaggaa >mm10_chr5:150624130-150624141(+)::chr5:150624129-150624141(+) ggaaggaaggaa >mm10_chr5:150624134-150624145(+)::chr5:150624133-150624145(+) ggaaggaaggaa >mm10_chr5:150624138-150624149(+)::chr5:150624137-150624149(+) ggaaggaaggaa >mm10_chr5:150624142-150624153(+)::chr5:150624141-150624153(+) ggaaggaaggaa >mm10_chr5:150624146-150624157(+)::chr5:150624145-150624157(+) ggaaggaaggaa >mm10_chr5:150624150-150624161(+)::chr5:150624149-150624161(+) ggaaggaaggaa >mm10_chr5:150624154-150624165(+)::chr5:150624153-150624165(+) ggaaggaaggaa >mm10_chr5:150624158-150624169(+)::chr5:150624157-150624169(+) ggaaggaaggaa >mm10_chr5:150652161-150652172(+)::chr5:150652160-150652172(+) ACCAGGAAATGT >mm10_chr5:150665420-150665431(-)::chr5:150665419-150665431(-) GAGAGGAAGATG >mm10_chr5:150762329-150762340(+)::chr5:150762328-150762340(+) CTCAGGAAGTGG >mm10_chr5:150900895-150900906(+)::chr5:150900894-150900906(+) aggaggaagaag >mm10_chr5:150900912-150900923(+)::chr5:150900911-150900923(+) aggaggaagaag >mm10_chr5:150996765-150996776(+)::chr5:150996764-150996776(+) AGAAGGAAGGAC >mm10_chr5:151054370-151054381(+)::chr5:151054369-151054381(+) GGAAGAAAGTAG >mm10_chr5:151054407-151054418(+)::chr5:151054406-151054418(+) GAAAGGAAGAAA >mm10_chr5:151054414-151054425(+)::chr5:151054413-151054425(+) AGAAAGAAGTCA >mm10_chr5:151086168-151086179(+)::chr5:151086167-151086179(+) aagaggaagtgg >mm10_chr5:151086192-151086203(+)::chr5:151086191-151086203(+) aagaggaagagg >mm10_chr5:151086201-151086212(+)::chr5:151086200-151086212(+) aggaggaAGTCT >mm10_chr5:151086644-151086655(-)::chr5:151086643-151086655(-) AGGAGGAAGAGG >mm10_chr5:151086651-151086662(-)::chr5:151086650-151086662(-) ATGAGGAAGGAG >mm10_chr5:151155829-151155840(+)::chr5:151155828-151155840(+) CCAAGGAAGTTT >mm10_chr5:151165770-151165781(+)::chr5:151165769-151165781(+) ATAAGGAAATGG >mm10_chr5:151181445-151181456(-)::chr5:151181444-151181456(-) GGCAGGAAGACA >mm10_chr5:151261786-151261797(+)::chr5:151261785-151261797(+) AAGAGGAAGGAC >mm10_chr5:151322154-151322165(-)::chr5:151322153-151322165(-) agcaggaagctg >mm10_chr5:151333228-151333239(-)::chr5:151333227-151333239(-) AAGAGGAAGAAG >mm10_chr5:151333264-151333275(-)::chr5:151333263-151333275(-) AGAAGGAAATTG >mm10_chr5:151346966-151346977(-)::chr5:151346965-151346977(-) accaggaagcac >mm10_chr5:151352345-151352356(+)::chr5:151352344-151352356(+) aggaggaagaga >mm10_chr5:151352357-151352368(+)::chr5:151352356-151352368(+) aggaggaagaag >mm10_chr5:151352366-151352377(+)::chr5:151352365-151352377(+) aagaggaagagg >mm10_chr5:151352372-151352383(+)::chr5:151352371-151352383(+) aagaggaagaag >mm10_chr5:151352417-151352428(+)::chr5:151352416-151352428(+) agaagGAAaggt >mm10_chr5:151379621-151379632(-)::chr5:151379620-151379632(-) TTAAGGAAGAAT >mm10_chr5:151428263-151428274(+)::chr5:151428262-151428274(+) ATAAGGAAGCCA >mm10_chr6:3498388-3498399(+)::chr6:3498387-3498399(+) GAAAGGAAGGCT >mm10_chr6:3500810-3500821(+)::chr6:3500809-3500821(+) TAAAGGAAATGG >mm10_chr6:3502746-3502757(+)::chr6:3502745-3502757(+) ACCAGGAAGTCT >mm10_chr6:3567290-3567301(+)::chr6:3567289-3567301(+) GCAAGGAAGATG >mm10_chr6:3567301-3567312(+)::chr6:3567300-3567312(+) GGTAGGAAGGAA >mm10_chr6:3567305-3567316(+)::chr6:3567304-3567316(+) GGAAGGAAGCCA >mm10_chr6:3927401-3927412(+)::chr6:3927400-3927412(+) GGAAGGAACTCA >mm10_chr6:3973863-3973874(+)::chr6:3973862-3973874(+) AACAGGAAGAGG >mm10_chr6:3973900-3973911(-)::chr6:3973899-3973911(-) ATCAGGAAGACA >mm10_chr6:4033246-4033257(-)::chr6:4033245-4033257(-) accaggaaatga >mm10_chr6:4562903-4562914(-)::chr6:4562902-4562914(-) GCAAGGAAGCAT >mm10_chr6:4655252-4655263(-)::chr6:4655251-4655263(-) ggaaggaaggaa >mm10_chr6:4663786-4663797(-)::chr6:4663785-4663797(-) tcaaggaagtga >mm10_chr6:4685036-4685047(+)::chr6:4685035-4685047(+) ACAAGGAAAAAC >mm10_chr6:4757721-4757732(+)::chr6:4757720-4757732(+) AAAAGGAAGTGC >mm10_chr6:4796547-4796558(-)::chr6:4796546-4796558(-) aacaggaagaca >mm10_chr6:4796738-4796749(-)::chr6:4796737-4796749(-) agcaggaagcca >mm10_chr6:4808779-4808790(+)::chr6:4808778-4808790(+) TCAGGGAAGTGC >mm10_chr6:4811354-4811365(-)::chr6:4811353-4811365(-) ATGAGGAAGTTC >mm10_chr6:4836020-4836031(-)::chr6:4836019-4836031(-) agcaggaagaga >mm10_chr6:4836061-4836072(-)::chr6:4836060-4836072(-) atgaggaagagt >mm10_chr6:4941665-4941676(+)::chr6:4941664-4941676(+) AGAAGGAAGAGG >mm10_chr6:4953985-4953996(-)::chr6:4953984-4953996(-) aggaggaagaag >mm10_chr6:4954019-4954030(-)::chr6:4954018-4954030(-) agaaggaagagg >mm10_chr6:4954026-4954037(-)::chr6:4954025-4954037(-) aggaggaagaag >mm10_chr6:4954045-4954056(-)::chr6:4954044-4954056(-) ggaaggaagtga >mm10_chr6:4954049-4954060(-)::chr6:4954048-4954060(-) ggaaggaaggaa >mm10_chr6:4954053-4954064(-)::chr6:4954052-4954064(-) ggaaggaaggaa >mm10_chr6:4954057-4954068(-)::chr6:4954056-4954068(-) ggaaggaaggaa >mm10_chr6:4973481-4973492(+)::chr6:4973480-4973492(+) TACAGGAAGTAC >mm10_chr6:4982824-4982835(+)::chr6:4982823-4982835(+) AGCAGGAAGGTA >mm10_chr6:4987302-4987313(+)::chr6:4987301-4987313(+) TGGAGGAAGTCC >mm10_chr6:5018202-5018213(+)::chr6:5018201-5018213(+) TAAAGGAAGTTA >mm10_chr6:5019520-5019531(-)::chr6:5019519-5019531(-) tcaaggaagtcc >mm10_chr6:5019535-5019546(+)::chr6:5019534-5019546(+) atcaggaaatac >mm10_chr6:5020102-5020113(-)::chr6:5020101-5020113(-) GGAAGGAAGGAA >mm10_chr6:5047070-5047081(+)::chr6:5047069-5047081(+) AGGAGGAAGAGG >mm10_chr6:5047076-5047087(+)::chr6:5047075-5047087(+) AAGAGGAAGCAG >mm10_chr6:5093734-5093745(-)::chr6:5093733-5093745(-) agcaggaagggg >mm10_chr6:5104108-5104119(-)::chr6:5104107-5104119(-) ACCAGGAAGTGG >mm10_chr6:5250381-5250392(+)::chr6:5250380-5250392(+) AGAAGGAAGAAA >mm10_chr6:5250393-5250404(+)::chr6:5250392-5250404(+) GCAAGGAAGGGA >mm10_chr6:5250426-5250437(-)::chr6:5250425-5250437(-) TACAGGAAGAAC >mm10_chr6:5256251-5256262(+)::chr6:5256250-5256262(+) AGCAGGAAGACT >mm10_chr6:5297686-5297697(+)::chr6:5297685-5297697(+) ATAAGGAAATGA >mm10_chr6:5299861-5299872(-)::chr6:5299860-5299872(-) AACAGGAAGATC >mm10_chr6:5306149-5306160(-)::chr6:5306148-5306160(-) ACAATGAAGTTC >mm10_chr6:5342174-5342185(-)::chr6:5342173-5342185(-) AGAGGGAAGTAG >mm10_chr6:5342185-5342196(-)::chr6:5342184-5342196(-) AACAGGAAATAA >mm10_chr6:5413560-5413571(+)::chr6:5413559-5413571(+) GCAGGGAAGTGT >mm10_chr6:5413790-5413801(-)::chr6:5413789-5413801(-) ggcaggaagagt >mm10_chr6:5413836-5413847(-)::chr6:5413835-5413847(-) CAAAGGAAGTTC >mm10_chr6:5500979-5500990(-)::chr6:5500978-5500990(-) TAGAGGAAGAGA >mm10_chr6:5787496-5787507(-)::chr6:5787495-5787507(-) agaaggaaatac >mm10_chr6:5993458-5993469(+)::chr6:5993457-5993469(+) acaaggaagtag >mm10_chr6:6088231-6088242(-)::chr6:6088230-6088242(-) ggcaggaagcaa >mm10_chr6:6103188-6103199(+)::chr6:6103187-6103199(+) ATAAGGAAGAAA >mm10_chr6:6216557-6216568(+)::chr6:6216556-6216568(+) AAACGGAAGTCA >mm10_chr6:6216572-6216583(-)::chr6:6216571-6216583(-) GTAGGGAAGTCA >mm10_chr6:6402764-6402775(-)::chr6:6402763-6402775(-) aaaaggaaatcc >mm10_chr6:6432648-6432659(-)::chr6:6432647-6432659(-) acaaggaagtaa >mm10_chr6:6432704-6432715(+)::chr6:6432703-6432715(+) gggaggaaatgg >mm10_chr6:6435058-6435069(+)::chr6:6435057-6435069(+) ACAAGGAAGGCA >mm10_chr6:6435062-6435073(+)::chr6:6435061-6435073(+) GGAAGGCAGTGA >mm10_chr6:6550421-6550432(-)::chr6:6550420-6550432(-) TAAAGGAAGGGC >mm10_chr6:6550464-6550475(-)::chr6:6550463-6550475(-) GAAAGGAAGACT >mm10_chr6:6553523-6553534(-)::chr6:6553522-6553534(-) tacaggaagaat >mm10_chr6:6554152-6554163(+)::chr6:6554151-6554163(+) ATAAGGAAATCT >mm10_chr6:6697914-6697925(+)::chr6:6697913-6697925(+) AGGAGGAAGCGA >mm10_chr6:6754116-6754127(+)::chr6:6754115-6754127(+) aagaggaagaat >mm10_chr6:6765916-6765927(+)::chr6:6765915-6765927(+) ATTAGGAAGTGG >mm10_chr6:6775935-6775946(-)::chr6:6775934-6775946(-) AGAAGGAGGTGG >mm10_chr6:6942786-6942797(+)::chr6:6942785-6942797(+) ACACGGAAGAGG >mm10_chr6:7055382-7055393(+)::chr6:7055381-7055393(+) ATAAGGAATAGA >mm10_chr6:7055397-7055408(+)::chr6:7055396-7055408(+) ATCAGGAAATGC >mm10_chr6:7055412-7055423(-)::chr6:7055411-7055423(-) ATGAGGAAGAGG >mm10_chr6:7133250-7133261(-)::chr6:7133249-7133261(-) CCAAGGAAGTAC >mm10_chr6:7277605-7277616(+)::chr6:7277604-7277616(+) ggcaggaagcag >mm10_chr6:7277612-7277623(+)::chr6:7277611-7277623(+) agcaggaagtgt >mm10_chr6:7356611-7356622(-)::chr6:7356610-7356622(-) ataaggaagccg >mm10_chr6:7356641-7356652(-)::chr6:7356640-7356652(-) aaaaggaagtag >mm10_chr6:7356672-7356683(-)::chr6:7356671-7356683(-) tcaaggaagcag >mm10_chr6:7420738-7420749(+)::chr6:7420737-7420749(+) ATTAGGAAGTCT >mm10_chr6:7420745-7420756(-)::chr6:7420744-7420756(-) GGAAGGAAGACT >mm10_chr6:7461032-7461043(+)::chr6:7461031-7461043(+) aggaggaagagg >mm10_chr6:7461038-7461049(+)::chr6:7461037-7461049(+) aagaggaaggag >mm10_chr6:7461063-7461074(+)::chr6:7461062-7461074(+) aggaggaagagg >mm10_chr6:7461069-7461080(+)::chr6:7461068-7461080(+) aagaggaagaag >mm10_chr6:7465841-7465852(-)::chr6:7465840-7465852(-) acaaggaagttt >mm10_chr6:7579400-7579411(+)::chr6:7579399-7579411(+) TGAAGGAAGAGG >mm10_chr6:7579426-7579437(+)::chr6:7579425-7579437(+) ATCAGGAAGGAG >mm10_chr6:7579449-7579460(+)::chr6:7579448-7579460(+) ATAAGGAAGCAC >mm10_chr6:7596152-7596163(+)::chr6:7596151-7596163(+) AGAAGGAAGGAG >mm10_chr6:7600496-7600507(+)::chr6:7600495-7600507(+) gggaggaagaga >mm10_chr6:7661635-7661646(-)::chr6:7661634-7661646(-) gaaatgaagtag >mm10_chr6:7661640-7661651(-)::chr6:7661639-7661651(-) aagaggaaatga >mm10_chr6:7661646-7661657(-)::chr6:7661645-7661657(-) agcaggaagagg >mm10_chr6:7661653-7661664(-)::chr6:7661652-7661664(-) aacaggaagcag >mm10_chr6:7693216-7693227(+)::chr6:7693215-7693227(+) TGCGGGAAGTTT >mm10_chr6:7694932-7694943(-)::chr6:7694931-7694943(-) CACAGGAAGACG >mm10_chr6:7695006-7695017(-)::chr6:7695005-7695017(-) ATAGGGAAGTGT >mm10_chr6:7789252-7789263(+)::chr6:7789251-7789263(+) AGCAGGAAGAAT >mm10_chr6:7789323-7789334(+)::chr6:7789322-7789334(+) GGAAGGAAGCCA >mm10_chr6:7829900-7829911(-)::chr6:7829899-7829911(-) CTAAGGAAGTTT >mm10_chr6:7830978-7830989(+)::chr6:7830977-7830989(+) TCCAGGAAGCGA >mm10_chr6:7845780-7845791(+)::chr6:7845779-7845791(+) ACGCGGAAGGGA >mm10_chr6:7845808-7845819(+)::chr6:7845807-7845819(+) TGCAGGAAGTTG >mm10_chr6:7846993-7847004(+)::chr6:7846992-7847004(+) AACAGGAAGCTG >mm10_chr6:7925734-7925745(+)::chr6:7925733-7925745(+) AGAGGGAAGTCA >mm10_chr6:7972041-7972052(+)::chr6:7972040-7972052(+) AACAGGAAGTGA >mm10_chr6:8023700-8023711(+)::chr6:8023699-8023711(+) AGCAGGAAATAA >mm10_chr6:8034566-8034577(+)::chr6:8034565-8034577(+) ATCAGGAAGTAC >mm10_chr6:8274516-8274527(+)::chr6:8274515-8274527(+) agcaggaagcaa >mm10_chr6:8411024-8411035(-)::chr6:8411023-8411035(-) AGAAGAAAGTCA >mm10_chr6:8416107-8416118(+)::chr6:8416106-8416118(+) TTTAGGAAGTTA >mm10_chr6:8770545-8770556(+)::chr6:8770544-8770556(+) ACAAGGAAGTTG >mm10_chr6:8770596-8770607(+)::chr6:8770595-8770607(+) AACAGGAAGGAG >mm10_chr6:8876108-8876119(-)::chr6:8876107-8876119(-) agccggaagttg >mm10_chr6:9446455-9446466(-)::chr6:9446454-9446466(-) agaaggaagata >mm10_chr6:9503969-9503980(-)::chr6:9503968-9503980(-) CCGAGGAAGAGG >mm10_chr6:11275411-11275422(+)::chr6:11275410-11275422(+) ATGAGGAAGCAA >mm10_chr6:11275489-11275500(+)::chr6:11275488-11275500(+) ACCAGGAAGGAA >mm10_chr6:11275493-11275504(+)::chr6:11275492-11275504(+) GGAAGGAAGGCA >mm10_chr6:11802812-11802823(-)::chr6:11802811-11802823(-) AGCAGGAAGCAG >mm10_chr6:11802826-11802837(-)::chr6:11802825-11802837(-) accaggaagcag >mm10_chr6:11908417-11908428(+)::chr6:11908416-11908428(+) ATAAGGAAGACA >mm10_chr6:11925879-11925890(-)::chr6:11925878-11925890(-) AGGAGGAAGTGA >mm10_chr6:12025862-12025873(+)::chr6:12025861-12025873(+) ATAAGGTAGTTT >mm10_chr6:12026490-12026501(-)::chr6:12026489-12026501(-) GGAAGGAAGATG >mm10_chr6:12026494-12026505(-)::chr6:12026493-12026505(-) TACAGGAAGGAA >mm10_chr6:12034652-12034663(-)::chr6:12034651-12034663(-) TCTAGGAAGTGG >mm10_chr6:12093524-12093535(-)::chr6:12093523-12093535(-) TTGAGGAAGTTC >mm10_chr6:12093575-12093586(-)::chr6:12093574-12093586(-) GGAAGGAAGTCT >mm10_chr6:12093579-12093590(-)::chr6:12093578-12093590(-) GACAGGAAGGAA >mm10_chr6:12116677-12116688(+)::chr6:12116676-12116688(+) gaaaggaaggga >mm10_chr6:12116715-12116726(+)::chr6:12116714-12116726(+) aggaggaaggaa >mm10_chr6:12116719-12116730(+)::chr6:12116718-12116730(+) ggaaggaaggaa >mm10_chr6:12116723-12116734(+)::chr6:12116722-12116734(+) ggaaggaaggaa >mm10_chr6:12116727-12116738(+)::chr6:12116726-12116738(+) ggaaggaaggaa >mm10_chr6:12116731-12116742(+)::chr6:12116730-12116742(+) ggaaggaagaga >mm10_chr6:12117086-12117097(+)::chr6:12117085-12117097(+) TAGAGGAAGAGG >mm10_chr6:12117092-12117103(+)::chr6:12117091-12117103(+) AAGAGGAAGATG >mm10_chr6:12271555-12271566(-)::chr6:12271554-12271566(-) aacaggaaatca >mm10_chr6:12507827-12507838(+)::chr6:12507826-12507838(+) agggggaagtgc >mm10_chr6:12507906-12507917(-)::chr6:12507905-12507917(-) accaggaaatcc >mm10_chr6:12731019-12731030(-)::chr6:12731018-12731030(-) ATCAGGAAGTAG >mm10_chr6:12731082-12731093(-)::chr6:12731081-12731093(-) AAGGGGAAGTAT >mm10_chr6:12827094-12827105(+)::chr6:12827093-12827105(+) ttaaggaaatgt >mm10_chr6:13023456-13023467(+)::chr6:13023455-13023467(+) ACTAGGAAGAAG >mm10_chr6:13023463-13023474(+)::chr6:13023462-13023474(+) AGAAGGAAGTAA >mm10_chr6:13023477-13023488(+)::chr6:13023476-13023488(+) AGAAGGAAAATC >mm10_chr6:13249407-13249418(+)::chr6:13249406-13249418(+) GAGAGGAAATAA >mm10_chr6:13249422-13249433(+)::chr6:13249421-13249433(+) AGGAGGAAGTAA >mm10_chr6:13249436-13249447(-)::chr6:13249435-13249447(-) AACAGGAAATTC >mm10_chr6:13370716-13370727(-)::chr6:13370715-13370727(-) ACAAGGAAGCTT >mm10_chr6:13370756-13370767(+)::chr6:13370755-13370767(+) ACAAGGAAGTTG >mm10_chr6:13373310-13373321(+)::chr6:13373309-13373321(+) agagggaagtag >mm10_chr6:13537345-13537356(+)::chr6:13537344-13537356(+) GGAATGAAGTCA >mm10_chr6:13800280-13800291(+)::chr6:13800279-13800291(+) gtcaggaaggaa >mm10_chr6:13800284-13800295(+)::chr6:13800283-13800295(+) ggaaggaagttt >mm10_chr6:13829209-13829220(-)::chr6:13829208-13829220(-) aagaggaagaga >mm10_chr6:13829215-13829226(-)::chr6:13829214-13829226(-) aggaggaagagg >mm10_chr6:13829231-13829242(-)::chr6:13829230-13829242(-) aggaggaagagg >mm10_chr6:13871104-13871115(-)::chr6:13871103-13871115(-) ATCCGGAAGTTC >mm10_chr6:13871155-13871166(+)::chr6:13871154-13871166(+) AAGAGGAAGCTA >mm10_chr6:13871743-13871754(+)::chr6:13871742-13871754(+) ATAAGGAAGTAA >mm10_chr6:13919728-13919739(+)::chr6:13919727-13919739(+) GCCAGGAAGAGA >mm10_chr6:13946904-13946915(-)::chr6:13946903-13946915(-) gagaggaagtgg >mm10_chr6:13946918-13946929(-)::chr6:13946917-13946929(-) aacaggaaggga >mm10_chr6:14047234-14047245(-)::chr6:14047233-14047245(-) AGGAGGAAGGGT >mm10_chr6:14047275-14047286(-)::chr6:14047274-14047286(-) ATAAGGAAGAAG >mm10_chr6:14211555-14211566(+)::chr6:14211554-14211566(+) AAAAGGAAAACG >mm10_chr6:14234260-14234271(-)::chr6:14234259-14234271(-) TAAAGCAAGTAG >mm10_chr6:14369107-14369118(+)::chr6:14369106-14369118(+) AGAGGGAAGTGC >mm10_chr6:14405955-14405966(-)::chr6:14405954-14405966(-) gggaggaaggga >mm10_chr6:15357890-15357901(-)::chr6:15357889-15357901(-) CACAGGAAGTAC >mm10_chr6:15649744-15649755(+)::chr6:15649743-15649755(+) agcaggaagtag >mm10_chr6:15649762-15649773(-)::chr6:15649761-15649773(-) accaggaaattc >mm10_chr6:15693370-15693381(+)::chr6:15693369-15693381(+) aaaaggaagaag >mm10_chr6:15693413-15693424(+)::chr6:15693412-15693424(+) ATAAGGAAGAGG >mm10_chr6:15693419-15693430(+)::chr6:15693418-15693430(+) AAGAGGAAGAGC >mm10_chr6:15718108-15718119(+)::chr6:15718107-15718119(+) ggaaggaacgtc >mm10_chr6:15721067-15721078(-)::chr6:15721066-15721078(-) CCAGGGAAGTTA >mm10_chr6:15739299-15739310(-)::chr6:15739298-15739310(-) acgaggaactgc >mm10_chr6:15759406-15759417(-)::chr6:15759405-15759417(-) TCCAGGAAATAC >mm10_chr6:15763775-15763786(-)::chr6:15763774-15763786(-) ACAAGGAAATGC >mm10_chr6:15793517-15793528(-)::chr6:15793516-15793528(-) TACAGGAAGTAG >mm10_chr6:15793579-15793590(+)::chr6:15793578-15793590(+) ATGAGGAAGAAA >mm10_chr6:15793592-15793603(+)::chr6:15793591-15793603(+) GAAAGGAAGAAA >mm10_chr6:15841723-15841734(+)::chr6:15841722-15841734(+) ATGGGGAAGTGA >mm10_chr6:15893926-15893937(-)::chr6:15893925-15893937(-) AGCAGGAAGGTG >mm10_chr6:15930227-15930238(-)::chr6:15930226-15930238(-) acaaggaaataa >mm10_chr6:15930254-15930265(-)::chr6:15930253-15930265(-) TAGAGGAAGTTA >mm10_chr6:15945495-15945506(-)::chr6:15945494-15945506(-) ACAAGGAAGGGC >mm10_chr6:16547526-16547537(+)::chr6:16547525-16547537(+) AGCAGGAAGAAC >mm10_chr6:16559369-16559380(-)::chr6:16559368-16559380(-) ACAAGGAAACAA >mm10_chr6:16965818-16965829(-)::chr6:16965817-16965829(-) AAAAGGAACCGA >mm10_chr6:16965855-16965866(+)::chr6:16965854-16965866(+) TCGAGGAACTGA >mm10_chr6:17065681-17065692(-)::chr6:17065680-17065692(-) TGAAGGAAGTGT >mm10_chr6:17066101-17066112(+)::chr6:17066100-17066112(+) ATAAGGAAGGAA >mm10_chr6:17066105-17066116(+)::chr6:17066104-17066116(+) GGAAGGAAGTTG >mm10_chr6:17077849-17077860(-)::chr6:17077848-17077860(-) ccaaggaagcag >mm10_chr6:17079334-17079345(+)::chr6:17079333-17079345(+) AATAGGAAGTCT >mm10_chr6:17079379-17079390(-)::chr6:17079378-17079390(-) AAAAGGAAGGAG >mm10_chr6:17079984-17079995(+)::chr6:17079983-17079995(+) TGGAGGAAGTGA >mm10_chr6:17087430-17087441(-)::chr6:17087429-17087441(-) aagaggaagagg >mm10_chr6:17087436-17087447(-)::chr6:17087435-17087447(-) aagaggaagagg >mm10_chr6:17087448-17087459(-)::chr6:17087447-17087459(-) aagaggaagtgg >mm10_chr6:17087454-17087465(-)::chr6:17087453-17087465(-) aggaggaagagg >mm10_chr6:17087469-17087480(-)::chr6:17087468-17087480(-) aggaggaagaga >mm10_chr6:17087478-17087489(-)::chr6:17087477-17087489(-) aggaggaagagg >mm10_chr6:17171372-17171383(+)::chr6:17171371-17171383(+) TGGAGGAAGGAA >mm10_chr6:17171376-17171387(+)::chr6:17171375-17171387(+) GGAAGGAACTGT >mm10_chr6:17177002-17177013(-)::chr6:17177001-17177013(-) TCAAGGAAGGAG >mm10_chr6:17177261-17177272(+)::chr6:17177260-17177272(+) ATGAGGAAATCA >mm10_chr6:17177468-17177479(-)::chr6:17177467-17177479(-) AAAGGGAAGTTA >mm10_chr6:17211382-17211393(-)::chr6:17211381-17211393(-) TTAAGGAAGGAA >mm10_chr6:17281222-17281233(+)::chr6:17281221-17281233(+) agcgggaagcgg >mm10_chr6:17286543-17286554(+)::chr6:17286542-17286554(+) GGCAGGAAGTAG >mm10_chr6:17286566-17286577(+)::chr6:17286565-17286577(+) AACAGGAAGTGC >mm10_chr6:17340493-17340504(-)::chr6:17340492-17340504(-) AAAAGGAAGTAC >mm10_chr6:17403667-17403678(-)::chr6:17403666-17403678(-) AGCAGGAAATGG >mm10_chr6:17403691-17403702(+)::chr6:17403690-17403702(+) GGCAGGAAGTCT >mm10_chr6:17409870-17409881(+)::chr6:17409869-17409881(+) ttgaggaagtcc >mm10_chr6:17464498-17464509(-)::chr6:17464497-17464509(-) ACAAGGAAATAG >mm10_chr6:17481272-17481283(+)::chr6:17481271-17481283(+) AAAAGGAAGGAA >mm10_chr6:17497615-17497626(-)::chr6:17497614-17497626(-) ACAAGGAAGCGC >mm10_chr6:17595904-17595915(+)::chr6:17595903-17595915(+) AGGAGGAAGGAA >mm10_chr6:17595908-17595919(+)::chr6:17595907-17595919(+) GGAAGGAAGACA >mm10_chr6:17621277-17621288(+)::chr6:17621276-17621288(+) GGAAGGAAATGG >mm10_chr6:17637113-17637124(+)::chr6:17637112-17637124(+) AGAAGGAAGATG >mm10_chr6:17645139-17645150(+)::chr6:17645138-17645150(+) AGGAGGAAGTTA >mm10_chr6:17667855-17667866(+)::chr6:17667854-17667866(+) ACCAGGAAATAA >mm10_chr6:17667885-17667896(+)::chr6:17667884-17667896(+) ATGGGGAAGTAG >mm10_chr6:17675645-17675656(+)::chr6:17675644-17675656(+) GCCAGGAAGTTC >mm10_chr6:17688890-17688901(-)::chr6:17688889-17688901(-) AAAAGGAAGTCA >mm10_chr6:17694292-17694303(-)::chr6:17694291-17694303(-) ACGAGGAAGAAC >mm10_chr6:17694304-17694315(-)::chr6:17694303-17694315(-) ACCAGGAAGAGC >mm10_chr6:17735676-17735687(-)::chr6:17735675-17735687(-) tggaggaaggaa >mm10_chr6:17735697-17735708(-)::chr6:17735696-17735708(-) aagaggaagaga >mm10_chr6:17735703-17735714(-)::chr6:17735702-17735714(-) gggaggaagagg >mm10_chr6:17735711-17735722(-)::chr6:17735710-17735722(-) aagaggaaggga >mm10_chr6:17735717-17735728(-)::chr6:17735716-17735728(-) gggaggaagagg >mm10_chr6:17755960-17755971(+)::chr6:17755959-17755971(+) GAAAGGAAGCCA >mm10_chr6:17755972-17755983(-)::chr6:17755971-17755983(-) CTGAGGAAGTGG >mm10_chr6:17757406-17757417(+)::chr6:17757405-17757417(+) agcaggaagagg >mm10_chr6:17757459-17757470(-)::chr6:17757458-17757470(-) atatggaagcga >mm10_chr6:17788255-17788266(-)::chr6:17788254-17788266(-) ATAAGGAAATAA >mm10_chr6:17793646-17793657(-)::chr6:17793645-17793657(-) AGAAGGAATTAG >mm10_chr6:17793832-17793843(+)::chr6:17793831-17793843(+) ACAAGGAAAAGG >mm10_chr6:17800918-17800929(-)::chr6:17800917-17800929(-) GTGAGGAAGTGA >mm10_chr6:17800961-17800972(-)::chr6:17800960-17800972(-) ATGAGGAAGAGA >mm10_chr6:17811211-17811222(-)::chr6:17811210-17811222(-) accaggaagtgc >mm10_chr6:17811262-17811273(-)::chr6:17811261-17811273(-) agagggaagtag >mm10_chr6:17828688-17828699(-)::chr6:17828687-17828699(-) aaaaggaagAAC >mm10_chr6:17828702-17828713(-)::chr6:17828701-17828713(-) gggaggaagaaa >mm10_chr6:17828710-17828721(-)::chr6:17828709-17828721(-) agaaggaaggga >mm10_chr6:17868252-17868263(-)::chr6:17868251-17868263(-) AGGAGGAAGACG >mm10_chr6:17934997-17935008(-)::chr6:17934996-17935008(-) TCGAGGAACTGA >mm10_chr6:18241418-18241429(-)::chr6:18241417-18241429(-) ATGAGGAAGAGG >mm10_chr6:18367518-18367529(+)::chr6:18367517-18367529(+) AACAGGAAGAAA >mm10_chr6:18372987-18372998(-)::chr6:18372986-18372998(-) ATCAGGAAATAA >mm10_chr6:18395051-18395062(+)::chr6:18395050-18395062(+) atgaggaagagg >mm10_chr6:18395057-18395068(+)::chr6:18395056-18395068(+) aagaggaagggg >mm10_chr6:18395083-18395094(+)::chr6:18395082-18395094(+) aggaggaagagg >mm10_chr6:18395089-18395100(+)::chr6:18395088-18395100(+) aagaggaagagg >mm10_chr6:18408997-18409008(-)::chr6:18408996-18409008(-) ACAATGAAGTAG >mm10_chr6:18409041-18409052(+)::chr6:18409040-18409052(+) GAAaggaagaga >mm10_chr6:18409054-18409065(+)::chr6:18409053-18409065(+) aggaggaagagg >mm10_chr6:18409060-18409071(+)::chr6:18409059-18409071(+) aagaggaagagg >mm10_chr6:18409075-18409086(+)::chr6:18409074-18409086(+) aagaggaagaag >mm10_chr6:18435275-18435286(-)::chr6:18435274-18435286(-) TGGAGGAAGTCA >mm10_chr6:18592625-18592636(+)::chr6:18592624-18592636(+) TGCAGGAAGGAG >mm10_chr6:18822895-18822906(+)::chr6:18822894-18822906(+) ctaaggaagtag >mm10_chr6:18848556-18848567(-)::chr6:18848555-18848567(-) AACAGGAAGCCG >mm10_chr6:18897872-18897883(-)::chr6:18897871-18897883(-) aacgggaagtag >mm10_chr6:19676495-19676506(-)::chr6:19676494-19676506(-) gtacggaagagc >mm10_chr6:19712221-19712232(+)::chr6:19712220-19712232(+) agaaggaaaaat >mm10_chr6:20408115-20408126(+)::chr6:20408114-20408126(+) tggaggaagtgt >mm10_chr6:20671867-20671878(-)::chr6:20671866-20671878(-) aagaggaaatgt >mm10_chr6:20972863-20972874(+)::chr6:20972862-20972874(+) cgaaggaagtag >mm10_chr6:21048075-21048086(+)::chr6:21048074-21048086(+) TCAAGGAAGTTA >mm10_chr6:21051165-21051176(+)::chr6:21051164-21051176(+) aaaatgaagttg >mm10_chr6:21056586-21056597(+)::chr6:21056585-21056597(+) tccaggaagtgt >mm10_chr6:21056669-21056680(-)::chr6:21056668-21056680(-) tcaaggaagcag >mm10_chr6:21214040-21214051(+)::chr6:21214039-21214051(+) TTAAGGAAGACA >mm10_chr6:21465713-21465724(+)::chr6:21465712-21465724(+) tgaaggaaggat >mm10_chr6:21523593-21523604(+)::chr6:21523592-21523604(+) acagggaagtca >mm10_chr6:21523610-21523621(+)::chr6:21523609-21523621(+) gggaggaagaca >mm10_chr6:21523628-21523639(+)::chr6:21523627-21523639(+) aggaggaagagg >mm10_chr6:21784536-21784547(-)::chr6:21784535-21784547(-) TTAAGGAAGCAC >mm10_chr6:21784545-21784556(+)::chr6:21784544-21784556(+) TAAAGGAAGTCC >mm10_chr6:21798189-21798200(+)::chr6:21798188-21798200(+) tataggaagagg >mm10_chr6:21798195-21798206(+)::chr6:21798194-21798206(+) aagaggaaatta >mm10_chr6:21816690-21816701(+)::chr6:21816689-21816701(+) AGAAGGAAGTTA >mm10_chr6:21840376-21840387(-)::chr6:21840375-21840387(-) AAGAGGAAATAG >mm10_chr6:21986277-21986288(+)::chr6:21986276-21986288(+) GAAAGGAAGCAG >mm10_chr6:21987020-21987031(-)::chr6:21987019-21987031(-) AGAAGGAAGCAT >mm10_chr6:21987051-21987062(+)::chr6:21987050-21987062(+) ACCAGGAAGGTA >mm10_chr6:22012201-22012212(-)::chr6:22012200-22012212(-) aagaggaagaag >mm10_chr6:22012207-22012218(-)::chr6:22012206-22012218(-) aggaggaagagg >mm10_chr6:22019747-22019758(+)::chr6:22019746-22019758(+) AACAGGAAGTTG >mm10_chr6:22051746-22051757(+)::chr6:22051745-22051757(+) ggaaggaagtgg >mm10_chr6:22051801-22051812(-)::chr6:22051800-22051812(-) taaaggaagtta >mm10_chr6:22122343-22122354(-)::chr6:22122342-22122354(-) AAGAGGAAGTCG >mm10_chr6:22148990-22149001(-)::chr6:22148989-22149001(-) AACAGGAAGAAG >mm10_chr6:22149002-22149013(-)::chr6:22149001-22149013(-) AGAAGGAAGAGG >mm10_chr6:22153133-22153144(-)::chr6:22153132-22153144(-) GGAAGGATGTGG >mm10_chr6:22153137-22153148(-)::chr6:22153136-22153148(-) ACAAGGAAGGAT >mm10_chr6:22231448-22231459(+)::chr6:22231447-22231459(+) aGAAGGAAGTGA >mm10_chr6:22231467-22231478(-)::chr6:22231466-22231478(-) TTCAGGAAGTAG >mm10_chr6:22330763-22330774(-)::chr6:22330762-22330774(-) ACCAGGAAATGG >mm10_chr6:22351558-22351569(-)::chr6:22351557-22351569(-) GCAAGGAAGAGC >mm10_chr6:22351575-22351586(+)::chr6:22351574-22351586(+) ACCAGGAAGAAT >mm10_chr6:22358203-22358214(-)::chr6:22358202-22358214(-) CCCAGGAAGTTG >mm10_chr6:22363731-22363742(-)::chr6:22363730-22363742(-) ATGAGGAAGTTC >mm10_chr6:22363739-22363750(-)::chr6:22363738-22363750(-) GTAAGGAAATGA >mm10_chr6:22390828-22390839(-)::chr6:22390827-22390839(-) AGAAGGAAGTTC >mm10_chr6:22390856-22390867(-)::chr6:22390855-22390867(-) AGAAGGAAGTTC >mm10_chr6:22397780-22397791(-)::chr6:22397779-22397791(-) ACAAGGAAGGGG >mm10_chr6:22397791-22397802(-)::chr6:22397790-22397802(-) GGCAGGAACTAA >mm10_chr6:22398536-22398547(-)::chr6:22398535-22398547(-) AAGAGGAAGAAG >mm10_chr6:22398542-22398553(-)::chr6:22398541-22398553(-) AAGAGGAAGAGG >mm10_chr6:22398610-22398621(-)::chr6:22398609-22398621(-) CGAAGAAAGTCA >mm10_chr6:22407347-22407358(+)::chr6:22407346-22407358(+) tggaggaagttc >mm10_chr6:22417369-22417380(-)::chr6:22417368-22417380(-) aggaggaagtgg >mm10_chr6:22437997-22438008(-)::chr6:22437996-22438008(-) AGCAGGAAGCAT >mm10_chr6:22443815-22443826(-)::chr6:22443814-22443826(-) AGCAGGAAGGTG >mm10_chr6:22451391-22451402(-)::chr6:22451390-22451402(-) acaaggaagtaa >mm10_chr6:22466128-22466139(+)::chr6:22466127-22466139(+) acaaggaagtaa >mm10_chr6:22486373-22486384(-)::chr6:22486372-22486384(-) GTGAGGAAGAAG >mm10_chr6:22665785-22665796(-)::chr6:22665784-22665796(-) TAAAGGAAGGAA >mm10_chr6:22709130-22709141(-)::chr6:22709129-22709141(-) ATGAGGAAGCCA >mm10_chr6:22709141-22709152(-)::chr6:22709140-22709152(-) TAAAGGAAGGTA >mm10_chr6:22794168-22794179(-)::chr6:22794167-22794179(-) CGAAGGAATTCC >mm10_chr6:22873783-22873794(+)::chr6:22873782-22873794(+) ACAAGGAAATCA >mm10_chr6:22889155-22889166(+)::chr6:22889154-22889166(+) AGAAGGAAATCT >mm10_chr6:22901579-22901590(+)::chr6:22901578-22901590(+) aggaggaagagg >mm10_chr6:23028535-23028546(-)::chr6:23028534-23028546(-) AGCAGGAAGTGG >mm10_chr6:23124846-23124857(+)::chr6:23124845-23124857(+) TCCAGGAAGAAA >mm10_chr6:23129117-23129128(-)::chr6:23129116-23129128(-) acccggaagtgc >mm10_chr6:23133026-23133037(-)::chr6:23133025-23133037(-) GGAAGGAAGACT >mm10_chr6:23133030-23133041(-)::chr6:23133029-23133041(-) TGCAGGAAGGAA >mm10_chr6:23151280-23151291(+)::chr6:23151279-23151291(+) gaagggaagtct >mm10_chr6:23151297-23151308(+)::chr6:23151296-23151308(+) gtcaggaagcaa >mm10_chr6:23202764-23202775(-)::chr6:23202763-23202775(-) AAACGGAAGGGC >mm10_chr6:23219846-23219857(-)::chr6:23219845-23219857(-) CAGAGGAAGTGG >mm10_chr6:23219926-23219937(+)::chr6:23219925-23219937(+) AGAAGGAATTGA >mm10_chr6:23274074-23274085(+)::chr6:23274073-23274085(+) ataaggaaggga >mm10_chr6:23274092-23274103(+)::chr6:23274091-23274103(+) tggaggaagaag >mm10_chr6:23274099-23274110(+)::chr6:23274098-23274110(+) agaaggaagaaa >mm10_chr6:23274107-23274118(+)::chr6:23274106-23274118(+) gaaaggaagaaa >mm10_chr6:23274116-23274127(+)::chr6:23274115-23274127(+) aaaaggaaggag >mm10_chr6:23274123-23274134(+)::chr6:23274122-23274134(+) aggaggaaggag >mm10_chr6:23274130-23274141(+)::chr6:23274129-23274141(+) aggaggaaggaa >mm10_chr6:23274134-23274145(+)::chr6:23274133-23274145(+) ggaaggaaggag >mm10_chr6:23274141-23274152(+)::chr6:23274140-23274152(+) aggaggaaggga >mm10_chr6:23309626-23309637(+)::chr6:23309625-23309637(+) GGAAGGAAGAGC >mm10_chr6:23548135-23548146(-)::chr6:23548134-23548146(-) AAAGGGAAGTGC >mm10_chr6:23550037-23550048(+)::chr6:23550036-23550048(+) TTAAGGAATTGA >mm10_chr6:23575057-23575068(+)::chr6:23575056-23575068(+) ggaaggaagaag >mm10_chr6:23640639-23640650(-)::chr6:23640638-23640650(-) AACAGGAAATTC >mm10_chr6:23647455-23647466(+)::chr6:23647454-23647466(+) ACCAGGAAGATA >mm10_chr6:23648037-23648048(+)::chr6:23648036-23648048(+) AGGAGGACGTGG >mm10_chr6:23689967-23689978(+)::chr6:23689966-23689978(+) TGGAGGAAGAGA >mm10_chr6:23757107-23757118(-)::chr6:23757106-23757118(-) AAGAGGAAGAAT >mm10_chr6:23775382-23775393(+)::chr6:23775381-23775393(+) Agaaggaaaaag >mm10_chr6:23941936-23941947(-)::chr6:23941935-23941947(-) ggcaggaactaa >mm10_chr6:23941980-23941991(-)::chr6:23941979-23941991(-) gaagggaagtct >mm10_chr6:24047489-24047500(-)::chr6:24047488-24047500(-) aggaggaagaag >mm10_chr6:24059773-24059784(-)::chr6:24059772-24059784(-) TAGAGGAAGGAA >mm10_chr6:24060142-24060153(-)::chr6:24060141-24060153(-) TTCAGGAAGTGA >mm10_chr6:24076190-24076201(-)::chr6:24076189-24076201(-) ataaagaagtgt >mm10_chr6:24082814-24082825(-)::chr6:24082813-24082825(-) AAGAGGAAGTAA >mm10_chr6:24082836-24082847(-)::chr6:24082835-24082847(-) agcaggaagTTG >mm10_chr6:24082843-24082854(-)::chr6:24082842-24082854(-) aagaggaagcag >mm10_chr6:24082849-24082860(-)::chr6:24082848-24082860(-) aggaggaagagg >mm10_chr6:24082873-24082884(-)::chr6:24082872-24082884(-) aggaggaagagg >mm10_chr6:24082882-24082893(-)::chr6:24082881-24082893(-) agaaggaagagg >mm10_chr6:24109403-24109414(+)::chr6:24109402-24109414(+) TCCAGGAAGAGG >mm10_chr6:24126094-24126105(-)::chr6:24126093-24126105(-) ACAAGGAAGAGG >mm10_chr6:24126129-24126140(+)::chr6:24126128-24126140(+) AGAGGGAAATAG >mm10_chr6:24138727-24138738(+)::chr6:24138726-24138738(+) AAAAGGAAGACT >mm10_chr6:24138955-24138966(-)::chr6:24138954-24138966(-) TGCAGGAAGCAG >mm10_chr6:24138967-24138978(-)::chr6:24138966-24138978(-) CGAAGGAAAAGA >mm10_chr6:24139007-24139018(-)::chr6:24139006-24139018(-) ACCAGGAAGAAG >mm10_chr6:24155095-24155106(-)::chr6:24155094-24155106(-) ttgaggaagata >mm10_chr6:24155112-24155123(-)::chr6:24155111-24155123(-) atagggaagaga >mm10_chr6:24157502-24157513(+)::chr6:24157501-24157513(+) TGAGGGAAGTAC >mm10_chr6:24157517-24157528(+)::chr6:24157516-24157528(+) TAAAGGAAGATG >mm10_chr6:24167017-24167028(+)::chr6:24167016-24167028(+) tacaggaaggtt >mm10_chr6:24181667-24181678(-)::chr6:24181666-24181678(-) tctaggaagtct >mm10_chr6:24181702-24181713(-)::chr6:24181701-24181713(-) ttaaggaagaaa >mm10_chr6:24189322-24189333(-)::chr6:24189321-24189333(-) AACAGGAAGAGA >mm10_chr6:24209483-24209494(-)::chr6:24209482-24209494(-) AGGAGGAAGGTC >mm10_chr6:24535447-24535458(-)::chr6:24535446-24535458(-) aataggaagacc >mm10_chr6:24571866-24571877(-)::chr6:24571865-24571877(-) AGGAGGAAGAAC >mm10_chr6:24603389-24603400(+)::chr6:24603388-24603400(+) atgaggaagagt >mm10_chr6:24603404-24603415(+)::chr6:24603403-24603415(+) aggaggaagaag >mm10_chr6:24603422-24603433(+)::chr6:24603421-24603433(+) gcgaggaagaag >mm10_chr6:24645612-24645623(+)::chr6:24645611-24645623(+) ACCAGGAAGTTT >mm10_chr6:24729843-24729854(-)::chr6:24729842-24729854(-) GAAAGGAAGAAC >mm10_chr6:24729855-24729866(-)::chr6:24729854-24729866(-) GGCAGGAAGGGA >mm10_chr6:24970924-24970935(+)::chr6:24970923-24970935(+) TTAAGGAAGATG >mm10_chr6:25039521-25039532(-)::chr6:25039520-25039532(-) aacaggaaggaa >mm10_chr6:25039559-25039570(-)::chr6:25039558-25039570(-) tgcaggaaatgc >mm10_chr6:25533558-25533569(+)::chr6:25533557-25533569(+) CTAAGGAAGAGG >mm10_chr6:25533565-25533576(+)::chr6:25533564-25533576(+) AGAGGGAAGTAA >mm10_chr6:27528109-27528120(+)::chr6:27528108-27528120(+) AGAAGGAAGTAA >mm10_chr6:28261876-28261887(+)::chr6:28261875-28261887(+) GGTAGGAAGGGG >mm10_chr6:28418859-28418870(-)::chr6:28418858-28418870(-) TGAAGGAAGAGT >mm10_chr6:28421710-28421721(-)::chr6:28421709-28421721(-) ACCCGGAAGCGG >mm10_chr6:28438423-28438434(-)::chr6:28438422-28438434(-) AAGAGGAAATGA >mm10_chr6:28438429-28438440(-)::chr6:28438428-28438440(-) AGAAGGAAGAGG >mm10_chr6:28482403-28482414(-)::chr6:28482402-28482414(-) AAGAGGAAGCAG >mm10_chr6:28482616-28482627(-)::chr6:28482615-28482627(-) AAAAGGAAGTCA >mm10_chr6:28491124-28491135(+)::chr6:28491123-28491135(+) AAGAGGAAGAGG >mm10_chr6:28498024-28498035(+)::chr6:28498023-28498035(+) CGAAGGAAGCAG >mm10_chr6:28498064-28498075(-)::chr6:28498063-28498075(-) CCCAGGAAGTTC >mm10_chr6:28504681-28504692(-)::chr6:28504680-28504692(-) AAAAGGAAGGCA >mm10_chr6:28504710-28504721(-)::chr6:28504709-28504721(-) AGAGGGAAGTTA >mm10_chr6:28517275-28517286(-)::chr6:28517274-28517286(-) AGGAGGAAGAAC >mm10_chr6:28531316-28531327(-)::chr6:28531315-28531327(-) AGCAGGAAATCC >mm10_chr6:28531386-28531397(-)::chr6:28531385-28531397(-) ACAAGGATGCGA >mm10_chr6:28550876-28550887(-)::chr6:28550875-28550887(-) AGGAGGAAATAA >mm10_chr6:28602296-28602307(-)::chr6:28602295-28602307(-) ACAAGGAAGTCA >mm10_chr6:28658975-28658986(-)::chr6:28658974-28658986(-) TCAAGGAAGTTA >mm10_chr6:28729349-28729360(-)::chr6:28729348-28729360(-) GAGAGGAAGTCT >mm10_chr6:28730024-28730035(-)::chr6:28730023-28730035(-) aagaggaagtag >mm10_chr6:28730037-28730048(-)::chr6:28730036-28730048(-) ggaaggaaaata >mm10_chr6:28730041-28730052(-)::chr6:28730040-28730052(-) Tagaggaaggaa >mm10_chr6:28743424-28743435(-)::chr6:28743423-28743435(-) ACAAGGAAGCTG >mm10_chr6:28752348-28752359(-)::chr6:28752347-28752359(-) AAAAGGAAGAGG >mm10_chr6:28766457-28766468(+)::chr6:28766456-28766468(+) AGTAGGAAGTGA >mm10_chr6:28766787-28766798(-)::chr6:28766786-28766798(-) AGGAGGAAATGA >mm10_chr6:28782496-28782507(-)::chr6:28782495-28782507(-) ACAAGGAAAAGA >mm10_chr6:28845823-28845834(-)::chr6:28845822-28845834(-) GGGAGGAAGAGG >mm10_chr6:28864771-28864782(-)::chr6:28864770-28864782(-) ATAAGGAAAGCA >mm10_chr6:28874242-28874253(+)::chr6:28874241-28874253(+) AAAAGGAAGGGG >mm10_chr6:28879585-28879596(+)::chr6:28879584-28879596(+) ggaaggaaggaa >mm10_chr6:28879589-28879600(+)::chr6:28879588-28879600(+) ggaaggaaggaa >mm10_chr6:28879593-28879604(+)::chr6:28879592-28879604(+) ggaaggaaggaa >mm10_chr6:28879597-28879608(+)::chr6:28879596-28879608(+) ggaaggaaggaa >mm10_chr6:28879601-28879612(+)::chr6:28879600-28879612(+) ggaaggaaggaa >mm10_chr6:28879605-28879616(+)::chr6:28879604-28879616(+) ggaaggaaggaa >mm10_chr6:28879609-28879620(+)::chr6:28879608-28879620(+) ggaaggaaggaa >mm10_chr6:28879613-28879624(+)::chr6:28879612-28879624(+) ggaaggaaggaa >mm10_chr6:28879617-28879628(+)::chr6:28879616-28879628(+) ggaaggaaggca >mm10_chr6:29070706-29070717(+)::chr6:29070705-29070717(+) ACCAGGAAGGTG >mm10_chr6:29070718-29070729(+)::chr6:29070717-29070729(+) AGAAGGAAGGAG >mm10_chr6:29070722-29070733(+)::chr6:29070721-29070733(+) GGAAGGAGGTAG >mm10_chr6:29088444-29088455(-)::chr6:29088443-29088455(-) AGCAGGAAGCAC >mm10_chr6:29164711-29164722(+)::chr6:29164710-29164722(+) TTCAGGAAGTCC >mm10_chr6:29199065-29199076(+)::chr6:29199064-29199076(+) TGGAGGAAGTCC >mm10_chr6:29205382-29205393(+)::chr6:29205381-29205393(+) ATCAGGAAGCAA >mm10_chr6:29205418-29205429(-)::chr6:29205417-29205429(-) GGCAGGAAGAGC >mm10_chr6:29287966-29287977(-)::chr6:29287965-29287977(-) AACGGGAAGTTT >mm10_chr6:29287999-29288010(+)::chr6:29287998-29288010(+) AAAAGGAACGGG >mm10_chr6:29318904-29318915(-)::chr6:29318903-29318915(-) GGCAGGAAGCAA >mm10_chr6:29318965-29318976(+)::chr6:29318964-29318976(+) AGAAGGATGTGG >mm10_chr6:29415388-29415399(-)::chr6:29415387-29415399(-) TTAAGGAAGAAA >mm10_chr6:29415409-29415420(-)::chr6:29415408-29415420(-) AGGAGGAAGGAA >mm10_chr6:29415667-29415678(+)::chr6:29415666-29415678(+) AGCAGGAAGGGG >mm10_chr6:29415735-29415746(+)::chr6:29415734-29415746(+) ACCAGGAAGGCC >mm10_chr6:29468082-29468093(+)::chr6:29468081-29468093(+) TCCCGGAAGTCG >mm10_chr6:29553649-29553660(-)::chr6:29553648-29553660(-) CCCAGGAAGTAA >mm10_chr6:29594042-29594053(-)::chr6:29594041-29594053(-) ATGGGGAAGTGA >mm10_chr6:29594069-29594080(-)::chr6:29594068-29594080(-) TGAAGGAAGTGT >mm10_chr6:29609938-29609949(+)::chr6:29609937-29609949(+) TCCCGGAAGTGA >mm10_chr6:29609971-29609982(+)::chr6:29609970-29609982(+) AAGGGGAAGTAG >mm10_chr6:29627877-29627888(+)::chr6:29627876-29627888(+) tgaaggatgtca >mm10_chr6:29649161-29649172(+)::chr6:29649160-29649172(+) AAAAGGAAGACT >mm10_chr6:29649944-29649955(-)::chr6:29649943-29649955(-) GGATGGAAGTAA >mm10_chr6:29672027-29672038(-)::chr6:29672026-29672038(-) ataaggaaaggc >mm10_chr6:29683986-29683997(-)::chr6:29683985-29683997(-) AGAAGGAATGCG >mm10_chr6:29686173-29686184(+)::chr6:29686172-29686184(+) gcaaggaaagcg >mm10_chr6:29716878-29716889(-)::chr6:29716877-29716889(-) CCCAGGAAGTAA >mm10_chr6:29755590-29755601(-)::chr6:29755589-29755601(-) ATGAGGAAGTAG >mm10_chr6:29767978-29767989(+)::chr6:29767977-29767989(+) CGGAGGAAATTC >mm10_chr6:29770960-29770971(-)::chr6:29770959-29770971(-) AAGAGGAAGGGA >mm10_chr6:29777449-29777460(-)::chr6:29777448-29777460(-) ACAAAGAAGTAG >mm10_chr6:29777463-29777474(-)::chr6:29777462-29777474(-) agAAGGAAGTGT >mm10_chr6:29785875-29785886(-)::chr6:29785874-29785886(-) TGAAAGAAGTAG >mm10_chr6:29785918-29785929(+)::chr6:29785917-29785929(+) acgaggaaatcc >mm10_chr6:29785939-29785950(+)::chr6:29785938-29785950(+) aagaggaagtga >mm10_chr6:29793730-29793741(-)::chr6:29793729-29793741(-) gggaggaaatgc >mm10_chr6:29793753-29793764(-)::chr6:29793752-29793764(-) GAGAGGAAGAAG >mm10_chr6:29801788-29801799(-)::chr6:29801787-29801799(-) ACAGGGAAGTGA >mm10_chr6:29814687-29814698(+)::chr6:29814686-29814698(+) GGAAGGAAGCAT >mm10_chr6:29825489-29825500(+)::chr6:29825488-29825500(+) aacaggaagcAG >mm10_chr6:29827304-29827315(-)::chr6:29827303-29827315(-) AAGAGGAAGTTT >mm10_chr6:29833247-29833258(-)::chr6:29833246-29833258(-) AGGAGGAAGGGA >mm10_chr6:29866860-29866871(-)::chr6:29866859-29866871(-) ACAAGGAAATGA >mm10_chr6:29866914-29866925(+)::chr6:29866913-29866925(+) TGGAGGAAGGGA >mm10_chr6:29894717-29894728(+)::chr6:29894716-29894728(+) TCCAGGAAGTGA >mm10_chr6:29911641-29911652(-)::chr6:29911640-29911652(-) AAAAGGAAGAGT >mm10_chr6:29911676-29911687(+)::chr6:29911675-29911687(+) ACAAGGAAGAGA >mm10_chr6:29911721-29911732(+)::chr6:29911720-29911732(+) AGAGGGAAATAC >mm10_chr6:29953571-29953582(-)::chr6:29953570-29953582(-) GTTAGGAAGTGC >mm10_chr6:29961035-29961046(+)::chr6:29961034-29961046(+) AAAGGGAAGTGG >mm10_chr6:29961358-29961369(-)::chr6:29961357-29961369(-) ACACGGAAATGG >mm10_chr6:29961369-29961380(+)::chr6:29961368-29961380(+) TGGAGGAAGAAG >mm10_chr6:29961376-29961387(+)::chr6:29961375-29961387(+) AGAAGGAAGGGG >mm10_chr6:30026831-30026842(+)::chr6:30026830-30026842(+) aagaggaaatgg >mm10_chr6:30026875-30026886(+)::chr6:30026874-30026886(+) gacaggaagtac >mm10_chr6:30053314-30053325(+)::chr6:30053313-30053325(+) AAGAGGAAGTGA >mm10_chr6:30085345-30085356(+)::chr6:30085344-30085356(+) ATAAGGAAGTGG >mm10_chr6:30109647-30109658(+)::chr6:30109646-30109658(+) gggaggaaggag >mm10_chr6:30109654-30109665(+)::chr6:30109653-30109665(+) aggaggaaggAC >mm10_chr6:30109667-30109678(+)::chr6:30109666-30109678(+) TACGGGAAGTTT >mm10_chr6:30109678-30109689(+)::chr6:30109677-30109689(+) Tgacggaagaga >mm10_chr6:30135866-30135877(+)::chr6:30135865-30135877(+) AGAAGGAAACAA >mm10_chr6:30139550-30139561(-)::chr6:30139549-30139561(-) AGGAGGAAGCAA >mm10_chr6:30173275-30173286(-)::chr6:30173274-30173286(-) GGGAGGAAGTGC >mm10_chr6:30189086-30189097(-)::chr6:30189085-30189097(-) TGAAGGAAATGG >mm10_chr6:30210839-30210850(-)::chr6:30210838-30210850(-) AAGAGGAAGCAG >mm10_chr6:30230333-30230344(-)::chr6:30230332-30230344(-) acaaggaaggcg >mm10_chr6:30243759-30243770(+)::chr6:30243758-30243770(+) AGGAGGAAGGAA >mm10_chr6:30243763-30243774(+)::chr6:30243762-30243774(+) GGAAGGAACTGC >mm10_chr6:30248862-30248873(+)::chr6:30248861-30248873(+) ACGAGGAAGGGA >mm10_chr6:30261931-30261942(+)::chr6:30261930-30261942(+) ACAAGGAAATAC >mm10_chr6:30307585-30307596(-)::chr6:30307584-30307596(-) GGAAGGAAGAAG >mm10_chr6:30307589-30307600(-)::chr6:30307588-30307600(-) AGCAGGAAGGAA >mm10_chr6:30312247-30312258(+)::chr6:30312246-30312258(+) ggaaggaagtgg >mm10_chr6:30337062-30337073(-)::chr6:30337061-30337073(-) aggaggaagggg >mm10_chr6:30337103-30337114(-)::chr6:30337102-30337114(-) ACTAGGAAGAga >mm10_chr6:30351497-30351508(+)::chr6:30351496-30351508(+) CAAAGGAAATGG >mm10_chr6:30391428-30391439(+)::chr6:30391427-30391439(+) GTAAGGAAACGG >mm10_chr6:30391456-30391467(+)::chr6:30391455-30391467(+) ACAAGGAACTTG >mm10_chr6:30404967-30404978(+)::chr6:30404966-30404978(+) CACAGGAAGTCA >mm10_chr6:30405012-30405023(-)::chr6:30405011-30405023(-) AGGAGGAAGCAC >mm10_chr6:30420755-30420766(-)::chr6:30420754-30420766(-) aagaggaagagg >mm10_chr6:30420761-30420772(-)::chr6:30420760-30420772(-) aagaggaagagg >mm10_chr6:30420767-30420778(-)::chr6:30420766-30420778(-) aagaggaagagg >mm10_chr6:30432716-30432727(+)::chr6:30432715-30432727(+) agaaggaagtca >mm10_chr6:30454109-30454120(-)::chr6:30454108-30454120(-) GCCAGGAAATGT >mm10_chr6:30454918-30454929(-)::chr6:30454917-30454929(-) TGCAGGAAGAGT >mm10_chr6:30463112-30463123(-)::chr6:30463111-30463123(-) GGCAGGAAATTG >mm10_chr6:30465093-30465104(-)::chr6:30465092-30465104(-) agaaggaaggag >mm10_chr6:30465113-30465124(-)::chr6:30465112-30465124(-) aagaggaagcag >mm10_chr6:30465132-30465143(-)::chr6:30465131-30465143(-) aCaaggaagggg >mm10_chr6:30476454-30476465(+)::chr6:30476453-30476465(+) atgaggaagacc >mm10_chr6:30476505-30476516(-)::chr6:30476504-30476516(-) aacaggaaggac >mm10_chr6:30499217-30499228(+)::chr6:30499216-30499228(+) AGCAGGAAATCA >mm10_chr6:30509695-30509706(+)::chr6:30509694-30509706(+) AGCAGGAAGCCG >mm10_chr6:30634005-30634016(-)::chr6:30634004-30634016(-) GAAAGGAACTGG >mm10_chr6:30674387-30674398(+)::chr6:30674386-30674398(+) ACCAGGAAGTAG >mm10_chr6:30675135-30675146(+)::chr6:30675134-30675146(+) AGCAGGAAGCGT >mm10_chr6:30693282-30693293(-)::chr6:30693281-30693293(-) ggaaggaggtga >mm10_chr6:30804948-30804959(+)::chr6:30804947-30804959(+) GGGAGGAAGGGT >mm10_chr6:30848679-30848690(+)::chr6:30848678-30848690(+) ACAAGGAAGCTA >mm10_chr6:30990965-30990976(-)::chr6:30990964-30990976(-) ATAAGGAAGTGT >mm10_chr6:31016941-31016952(-)::chr6:31016940-31016952(-) gggaggaaggga >mm10_chr6:31074528-31074539(+)::chr6:31074527-31074539(+) CAGAGGAAGTAG >mm10_chr6:31074582-31074593(+)::chr6:31074581-31074593(+) GTAAGGAACCGG >mm10_chr6:31079765-31079776(-)::chr6:31079764-31079776(-) AGGAGGAAGTAA >mm10_chr6:31085022-31085033(-)::chr6:31085021-31085033(-) TGGAGGAAGAAA >mm10_chr6:31085039-31085050(-)::chr6:31085038-31085050(-) AGAAGGAAGTAC >mm10_chr6:31100445-31100456(+)::chr6:31100444-31100456(+) TGCAGGAAGTAC >mm10_chr6:31106685-31106696(-)::chr6:31106684-31106696(-) AGGAGGAAGTGG >mm10_chr6:31115109-31115120(+)::chr6:31115108-31115120(+) ATCAGGAAGTGA >mm10_chr6:31133247-31133258(+)::chr6:31133246-31133258(+) ACGAGGAACGTT >mm10_chr6:31133262-31133273(+)::chr6:31133261-31133273(+) GGGAGGAACTAT >mm10_chr6:31133280-31133291(+)::chr6:31133279-31133291(+) AGGAGGAAATAA >mm10_chr6:31160207-31160218(-)::chr6:31160206-31160218(-) ATGAGGAAGGAC >mm10_chr6:31161343-31161354(+)::chr6:31161342-31161354(+) aggaggaagttc >mm10_chr6:31191737-31191748(-)::chr6:31191736-31191748(-) AACAGGAAGCGG >mm10_chr6:31197882-31197893(+)::chr6:31197881-31197893(+) CAAAGGAAGGAA >mm10_chr6:31197911-31197922(-)::chr6:31197910-31197922(-) GGGAGGAAGGGT >mm10_chr6:31208379-31208390(+)::chr6:31208378-31208390(+) AAAAGGAAGTGT >mm10_chr6:31221788-31221799(-)::chr6:31221787-31221799(-) AACAGGAAGTGA >mm10_chr6:31262285-31262296(-)::chr6:31262284-31262296(-) GGAAGGATGTCG >mm10_chr6:31268237-31268248(+)::chr6:31268236-31268248(+) ACCAGGAAGGAG >mm10_chr6:31268309-31268320(+)::chr6:31268308-31268320(+) GACAGGAAGGCA >mm10_chr6:31302084-31302095(-)::chr6:31302083-31302095(-) tcaaggaagcag >mm10_chr6:31313455-31313466(+)::chr6:31313454-31313466(+) atcagGAAGAAG >mm10_chr6:31313504-31313515(+)::chr6:31313503-31313515(+) agaaggaagagg >mm10_chr6:31313510-31313521(+)::chr6:31313509-31313521(+) aagaggaagaga >mm10_chr6:31313528-31313539(+)::chr6:31313527-31313539(+) aggaggaagagg >mm10_chr6:31318175-31318186(+)::chr6:31318174-31318186(+) AACAGGAAGGAG >mm10_chr6:31318184-31318195(+)::chr6:31318183-31318195(+) GAGAGGAAGGGA >mm10_chr6:31322022-31322033(+)::chr6:31322021-31322033(+) AAAAGGATGTTG >mm10_chr6:31346050-31346061(+)::chr6:31346049-31346061(+) CAAAGGAAGATA >mm10_chr6:31353669-31353680(-)::chr6:31353668-31353680(-) CAGAGGAAGTAG >mm10_chr6:31363837-31363848(-)::chr6:31363836-31363848(-) TACAGGAAGCAT >mm10_chr6:31367849-31367860(+)::chr6:31367848-31367860(+) ACAAGGAAGTTG >mm10_chr6:31388860-31388871(+)::chr6:31388859-31388871(+) ACCAGGAAATGT >mm10_chr6:31390625-31390636(-)::chr6:31390624-31390636(-) AAAAGGAAGGAG >mm10_chr6:31457160-31457171(-)::chr6:31457159-31457171(-) caaaggaagtta >mm10_chr6:31514005-31514016(+)::chr6:31514004-31514016(+) AAAAGGAAATAC >mm10_chr6:31544468-31544479(+)::chr6:31544467-31544479(+) TCCAGGAAGAGG >mm10_chr6:31551318-31551329(+)::chr6:31551317-31551329(+) AGAGGGAAGTCC >mm10_chr6:31555232-31555243(+)::chr6:31555231-31555243(+) ACCAGGAAGAAA >mm10_chr6:31569928-31569939(-)::chr6:31569927-31569939(-) TGGAGGAAATTA >mm10_chr6:31604540-31604551(-)::chr6:31604539-31604551(-) TGTAGGAAGTAT >mm10_chr6:31604559-31604570(+)::chr6:31604558-31604570(+) acaaggaagcaa >mm10_chr6:31604757-31604768(-)::chr6:31604756-31604768(-) AAGAGGAAGTTG >mm10_chr6:31613752-31613763(-)::chr6:31613751-31613763(-) ATGAGGAAGTGA >mm10_chr6:31613780-31613791(-)::chr6:31613779-31613791(-) GGAAGGCAGTGC >mm10_chr6:31624286-31624297(-)::chr6:31624285-31624297(-) AAAAGGAAGAAG >mm10_chr6:31624348-31624359(-)::chr6:31624347-31624359(-) ATGAGGAAGATA >mm10_chr6:31642715-31642726(+)::chr6:31642714-31642726(+) ATCAGGAAATGA >mm10_chr6:31645382-31645393(-)::chr6:31645381-31645393(-) GGGAGGAAGTAC >mm10_chr6:31691795-31691806(+)::chr6:31691794-31691806(+) TGCAGGAAGTGT >mm10_chr6:31700776-31700787(-)::chr6:31700775-31700787(-) AAAAGGATGTGA >mm10_chr6:31700804-31700815(-)::chr6:31700803-31700815(-) AGTAGGAAGTGT >mm10_chr6:31700811-31700822(-)::chr6:31700810-31700822(-) CTCAGGAAGTAG >mm10_chr6:31938417-31938428(-)::chr6:31938416-31938428(-) GCAAAGAAGTAA >mm10_chr6:32839546-32839557(+)::chr6:32839545-32839557(+) tcaaggaaatga >mm10_chr6:32839577-32839588(+)::chr6:32839576-32839588(+) tcgaggaagtag >mm10_chr6:32889813-32889824(+)::chr6:32889812-32889824(+) GGAAGGAACTTG >mm10_chr6:32905184-32905195(+)::chr6:32905183-32905195(+) TCAAGGAAGAGC >mm10_chr6:33042023-33042034(+)::chr6:33042022-33042034(+) ACCAGGAAATTG >mm10_chr6:33113528-33113539(-)::chr6:33113527-33113539(-) gacaggaaatga >mm10_chr6:33249040-33249051(-)::chr6:33249039-33249051(-) GCGCGGAAGTGA >mm10_chr6:33256845-33256856(+)::chr6:33256844-33256856(+) ACCAGGAAGTGA >mm10_chr6:33269004-33269015(+)::chr6:33269003-33269015(+) ATGAGGAAGAGA >mm10_chr6:33311143-33311154(+)::chr6:33311142-33311154(+) ATGAGGAAATGA >mm10_chr6:33311355-33311366(+)::chr6:33311354-33311366(+) GCCAGGAAGTGA >mm10_chr6:33349491-33349502(+)::chr6:33349490-33349502(+) AGCAGGAAATTG >mm10_chr6:33349517-33349528(+)::chr6:33349516-33349528(+) CCTAGGAAGTTA >mm10_chr6:33371284-33371295(-)::chr6:33371283-33371295(-) TCAAGGAAATAG >mm10_chr6:33371306-33371317(-)::chr6:33371305-33371317(-) AAGAGGAAGACA >mm10_chr6:33393421-33393432(-)::chr6:33393420-33393432(-) agcaggaagtgg >mm10_chr6:33393434-33393445(-)::chr6:33393433-33393445(-) accaggaagagc >mm10_chr6:33402691-33402702(-)::chr6:33402690-33402702(-) GTCAGGAAGAAC >mm10_chr6:33405740-33405751(-)::chr6:33405739-33405751(-) ACAAGGAAATGG >mm10_chr6:33501203-33501214(-)::chr6:33501202-33501214(-) AGGAGGAAATTG >mm10_chr6:33501245-33501256(-)::chr6:33501244-33501256(-) AAGAGGAAGAGG >mm10_chr6:33515487-33515498(-)::chr6:33515486-33515498(-) AAAAGGAAGTAG >mm10_chr6:33515515-33515526(-)::chr6:33515514-33515526(-) AGAAGGAAGCAA >mm10_chr6:33516957-33516968(-)::chr6:33516956-33516968(-) TAAAGGAAGCTC >mm10_chr6:33589841-33589852(+)::chr6:33589840-33589852(+) AGGAGGAAGAAT >mm10_chr6:33607038-33607049(+)::chr6:33607037-33607049(+) ACAAGGAAGATT >mm10_chr6:33609193-33609204(-)::chr6:33609192-33609204(-) AACAGGAAGGAA >mm10_chr6:33614872-33614883(+)::chr6:33614871-33614883(+) agaaggaagtgt >mm10_chr6:33823801-33823812(-)::chr6:33823800-33823812(-) GAGAGGAAGTAA >mm10_chr6:33892078-33892089(+)::chr6:33892077-33892089(+) atcaggaaatgc >mm10_chr6:33909980-33909991(+)::chr6:33909979-33909991(+) GCAAGGAAATGA >mm10_chr6:33909992-33910003(+)::chr6:33909991-33910003(+) CTAAGGAAGAAC >mm10_chr6:33913204-33913215(-)::chr6:33913203-33913215(-) ATAAGGAAGTGA >mm10_chr6:33913219-33913230(-)::chr6:33913218-33913230(-) AAAAGCAAGTGG >mm10_chr6:33980359-33980370(-)::chr6:33980358-33980370(-) ACAAGGAACGAG >mm10_chr6:33996323-33996334(-)::chr6:33996322-33996334(-) agcaggaagttg >mm10_chr6:33996561-33996572(+)::chr6:33996560-33996572(+) TAGAGGAAGATG >mm10_chr6:33996784-33996795(+)::chr6:33996783-33996795(+) agcaggaagaac >mm10_chr6:34025217-34025228(-)::chr6:34025216-34025228(-) GGAAGGAAGCTA >mm10_chr6:34154360-34154371(-)::chr6:34154359-34154371(-) CCAAGGAAGGGA >mm10_chr6:34154394-34154405(+)::chr6:34154393-34154405(+) GGGAGGAAGAGG >mm10_chr6:34176978-34176989(-)::chr6:34176977-34176989(-) CGGAGGAAGAGT >mm10_chr6:34293890-34293901(+)::chr6:34293889-34293901(+) TGCAGGAAGTAA >mm10_chr6:34334794-34334805(+)::chr6:34334793-34334805(+) aggaggaagtcg >mm10_chr6:34345155-34345166(+)::chr6:34345154-34345166(+) agcaggaagcta >mm10_chr6:34373727-34373738(+)::chr6:34373726-34373738(+) AGGAGGAAGTGT >mm10_chr6:34384236-34384247(+)::chr6:34384235-34384247(+) AGTAGGAAGAAG >mm10_chr6:34397247-34397258(-)::chr6:34397246-34397258(-) aagaggaagagg >mm10_chr6:34397253-34397264(-)::chr6:34397252-34397264(-) aggaggaagagg >mm10_chr6:34397265-34397276(-)::chr6:34397264-34397276(-) aggaggaagaaa >mm10_chr6:34407664-34407675(-)::chr6:34407663-34407675(-) GCAAGGAAGCTC >mm10_chr6:34407674-34407685(+)::chr6:34407673-34407685(+) GCAAGGAAATGT >mm10_chr6:34448216-34448227(+)::chr6:34448215-34448227(+) GCTAGGAAGTCA >mm10_chr6:34481424-34481435(-)::chr6:34481423-34481435(-) AAAAGTAAGTCA >mm10_chr6:34481430-34481441(-)::chr6:34481429-34481441(-) ACAAGGAAAAGT >mm10_chr6:34495226-34495237(-)::chr6:34495225-34495237(-) AACAGGAAGCAA >mm10_chr6:34549139-34549150(+)::chr6:34549138-34549150(+) AGGAGGAAGGGC >mm10_chr6:34599994-34600005(+)::chr6:34599993-34600005(+) CTGAGGAAGTGT >mm10_chr6:34608027-34608038(+)::chr6:34608026-34608038(+) TCCAGGAAGGAA >mm10_chr6:34608031-34608042(+)::chr6:34608030-34608042(+) GGAAGGAAGACA >mm10_chr6:34608770-34608781(+)::chr6:34608769-34608781(+) ATAAGGAAGCAG >mm10_chr6:34610584-34610595(-)::chr6:34610583-34610595(-) AGAAGGAAGGGG >mm10_chr6:34657499-34657510(-)::chr6:34657498-34657510(-) aggaggaaggca >mm10_chr6:34657523-34657534(-)::chr6:34657522-34657534(-) aagaggaagagt >mm10_chr6:34657562-34657573(-)::chr6:34657561-34657573(-) aagaggaagggg >mm10_chr6:34657568-34657579(-)::chr6:34657567-34657579(-) aagaggaagagg >mm10_chr6:34682229-34682240(-)::chr6:34682228-34682240(-) AGGGGGAAGTGA >mm10_chr6:34682255-34682266(+)::chr6:34682254-34682266(+) TGCAGGAAGAGG >mm10_chr6:34689187-34689198(-)::chr6:34689186-34689198(-) AAGAGGAAGGGA >mm10_chr6:34689193-34689204(-)::chr6:34689192-34689204(-) TAAAGGAAGAGG >mm10_chr6:34689216-34689227(-)::chr6:34689215-34689227(-) CAAAGGAAGCCA >mm10_chr6:34707681-34707692(-)::chr6:34707680-34707692(-) gccaggaaatga >mm10_chr6:34707736-34707747(-)::chr6:34707735-34707747(-) tggaggaaggag >mm10_chr6:34716679-34716690(-)::chr6:34716678-34716690(-) ATGAGGAAGTCT >mm10_chr6:34716718-34716729(+)::chr6:34716717-34716729(+) ACCAGGAAGGAA >mm10_chr6:34729893-34729904(-)::chr6:34729892-34729904(-) AAGAGGAAGGGC >mm10_chr6:34730286-34730297(-)::chr6:34730285-34730297(-) AGGAGGAAGCCA >mm10_chr6:34782752-34782763(+)::chr6:34782751-34782763(+) AGAAGGAAAGGA >mm10_chr6:34782757-34782768(+)::chr6:34782756-34782768(+) GAAAGGATGTGG >mm10_chr6:34782798-34782809(+)::chr6:34782797-34782809(+) aagaggaagagg >mm10_chr6:34810949-34810960(+)::chr6:34810948-34810960(+) agcaggaagcaa >mm10_chr6:34811003-34811014(-)::chr6:34811002-34811014(-) tagaggaagtgt >mm10_chr6:34866017-34866028(-)::chr6:34866016-34866028(-) GACAGGAAGGAG >mm10_chr6:34869647-34869658(+)::chr6:34869646-34869658(+) TGAAGGAAGTAT >mm10_chr6:35001287-35001298(+)::chr6:35001286-35001298(+) agcaggaagaga >mm10_chr6:35001311-35001322(+)::chr6:35001310-35001322(+) tccaggaaggta >mm10_chr6:35001342-35001353(-)::chr6:35001341-35001353(-) aagaggaaggga >mm10_chr6:35001348-35001359(-)::chr6:35001347-35001359(-) aagaggaagagg >mm10_chr6:35001354-35001365(-)::chr6:35001353-35001365(-) aagaggaagagg >mm10_chr6:35001360-35001371(-)::chr6:35001359-35001371(-) aggaggaagagg >mm10_chr6:35113628-35113639(+)::chr6:35113627-35113639(+) GGAAGGAAGCAG >mm10_chr6:35113673-35113684(-)::chr6:35113672-35113684(-) gagaggaagtta >mm10_chr6:35126440-35126451(+)::chr6:35126439-35126451(+) CTGAGGAAGTGT >mm10_chr6:35133950-35133961(-)::chr6:35133949-35133961(-) AAGAGGAAGCAA >mm10_chr6:35182193-35182204(-)::chr6:35182192-35182204(-) ACCAGGAAGCAC >mm10_chr6:35193634-35193645(+)::chr6:35193633-35193645(+) agcaggaagata >mm10_chr6:35236319-35236330(+)::chr6:35236318-35236330(+) AGAAGGAAGTTT >mm10_chr6:35402517-35402528(-)::chr6:35402516-35402528(-) ACCAGGAAGAGA >mm10_chr6:35411874-35411885(+)::chr6:35411873-35411885(+) ACCAGGAAGCTG >mm10_chr6:35414116-35414127(+)::chr6:35414115-35414127(+) accaggaaggac >mm10_chr6:35425295-35425306(-)::chr6:35425294-35425306(-) ACAGGGAAGTTG >mm10_chr6:35506799-35506810(+)::chr6:35506798-35506810(+) ACCAGGAAGAGG >mm10_chr6:35539184-35539195(+)::chr6:35539183-35539195(+) TTCAGGAAATCG >mm10_chr6:35539811-35539822(-)::chr6:35539810-35539822(-) AACCGGAAGTGT >mm10_chr6:35539846-35539857(-)::chr6:35539845-35539857(-) AAGAGGAAGTGG >mm10_chr6:35539852-35539863(-)::chr6:35539851-35539863(-) AAGAGGAAGAGG >mm10_chr6:35539858-35539869(-)::chr6:35539857-35539869(-) GAGAGGAAGAGG >mm10_chr6:35754923-35754934(+)::chr6:35754922-35754934(+) ataaggaagtgg >mm10_chr6:35754940-35754951(+)::chr6:35754939-35754951(+) gtaaggaactga >mm10_chr6:35796747-35796758(+)::chr6:35796746-35796758(+) TGCAGGAAGTAG >mm10_chr6:35977829-35977840(+)::chr6:35977828-35977840(+) AACAGGAAGTCA >mm10_chr6:35977872-35977883(+)::chr6:35977871-35977883(+) AAGAGGAAGTAG >mm10_chr6:36509410-36509421(-)::chr6:36509409-36509421(-) AGCAGGAAGAGA >mm10_chr6:36650296-36650307(-)::chr6:36650295-36650307(-) TGAAGGCAGTAG >mm10_chr6:36819522-36819533(-)::chr6:36819521-36819533(-) AACAGGAAGAGA >mm10_chr6:36842513-36842524(-)::chr6:36842512-36842524(-) TCCAGGAAGTTC >mm10_chr6:36898599-36898610(+)::chr6:36898598-36898610(+) ATGAGGAAGTTA >mm10_chr6:36963666-36963677(-)::chr6:36963665-36963677(-) tacaggaagtta >mm10_chr6:36964504-36964515(-)::chr6:36964503-36964515(-) aagaggaaatat >mm10_chr6:37081222-37081233(-)::chr6:37081221-37081233(-) acaaggaaagta >mm10_chr6:37135486-37135497(+)::chr6:37135485-37135497(+) tgcaggaaggaa >mm10_chr6:37150254-37150265(-)::chr6:37150253-37150265(-) CTGAGGAAGTGT >mm10_chr6:37186098-37186109(+)::chr6:37186097-37186109(+) TTAAGGAAGTAA >mm10_chr6:37204027-37204038(-)::chr6:37204026-37204038(-) ATGAGGAAGCCG >mm10_chr6:37209122-37209133(+)::chr6:37209121-37209133(+) aggaggaagatg >mm10_chr6:37209151-37209162(+)::chr6:37209150-37209162(+) aggaggaagtgg >mm10_chr6:37213088-37213099(-)::chr6:37213087-37213099(-) AAGAGGAAGTGG >mm10_chr6:37258740-37258751(-)::chr6:37258739-37258751(-) CATAGGAAGTTG >mm10_chr6:37304144-37304155(+)::chr6:37304143-37304155(+) ATGAGGAAGGAG >mm10_chr6:37304165-37304176(+)::chr6:37304164-37304176(+) aggaggaaggga >mm10_chr6:37330266-37330277(-)::chr6:37330265-37330277(-) AGAAGGAAGTGT >mm10_chr6:37330273-37330284(-)::chr6:37330272-37330284(-) AGGAGGAAGAAG >mm10_chr6:37352236-37352247(+)::chr6:37352235-37352247(+) TGGAGGAAGTTC >mm10_chr6:37366495-37366506(+)::chr6:37366494-37366506(+) AACAGGAAGATG >mm10_chr6:37413933-37413944(+)::chr6:37413932-37413944(+) accaggaagctg >mm10_chr6:37431553-37431564(+)::chr6:37431552-37431564(+) AGCAGGAAGCAA >mm10_chr6:37431561-37431572(+)::chr6:37431560-37431572(+) GCAAGGAAGGCC >mm10_chr6:37434929-37434940(-)::chr6:37434928-37434940(-) tgaaggaagtca >mm10_chr6:37434954-37434965(+)::chr6:37434953-37434965(+) ataaggaagcca >mm10_chr6:37451827-37451838(+)::chr6:37451826-37451838(+) gagaggaagttc >mm10_chr6:37480672-37480683(-)::chr6:37480671-37480683(-) AGGAGGAAGGGA >mm10_chr6:37592711-37592722(-)::chr6:37592710-37592722(-) AGCAGGATGTAG >mm10_chr6:37592736-37592747(+)::chr6:37592735-37592747(+) ATAAGGAAAAAT >mm10_chr6:37603090-37603101(+)::chr6:37603089-37603101(+) aacaggaaggat >mm10_chr6:37607528-37607539(+)::chr6:37607527-37607539(+) ACAAGGAAAGAT >mm10_chr6:37607572-37607583(+)::chr6:37607571-37607583(+) ATAAGGAAGCCA >mm10_chr6:37617562-37617573(-)::chr6:37617561-37617573(-) ATAAGGAACTGA >mm10_chr6:37634086-37634097(+)::chr6:37634085-37634097(+) accaggaaatca >mm10_chr6:37634136-37634147(-)::chr6:37634135-37634147(-) tgtaggaagtgt >mm10_chr6:37653963-37653974(+)::chr6:37653962-37653974(+) TTAAGGAACTGA >mm10_chr6:37681589-37681600(+)::chr6:37681588-37681600(+) GTAAGGAAGAGG >mm10_chr6:37714327-37714338(+)::chr6:37714326-37714338(+) GAGAGGAAGGGG >mm10_chr6:37723645-37723656(-)::chr6:37723644-37723656(-) CGAAGGCAGTCA >mm10_chr6:37745003-37745014(+)::chr6:37745002-37745014(+) agaaggaaggag >mm10_chr6:37745022-37745033(+)::chr6:37745021-37745033(+) aagaggaagagg >mm10_chr6:37745028-37745039(+)::chr6:37745027-37745039(+) aagaggaaggaa >mm10_chr6:37745061-37745072(+)::chr6:37745060-37745072(+) ggcaggaaggga >mm10_chr6:37748351-37748362(-)::chr6:37748350-37748362(-) TAAAGGAAGAAA >mm10_chr6:37794460-37794471(-)::chr6:37794459-37794471(-) cccaggaagtaa >mm10_chr6:37871695-37871706(+)::chr6:37871694-37871706(+) GGGAGGAAGGGA >mm10_chr6:38032357-38032368(+)::chr6:38032356-38032368(+) TACAGGAAGAGA >mm10_chr6:38032421-38032432(-)::chr6:38032420-38032432(-) gagaggaaggaa >mm10_chr6:38032433-38032444(-)::chr6:38032432-38032444(-) atcaggaagcag >mm10_chr6:38118537-38118548(+)::chr6:38118536-38118548(+) aacaggaagtca >mm10_chr6:38118728-38118739(-)::chr6:38118727-38118739(-) ctaaggaagacc >mm10_chr6:38171018-38171029(+)::chr6:38171017-38171029(+) GGGAGGAAGGAC >mm10_chr6:38171062-38171073(+)::chr6:38171061-38171073(+) TAAAGGAAGGAA >mm10_chr6:38219274-38219285(-)::chr6:38219273-38219285(-) ACAAGGTAGTGA >mm10_chr6:38244355-38244366(+)::chr6:38244354-38244366(+) tgtaggaaggga >mm10_chr6:38244360-38244371(+)::chr6:38244359-38244371(+) gaagggaagtaa >mm10_chr6:38346037-38346048(+)::chr6:38346036-38346048(+) AGCAGGAAGGAG >mm10_chr6:38352107-38352118(-)::chr6:38352106-38352118(-) GAAGGGAAGTGG >mm10_chr6:38352112-38352123(-)::chr6:38352111-38352123(-) AGAAGGAAGGGA >mm10_chr6:38352173-38352184(-)::chr6:38352172-38352184(-) TACAGGAAGTTG >mm10_chr6:38354537-38354548(+)::chr6:38354536-38354548(+) ACCAGGAAGAGA >mm10_chr6:38354545-38354556(+)::chr6:38354544-38354556(+) GAGAGGAAGTAA >mm10_chr6:38354553-38354564(+)::chr6:38354552-38354564(+) GTAAGGACGTTC >mm10_chr6:38358177-38358188(+)::chr6:38358176-38358188(+) AGAAGGAAGAAC >mm10_chr6:38418352-38418363(+)::chr6:38418351-38418363(+) AGAGGGAAGTAA >mm10_chr6:38418364-38418375(+)::chr6:38418363-38418375(+) ACAAGGAAGATT >mm10_chr6:38426947-38426958(-)::chr6:38426946-38426958(-) ATAAGGAAATGG >mm10_chr6:38433716-38433727(+)::chr6:38433715-38433727(+) AGGAGGAAATCA >mm10_chr6:38533884-38533895(+)::chr6:38533883-38533895(+) AACAGGAAATAA >mm10_chr6:38550688-38550699(-)::chr6:38550687-38550699(-) AACGGGAAATAC >mm10_chr6:38551125-38551136(-)::chr6:38551124-38551136(-) CGCAGTAAGTAG >mm10_chr6:38700942-38700953(+)::chr6:38700941-38700953(+) TTAAGGAAGCAG >mm10_chr6:38720903-38720914(+)::chr6:38720902-38720914(+) ATGAGGAAGCCG >mm10_chr6:38721807-38721818(-)::chr6:38721806-38721818(-) ATAAGGAAACCA >mm10_chr6:38742091-38742102(-)::chr6:38742090-38742102(-) GGAAGGAAGAAA >mm10_chr6:38742095-38742106(-)::chr6:38742094-38742106(-) AAAAGGAAGGAA >mm10_chr6:38742114-38742125(-)::chr6:38742113-38742125(-) TACAGGAAGTGA >mm10_chr6:38785985-38785996(-)::chr6:38785984-38785996(-) GAAAGGAAGGGG >mm10_chr6:38786030-38786041(+)::chr6:38786029-38786041(+) ACCAGGAAGAAA >mm10_chr6:38807254-38807265(-)::chr6:38807253-38807265(-) CAGAGGAAGTAC >mm10_chr6:38807306-38807317(+)::chr6:38807305-38807317(+) TCCAGGAAGTCT >mm10_chr6:38849647-38849658(+)::chr6:38849646-38849658(+) ATGAGGAAATAG >mm10_chr6:38859324-38859335(+)::chr6:38859323-38859335(+) ACAAGGAAGTTC >mm10_chr6:38938643-38938654(+)::chr6:38938642-38938654(+) AGGAGGAAATGT >mm10_chr6:38938683-38938694(-)::chr6:38938682-38938694(-) TTGAGGAAGTTA >mm10_chr6:38941140-38941151(-)::chr6:38941139-38941151(-) GGAAGGAAGGCC >mm10_chr6:38941144-38941155(-)::chr6:38941143-38941155(-) TGCAGGAAGGAA >mm10_chr6:38941156-38941167(-)::chr6:38941155-38941167(-) AGAGGGAAGAAA >mm10_chr6:38964065-38964076(-)::chr6:38964064-38964076(-) aagaggaagaaa >mm10_chr6:38975094-38975105(+)::chr6:38975093-38975105(+) GGCAGGAAGCAA >mm10_chr6:38982819-38982830(+)::chr6:38982818-38982830(+) atagggaaatcg >mm10_chr6:38982853-38982864(+)::chr6:38982852-38982864(+) agcaggaagcag >mm10_chr6:38982871-38982882(+)::chr6:38982870-38982882(+) agcaggaagtga >mm10_chr6:39073788-39073799(-)::chr6:39073787-39073799(-) aggaggaagagg >mm10_chr6:39136103-39136114(-)::chr6:39136102-39136114(-) TCAAGGAAGAAA >mm10_chr6:39226593-39226604(-)::chr6:39226592-39226604(-) TGTAGGAAGAAA >mm10_chr6:39271587-39271598(+)::chr6:39271586-39271598(+) tgaaggaagtgc >mm10_chr6:39278937-39278948(-)::chr6:39278936-39278948(-) GGAAGGAAGGCT >mm10_chr6:39278941-39278952(-)::chr6:39278940-39278952(-) AGAAGGAAGGAA >mm10_chr6:39320355-39320366(+)::chr6:39320354-39320366(+) ATGAGGAAGCAG >mm10_chr6:39372835-39372846(-)::chr6:39372834-39372846(-) GTAAGGAAGTGT >mm10_chr6:39425408-39425419(-)::chr6:39425407-39425419(-) ACAAGGAAGGCC >mm10_chr6:39574959-39574970(-)::chr6:39574958-39574970(-) ACAAGGAAATAC >mm10_chr6:39574971-39574982(+)::chr6:39574970-39574982(+) TGGAGGAAGACA >mm10_chr6:39687469-39687480(+)::chr6:39687468-39687480(+) AGAAGGAAACTA >mm10_chr6:39851542-39851553(+)::chr6:39851541-39851553(+) ttaaggaaggaa >mm10_chr6:39851546-39851557(+)::chr6:39851545-39851557(+) ggaaggaagtgc >mm10_chr6:40329194-40329205(-)::chr6:40329193-40329205(-) AGGAGGAAGTAA >mm10_chr6:40329213-40329224(-)::chr6:40329212-40329224(-) AGAAGGAAGGAG >mm10_chr6:40341966-40341977(-)::chr6:40341965-40341977(-) ACAAGGAAGAAA >mm10_chr6:40457896-40457907(-)::chr6:40457895-40457907(-) AGAGGGAAGATA >mm10_chr6:40511008-40511019(+)::chr6:40511007-40511019(+) ttaaggaagttg >mm10_chr6:40511058-40511069(+)::chr6:40511057-40511069(+) agcaggaaataa >mm10_chr6:40584477-40584488(+)::chr6:40584476-40584488(+) TGAAGGCAGTAA >mm10_chr6:40635622-40635633(-)::chr6:40635621-40635633(-) ACCAGGAAGAAG >mm10_chr6:40635927-40635938(+)::chr6:40635926-40635938(+) TGAAGGAAGTGG >mm10_chr6:40683049-40683060(-)::chr6:40683048-40683060(-) TACAGGAAGTAA >mm10_chr6:40689351-40689362(-)::chr6:40689350-40689362(-) AGAAGGAAATAC >mm10_chr6:40745446-40745457(+)::chr6:40745445-40745457(+) ATAAGGAAGCAA >mm10_chr6:40745478-40745489(+)::chr6:40745477-40745489(+) AGGAGGAAGTCT >mm10_chr6:40750663-40750674(+)::chr6:40750662-40750674(+) GCCAGGAAATTA >mm10_chr6:40768747-40768758(+)::chr6:40768746-40768758(+) TGGAGGAAGAAG >mm10_chr6:40768754-40768765(+)::chr6:40768753-40768765(+) AGAAGGAAAAGA >mm10_chr6:40768774-40768785(+)::chr6:40768773-40768785(+) AGAAGGAAGCAG >mm10_chr6:41129024-41129035(-)::chr6:41129023-41129035(-) CAAAGGAAGTCA >mm10_chr6:41263671-41263682(+)::chr6:41263670-41263682(+) AGTAGGAAGTAG >mm10_chr6:41263684-41263695(-)::chr6:41263683-41263695(-) AGGAGGAAGTTG >mm10_chr6:41519967-41519978(+)::chr6:41519966-41519978(+) GCCAGGAAGACA >mm10_chr6:41590224-41590235(+)::chr6:41590223-41590235(+) AAAAGGAAGTTG >mm10_chr6:41654659-41654670(-)::chr6:41654658-41654670(-) ACAAGGTAGTGG >mm10_chr6:41809102-41809113(+)::chr6:41809101-41809113(+) AGAGGGAAGTGA >mm10_chr6:41829672-41829683(+)::chr6:41829671-41829683(+) ACTAGGAAGAAC >mm10_chr6:41852733-41852744(+)::chr6:41852732-41852744(+) ACCAGGAAGGAA >mm10_chr6:41911883-41911894(+)::chr6:41911882-41911894(+) tggaggaaggaa >mm10_chr6:41949418-41949429(+)::chr6:41949417-41949429(+) ACCAGGAAGGAA >mm10_chr6:41949422-41949433(+)::chr6:41949421-41949433(+) GGAAGGAACATA >mm10_chr6:41949431-41949442(-)::chr6:41949430-41949442(-) AGAAGGAGGTAT >mm10_chr6:41955605-41955616(+)::chr6:41955604-41955616(+) AGCAGGAAGAAA >mm10_chr6:41955617-41955628(+)::chr6:41955616-41955628(+) TGGAGGAAATGA >mm10_chr6:42077782-42077793(+)::chr6:42077781-42077793(+) TCAAGGAAGTAA >mm10_chr6:42078866-42078877(+)::chr6:42078865-42078877(+) TCAAGGAAATAA >mm10_chr6:42118928-42118939(-)::chr6:42118927-42118939(-) ataaggaaatgt >mm10_chr6:42118952-42118963(-)::chr6:42118951-42118963(-) ataaggaaaagg >mm10_chr6:42152845-42152856(-)::chr6:42152844-42152856(-) ACAACGAAGTAG >mm10_chr6:42188536-42188547(-)::chr6:42188535-42188547(-) gctaggaagttc >mm10_chr6:42188548-42188559(+)::chr6:42188547-42188559(+) taaaggaagggc >mm10_chr6:42188573-42188584(-)::chr6:42188572-42188584(-) agaaggatgttc >mm10_chr6:42188608-42188619(+)::chr6:42188607-42188619(+) aacaggaagcat >mm10_chr6:42191377-42191388(+)::chr6:42191376-42191388(+) aacaggaaggtt >mm10_chr6:42249304-42249315(-)::chr6:42249303-42249315(-) GGAAGGAAATCC >mm10_chr6:42353583-42353594(+)::chr6:42353582-42353594(+) AGCAGGAAGTGA >mm10_chr6:42354140-42354151(+)::chr6:42354139-42354151(+) GGGAGGAAGCAC >mm10_chr6:42354190-42354201(+)::chr6:42354189-42354201(+) TGAGGGAAGTTA >mm10_chr6:42380927-42380938(+)::chr6:42380926-42380938(+) TTGAGGAAGTGC >mm10_chr6:42382225-42382236(+)::chr6:42382224-42382236(+) TCAAGGAAGAGG >mm10_chr6:42392116-42392127(+)::chr6:42392115-42392127(+) gcaaggaactca >mm10_chr6:42392151-42392162(-)::chr6:42392150-42392162(-) ttaaggaaggaa >mm10_chr6:42396609-42396620(+)::chr6:42396608-42396620(+) ATCAGGAAGTTG >mm10_chr6:42568339-42568350(-)::chr6:42568338-42568350(-) TACAGGAAGCAA >mm10_chr6:42568392-42568403(-)::chr6:42568391-42568403(-) AGAAGGAGGTGG >mm10_chr6:42693107-42693118(-)::chr6:42693106-42693118(-) GGGAGGAAGTGG >mm10_chr6:42709659-42709670(+)::chr6:42709658-42709670(+) ATAAGGAAATGG >mm10_chr6:43262735-43262746(-)::chr6:43262734-43262746(-) TGCAGGAAGCGT >mm10_chr6:43265583-43265594(-)::chr6:43265582-43265594(-) CCAAGGAAGTTT >mm10_chr6:43266653-43266664(-)::chr6:43266652-43266664(-) GGAAGGAAGCTG >mm10_chr6:43266657-43266668(-)::chr6:43266656-43266668(-) GGGAGGAAGGAA >mm10_chr6:43272702-43272713(+)::chr6:43272701-43272713(+) TCCAGGAAGAAT >mm10_chr6:43272970-43272981(-)::chr6:43272969-43272981(-) TGAAGGAAGCCT >mm10_chr6:43273017-43273028(+)::chr6:43273016-43273028(+) GACAGGAAGTAG >mm10_chr6:43273035-43273046(+)::chr6:43273034-43273046(+) AGGAGGAAGGAA >mm10_chr6:43292440-43292451(-)::chr6:43292439-43292451(-) ACAAGGAAGGAA >mm10_chr6:43292657-43292668(+)::chr6:43292656-43292668(+) ATAGGGAAGGGC >mm10_chr6:43304029-43304040(+)::chr6:43304028-43304040(+) AAGAGGAAGTCT >mm10_chr6:43349274-43349285(-)::chr6:43349273-43349285(-) tccaggaagttc >mm10_chr6:43349312-43349323(+)::chr6:43349311-43349323(+) tggaggaagagg >mm10_chr6:43475948-43475959(-)::chr6:43475947-43475959(-) tgagggaagtaa >mm10_chr6:43537626-43537637(+)::chr6:43537625-43537637(+) AGCAGGAAGAAA >mm10_chr6:43542102-43542113(-)::chr6:43542101-43542113(-) GCAAGGAACTGC >mm10_chr6:43633621-43633632(-)::chr6:43633620-43633632(-) AAAAGGTAGTGA >mm10_chr6:43656601-43656612(-)::chr6:43656600-43656612(-) agaaggaaagac >mm10_chr6:43656655-43656666(-)::chr6:43656654-43656666(-) agaaggaagaaa >mm10_chr6:43656662-43656673(-)::chr6:43656661-43656673(-) aggaggaagaag >mm10_chr6:43682742-43682753(-)::chr6:43682741-43682753(-) tggaggaagtgg >mm10_chr6:44319864-44319875(+)::chr6:44319863-44319875(+) GTAAGGAAGTAG >mm10_chr6:44319941-44319952(+)::chr6:44319940-44319952(+) gacaggaaatgt >mm10_chr6:45167736-45167747(-)::chr6:45167735-45167747(-) tgaaggaagtca >mm10_chr6:45544275-45544286(+)::chr6:45544274-45544286(+) GCCAGGAAGCAT >mm10_chr6:45758346-45758357(-)::chr6:45758345-45758357(-) ATAAGGAAAGCA >mm10_chr6:45949876-45949887(-)::chr6:45949875-45949887(-) AGCAGGAAGATG >mm10_chr6:46220473-46220484(+)::chr6:46220472-46220484(+) accaggaagaac >mm10_chr6:46231281-46231292(-)::chr6:46231280-46231292(-) acaaggaaatat >mm10_chr6:46231305-46231316(-)::chr6:46231304-46231316(-) accaggaaatgt >mm10_chr6:46231338-46231349(-)::chr6:46231337-46231349(-) atgaggaaattg >mm10_chr6:46267049-46267060(-)::chr6:46267048-46267060(-) AGGAGGAAGACA >mm10_chr6:46410369-46410380(-)::chr6:46410368-46410380(-) GAGAGGAAGAGG >mm10_chr6:46570196-46570207(-)::chr6:46570195-46570207(-) ACTAGGAAGTAC >mm10_chr6:46949043-46949054(-)::chr6:46949042-46949054(-) GGAAGAAAGTGA >mm10_chr6:47273085-47273096(+)::chr6:47273084-47273096(+) AAAAGGAAGAGG >mm10_chr6:47307900-47307911(-)::chr6:47307899-47307911(-) AAGAGGAAGTTG >mm10_chr6:47310794-47310805(+)::chr6:47310793-47310805(+) ATAAGGAAGTAC >mm10_chr6:47329424-47329435(-)::chr6:47329423-47329435(-) TAGAGGAAATGA >mm10_chr6:47375888-47375899(-)::chr6:47375887-47375899(-) CATAGGAAGTCT >mm10_chr6:47376183-47376194(+)::chr6:47376182-47376194(+) ataaggaagtaa >mm10_chr6:47376229-47376240(+)::chr6:47376228-47376240(+) ataaggaagtag >mm10_chr6:47390662-47390673(+)::chr6:47390661-47390673(+) AGAAAGAAGTGG >mm10_chr6:47391592-47391603(-)::chr6:47391591-47391603(-) TGAAGGAACCGA >mm10_chr6:47471850-47471861(+)::chr6:47471849-47471861(+) agaaggaaggaa >mm10_chr6:47498920-47498931(-)::chr6:47498919-47498931(-) AAAAGGAAATCT >mm10_chr6:47580429-47580440(+)::chr6:47580428-47580440(+) agaaagaagTAT >mm10_chr6:47582165-47582176(+)::chr6:47582164-47582176(+) accaggaagtgg >mm10_chr6:47583611-47583622(+)::chr6:47583610-47583622(+) cacaggaagtat >mm10_chr6:47583659-47583670(+)::chr6:47583658-47583670(+) aagaggaagtgc >mm10_chr6:47594112-47594123(+)::chr6:47594111-47594123(+) ATAATGAAGTGA >mm10_chr6:47594125-47594136(+)::chr6:47594124-47594136(+) AGAAGGAAACAC >mm10_chr6:47613574-47613585(-)::chr6:47613573-47613585(-) CGGAGGAAATTG >mm10_chr6:47642645-47642656(-)::chr6:47642644-47642656(-) aggaggaagaaa >mm10_chr6:47813756-47813767(+)::chr6:47813755-47813767(+) GGCAGGAAGAAC >mm10_chr6:47813781-47813792(+)::chr6:47813780-47813792(+) TCAAGGAAATGG >mm10_chr6:47882402-47882413(-)::chr6:47882401-47882413(-) TGAAGGAAGAGC >mm10_chr6:47882420-47882431(-)::chr6:47882419-47882431(-) ACACGGAAGAGT >mm10_chr6:47888948-47888959(+)::chr6:47888947-47888959(+) GGAAGGAAGCTT >mm10_chr6:47888970-47888981(+)::chr6:47888969-47888981(+) AGAGGGAAGTTC >mm10_chr6:47913296-47913307(-)::chr6:47913295-47913307(-) AGAGGGAAGTTT >mm10_chr6:47954021-47954032(-)::chr6:47954020-47954032(-) GCGAGGAAGTAT >mm10_chr6:47984033-47984044(+)::chr6:47984032-47984044(+) ACGAGGAAGTGG >mm10_chr6:48053626-48053637(-)::chr6:48053625-48053637(-) gggaggaagagg >mm10_chr6:48053657-48053668(-)::chr6:48053656-48053668(-) agaaggaagatg >mm10_chr6:48282362-48282373(+)::chr6:48282361-48282373(+) gagaggaagtgt >mm10_chr6:48376624-48376635(+)::chr6:48376623-48376635(+) ACCAGGAAGGAG >mm10_chr6:48376631-48376642(+)::chr6:48376630-48376642(+) AGGAGGAAGAGC >mm10_chr6:48376650-48376661(+)::chr6:48376649-48376661(+) TTAAGGAAGGAC >mm10_chr6:48382886-48382897(+)::chr6:48382885-48382897(+) acaaggaagtgt >mm10_chr6:48426577-48426588(-)::chr6:48426576-48426588(-) AGCAGGAAGAAT >mm10_chr6:48429161-48429172(+)::chr6:48429160-48429172(+) ACCAGGAAGGTC >mm10_chr6:48440946-48440957(-)::chr6:48440945-48440957(-) GGCAGGAAGCAG >mm10_chr6:48445732-48445743(+)::chr6:48445731-48445743(+) CCGAGGAAGGGC >mm10_chr6:48445750-48445761(+)::chr6:48445749-48445761(+) GAGAGGAAGGAG >mm10_chr6:48463247-48463258(-)::chr6:48463246-48463258(-) AGCAGGAAGACA >mm10_chr6:48463274-48463285(-)::chr6:48463273-48463285(-) GCTAGGAAGTAC >mm10_chr6:48504796-48504807(-)::chr6:48504795-48504807(-) AAGAGGAAGGAA >mm10_chr6:48569565-48569576(-)::chr6:48569564-48569576(-) ACCAGGAAGCAG >mm10_chr6:48569581-48569592(+)::chr6:48569580-48569592(+) GGCAGGAAGTGT >mm10_chr6:48747401-48747412(+)::chr6:48747400-48747412(+) ACAAGGAAGACA >mm10_chr6:48747426-48747437(-)::chr6:48747425-48747437(-) GTCAGGAAGTTG >mm10_chr6:48757163-48757174(+)::chr6:48757162-48757174(+) agaaggaaaatg >mm10_chr6:48757207-48757218(+)::chr6:48757206-48757218(+) atgaggaaatca >mm10_chr6:48763739-48763750(-)::chr6:48763738-48763750(-) tggaggaagaca >mm10_chr6:48772117-48772128(+)::chr6:48772116-48772128(+) GAAAGGAAGCCA >mm10_chr6:48786204-48786215(+)::chr6:48786203-48786215(+) aggaggaaggct >mm10_chr6:48786227-48786238(-)::chr6:48786226-48786238(-) agagggaagtgt >mm10_chr6:48803539-48803550(+)::chr6:48803538-48803550(+) tgcaggaaatgc >mm10_chr6:48816471-48816482(-)::chr6:48816470-48816482(-) AGCAGGAAGAGG >mm10_chr6:48828096-48828107(-)::chr6:48828095-48828107(-) aagaggaagagg >mm10_chr6:48840561-48840572(+)::chr6:48840560-48840572(+) AGCAGGAACTAA >mm10_chr6:48840868-48840879(+)::chr6:48840867-48840879(+) AGAAGGAAGGAG >mm10_chr6:48841434-48841445(+)::chr6:48841433-48841445(+) GCCAGGAAGTGT >mm10_chr6:48841488-48841499(-)::chr6:48841487-48841499(-) AGGAGGAAGAAC >mm10_chr6:48872917-48872928(-)::chr6:48872916-48872928(-) ACAAGGAAGCAA >mm10_chr6:48939437-48939448(-)::chr6:48939436-48939448(-) GGAAGGAAGACC >mm10_chr6:48939479-48939490(-)::chr6:48939478-48939490(-) AGCAGGAAGCCC >mm10_chr6:48939590-48939601(-)::chr6:48939589-48939601(-) TTAAGGAAATGG >mm10_chr6:49003031-49003042(+)::chr6:49003030-49003042(+) AGAAGGAAGCCA >mm10_chr6:49012511-49012522(-)::chr6:49012510-49012522(-) aggaggaagagg >mm10_chr6:49012539-49012550(-)::chr6:49012538-49012550(-) ataaggaagagg >mm10_chr6:49037906-49037917(-)::chr6:49037905-49037917(-) GGCAGGAAGAAC >mm10_chr6:49064128-49064139(+)::chr6:49064127-49064139(+) agaaggaagtga >mm10_chr6:49097946-49097957(-)::chr6:49097945-49097957(-) GTAGGGAAGTGA >mm10_chr6:49163324-49163335(+)::chr6:49163323-49163335(+) AGCAGGAAGTTC >mm10_chr6:49228245-49228256(+)::chr6:49228244-49228256(+) ACAGGGAAGTAA >mm10_chr6:49241950-49241961(-)::chr6:49241949-49241961(-) ggaaGGAAGGAA >mm10_chr6:49241954-49241965(-)::chr6:49241953-49241965(-) gaaaggaaGGAA >mm10_chr6:49241963-49241974(-)::chr6:49241962-49241974(-) accaggaaggaa >mm10_chr6:49285274-49285285(-)::chr6:49285273-49285285(-) ATCAGGAAGTCC >mm10_chr6:49285285-49285296(-)::chr6:49285284-49285296(-) ACCAGGAAGTCA >mm10_chr6:49366621-49366632(-)::chr6:49366620-49366632(-) TTAAGGAAGAAC >mm10_chr6:49366946-49366957(-)::chr6:49366945-49366957(-) tagaggaagaca >mm10_chr6:49387288-49387299(-)::chr6:49387287-49387299(-) gagaggaagaag >mm10_chr6:49387297-49387308(-)::chr6:49387296-49387308(-) aagaggaaggag >mm10_chr6:49387303-49387314(-)::chr6:49387302-49387314(-) aagaggaagagg >mm10_chr6:49387309-49387320(-)::chr6:49387308-49387320(-) aagaggaagagg >mm10_chr6:49387315-49387326(-)::chr6:49387314-49387326(-) aagaggaagagg >mm10_chr6:49387327-49387338(-)::chr6:49387326-49387338(-) aggaggaagaag >mm10_chr6:49557739-49557750(+)::chr6:49557738-49557750(+) AGCAGGAAGTAA >mm10_chr6:49557777-49557788(+)::chr6:49557776-49557788(+) ACAAGGAAGAAA >mm10_chr6:49583648-49583659(-)::chr6:49583647-49583659(-) ATCAGGAAGAGA >mm10_chr6:49583680-49583691(-)::chr6:49583679-49583691(-) AGAAGGAAGAAG >mm10_chr6:49583687-49583698(-)::chr6:49583686-49583698(-) AGGAGGAAGAAG >mm10_chr6:49599598-49599609(+)::chr6:49599597-49599609(+) CCAAGGAAGCAC >mm10_chr6:49599640-49599651(+)::chr6:49599639-49599651(+) AGAAGGAAGAGG >mm10_chr6:49600423-49600434(-)::chr6:49600422-49600434(-) aggaggaagttg >mm10_chr6:49600430-49600441(-)::chr6:49600429-49600441(-) agcaggaaggag >mm10_chr6:49670763-49670774(+)::chr6:49670762-49670774(+) GAGAGGAAGGGA >mm10_chr6:49692330-49692341(-)::chr6:49692329-49692341(-) gggaggaaggga >mm10_chr6:49716722-49716733(+)::chr6:49716721-49716733(+) ATAAGGAAGGAA >mm10_chr6:49716726-49716737(+)::chr6:49716725-49716737(+) GGAAGGAAGACA >mm10_chr6:50104195-50104206(-)::chr6:50104194-50104206(-) GTGAGGAAGTGA >mm10_chr6:50104212-50104223(+)::chr6:50104211-50104223(+) AACAGGAAGTAT >mm10_chr6:50104387-50104398(-)::chr6:50104386-50104398(-) tacaggaagacc >mm10_chr6:50104444-50104455(+)::chr6:50104443-50104455(+) AGAAGGAACGCT >mm10_chr6:50108003-50108014(+)::chr6:50108002-50108014(+) TGAAGGAAGAGG >mm10_chr6:50108042-50108053(+)::chr6:50108041-50108053(+) AGAAGGAAGAGG >mm10_chr6:50114371-50114382(-)::chr6:50114370-50114382(-) AGGAGGAAGGGG >mm10_chr6:50133332-50133343(+)::chr6:50133331-50133343(+) GCAAGGACGTGC >mm10_chr6:50133369-50133380(+)::chr6:50133368-50133380(+) ACAAGGAAAGAA >mm10_chr6:50145119-50145130(-)::chr6:50145118-50145130(-) aggaggaagagg >mm10_chr6:50145137-50145148(-)::chr6:50145136-50145148(-) aagaggaagaag >mm10_chr6:50145143-50145154(-)::chr6:50145142-50145154(-) aggaggaagagg >mm10_chr6:50175103-50175114(-)::chr6:50175102-50175114(-) AACAGGAAGAAA >mm10_chr6:50180193-50180204(+)::chr6:50180192-50180204(+) GGGAGGAAGTGC >mm10_chr6:50209994-50210005(-)::chr6:50209993-50210005(-) AAAAGGAAGTTC >mm10_chr6:50325132-50325143(-)::chr6:50325131-50325143(-) ACCAGGAAGTGG >mm10_chr6:50331974-50331985(-)::chr6:50331973-50331985(-) TTCAGGAAGTAA >mm10_chr6:50332770-50332781(+)::chr6:50332769-50332781(+) AGCAGGAAGAGG >mm10_chr6:50332776-50332787(+)::chr6:50332775-50332787(+) AAGAGGAAGAAG >mm10_chr6:50337670-50337681(+)::chr6:50337669-50337681(+) ggcaggaagaga >mm10_chr6:50340777-50340788(-)::chr6:50340776-50340788(-) aacaggaaatga >mm10_chr6:50340805-50340816(-)::chr6:50340804-50340816(-) taaaggaagaag >mm10_chr6:50349596-50349607(-)::chr6:50349595-50349607(-) CTAAGGAAGAGC >mm10_chr6:50357209-50357220(-)::chr6:50357208-50357220(-) GGCAGGAAGGGG >mm10_chr6:50357233-50357244(-)::chr6:50357232-50357244(-) GGAAGGAGGTTA >mm10_chr6:50368715-50368726(+)::chr6:50368714-50368726(+) acaaggaagaga >mm10_chr6:50379373-50379384(-)::chr6:50379372-50379384(-) AACAGGAAGTGA >mm10_chr6:50379418-50379429(-)::chr6:50379417-50379429(-) AAAAGGAAGACA >mm10_chr6:50380543-50380554(-)::chr6:50380542-50380554(-) AGGAGGAAGATT >mm10_chr6:50381543-50381554(+)::chr6:50381542-50381554(+) ATAAGGAAGAAA >mm10_chr6:50381572-50381583(+)::chr6:50381571-50381583(+) AAAAGGAAGCAG >mm10_chr6:50385651-50385662(+)::chr6:50385650-50385662(+) AGGAGGAAGTTT >mm10_chr6:50385703-50385714(+)::chr6:50385702-50385714(+) TCAAGGAAGGGG >mm10_chr6:50412303-50412314(-)::chr6:50412302-50412314(-) ACTAGGAAGGAG >mm10_chr6:50441117-50441128(+)::chr6:50441116-50441128(+) acaaggaagctg >mm10_chr6:50456442-50456453(+)::chr6:50456441-50456453(+) AGGAGGAAGTTG >mm10_chr6:50483539-50483550(+)::chr6:50483538-50483550(+) gtaaggaagtca >mm10_chr6:50483566-50483577(+)::chr6:50483565-50483577(+) agcaggaactaa >mm10_chr6:50526922-50526933(-)::chr6:50526921-50526933(-) AGAAGGAACTTA >mm10_chr6:50536718-50536729(+)::chr6:50536717-50536729(+) ATAAGGAAGGCA >mm10_chr6:50536726-50536737(+)::chr6:50536725-50536737(+) GGCAGGAAGGCA >mm10_chr6:50542718-50542729(-)::chr6:50542717-50542729(-) agcaggaaatgg >mm10_chr6:50542757-50542768(-)::chr6:50542756-50542768(-) agcaggaagtgg >mm10_chr6:50580309-50580320(+)::chr6:50580308-50580320(+) AAGAGGAAGGCA >mm10_chr6:50598718-50598729(+)::chr6:50598717-50598729(+) AACAGGAAGGAG >mm10_chr6:50643615-50643626(-)::chr6:50643614-50643626(-) ACAAGGAAATAA >mm10_chr6:50672467-50672478(+)::chr6:50672466-50672478(+) caaaggaagaga >mm10_chr6:50681563-50681574(-)::chr6:50681562-50681574(-) GACAGGAAATGT >mm10_chr6:50681621-50681632(-)::chr6:50681620-50681632(-) AAAAGCAAGTGG >mm10_chr6:50681814-50681825(-)::chr6:50681813-50681825(-) AAGAGGAAGTAG >mm10_chr6:50681832-50681843(-)::chr6:50681831-50681843(-) TGAAGGAAGTAG >mm10_chr6:50731002-50731013(-)::chr6:50731001-50731013(-) GAAAGGAAGCTA >mm10_chr6:50754731-50754742(+)::chr6:50754730-50754742(+) ATGAGGAAGAGG >mm10_chr6:50754737-50754748(+)::chr6:50754736-50754748(+) AAGAGGAAGTAG >mm10_chr6:50754758-50754769(+)::chr6:50754757-50754769(+) TGCAGGAAGGAT >mm10_chr6:50854388-50854399(+)::chr6:50854387-50854399(+) ACAAGGATGTGA >mm10_chr6:50854448-50854459(+)::chr6:50854447-50854459(+) ACCAGGAAGCCC >mm10_chr6:50871812-50871823(-)::chr6:50871811-50871823(-) accaggaagcag >mm10_chr6:50871832-50871843(-)::chr6:50871831-50871843(-) agagggaagtcg >mm10_chr6:50880761-50880772(+)::chr6:50880760-50880772(+) ACAAGGAAGGCG >mm10_chr6:50882129-50882140(+)::chr6:50882128-50882140(+) GAAAGGACGTGA >mm10_chr6:50978438-50978449(+)::chr6:50978437-50978449(+) aagaggaagaag >mm10_chr6:50978465-50978476(+)::chr6:50978464-50978476(+) aggaggaagagg >mm10_chr6:50978484-50978495(+)::chr6:50978483-50978495(+) agaaggaagagg >mm10_chr6:50978490-50978501(+)::chr6:50978489-50978501(+) aagaggaagagg >mm10_chr6:50978496-50978507(+)::chr6:50978495-50978507(+) aagaggaagagg >mm10_chr6:51071842-51071853(+)::chr6:51071841-51071853(+) TCCAGGAAGTCG >mm10_chr6:51103613-51103624(-)::chr6:51103612-51103624(-) ACAAGGAAGTTT >mm10_chr6:51103626-51103637(-)::chr6:51103625-51103637(-) ACAAGGAAGAAA >mm10_chr6:51105102-51105113(+)::chr6:51105101-51105113(+) AAGAGGAAATAA >mm10_chr6:51119070-51119081(+)::chr6:51119069-51119081(+) CACAGGAAATAT >mm10_chr6:51162440-51162451(-)::chr6:51162439-51162451(-) ATAAGGAAAGTG >mm10_chr6:51162479-51162490(+)::chr6:51162478-51162490(+) TCCAGGAAGAAT >mm10_chr6:51219981-51219992(+)::chr6:51219980-51219992(+) ATGAGGAAATGG >mm10_chr6:51266153-51266164(+)::chr6:51266152-51266164(+) accaggaagagt >mm10_chr6:51268247-51268258(-)::chr6:51268246-51268258(-) GGAAGGAAGGCT >mm10_chr6:51268251-51268262(-)::chr6:51268250-51268262(-) GCCAGGAAGGAA >mm10_chr6:51333161-51333172(-)::chr6:51333160-51333172(-) GTAAGGAAGCGT >mm10_chr6:51346883-51346894(+)::chr6:51346882-51346894(+) acaaggaaagaa >mm10_chr6:51346920-51346931(+)::chr6:51346919-51346931(+) gacaggaaatgg >mm10_chr6:51359908-51359919(-)::chr6:51359907-51359919(-) TCAAGGAAGTAA >mm10_chr6:51372446-51372457(+)::chr6:51372445-51372457(+) ACACGGAAGAGA >mm10_chr6:51379290-51379301(-)::chr6:51379289-51379301(-) CTAAGGAAGTAC >mm10_chr6:51381357-51381368(-)::chr6:51381356-51381368(-) GCACGGAAGAGG >mm10_chr6:51381630-51381641(-)::chr6:51381629-51381641(-) GGCAGGAAGAGC >mm10_chr6:51381656-51381667(+)::chr6:51381655-51381667(+) TGCCGGAAGTCA >mm10_chr6:51406266-51406277(-)::chr6:51406265-51406277(-) accaggaagtgg >mm10_chr6:51406310-51406321(-)::chr6:51406309-51406321(-) agcaggaagctg >mm10_chr6:51410017-51410028(+)::chr6:51410016-51410028(+) GACAGGAAGTGT >mm10_chr6:51437817-51437828(-)::chr6:51437816-51437828(-) AGCAGGAAGACA >mm10_chr6:51468737-51468748(+)::chr6:51468736-51468748(+) AAAAGGAAAGTA >mm10_chr6:51468773-51468784(-)::chr6:51468772-51468784(-) ATCAGGAAGCTA >mm10_chr6:51468808-51468819(-)::chr6:51468807-51468819(-) AGGAGGAAGTCA >mm10_chr6:51469223-51469234(+)::chr6:51469222-51469234(+) GCGAGGAAGGAG >mm10_chr6:51485873-51485884(+)::chr6:51485872-51485884(+) AGAGGGAAGGGA >mm10_chr6:51491250-51491261(+)::chr6:51491249-51491261(+) TAAAGGAAGCAG >mm10_chr6:51533986-51533997(-)::chr6:51533985-51533997(-) ATAAGGAAGCTG >mm10_chr6:51544523-51544534(-)::chr6:51544522-51544534(-) AAGAGGAAGAAG >mm10_chr6:51549547-51549558(+)::chr6:51549546-51549558(+) ACGAGGAAGGAG >mm10_chr6:51551594-51551605(-)::chr6:51551593-51551605(-) agaaggaacttc >mm10_chr6:51551726-51551737(-)::chr6:51551725-51551737(-) caaaggaagccg >mm10_chr6:51551797-51551808(+)::chr6:51551796-51551808(+) atcaggaagtag >mm10_chr6:51551814-51551825(-)::chr6:51551813-51551825(-) agcaggaagaag >mm10_chr6:51554216-51554227(-)::chr6:51554215-51554227(-) ATAAGGATGTCC >mm10_chr6:51558475-51558486(+)::chr6:51558474-51558486(+) AGGAGGAAGGAG >mm10_chr6:51558498-51558509(-)::chr6:51558497-51558509(-) ACACGGAAGCAG >mm10_chr6:51602874-51602885(-)::chr6:51602873-51602885(-) gtgaggaagtgc >mm10_chr6:51649826-51649837(+)::chr6:51649825-51649837(+) AGAAGGAAGCAG >mm10_chr6:51654685-51654696(+)::chr6:51654684-51654696(+) AACAGGAAGGAG >mm10_chr6:51654692-51654703(+)::chr6:51654691-51654703(+) AGGAGGAAGTAG >mm10_chr6:51680193-51680204(-)::chr6:51680192-51680204(-) aggaggaagaag >mm10_chr6:51680218-51680229(-)::chr6:51680217-51680229(-) agaaggaagagg >mm10_chr6:51707113-51707124(-)::chr6:51707112-51707124(-) AGGAGGAAGGCT >mm10_chr6:51707371-51707382(+)::chr6:51707370-51707382(+) TGAAGGAAATGG >mm10_chr6:51707386-51707397(+)::chr6:51707385-51707397(+) TCAAGGAAGCCA >mm10_chr6:51785723-51785734(-)::chr6:51785722-51785734(-) ggaaggaagagt >mm10_chr6:51881332-51881343(+)::chr6:51881331-51881343(+) AAGAGGAAGGAA >mm10_chr6:51881336-51881347(+)::chr6:51881335-51881347(+) GGAAGGAAGTCC >mm10_chr6:51881368-51881379(+)::chr6:51881367-51881379(+) AACAGGAAGGAG >mm10_chr6:51887217-51887228(-)::chr6:51887216-51887228(-) ACAAGGAAGCTC >mm10_chr6:51939268-51939279(-)::chr6:51939267-51939279(-) AGCAGGAAGCTG >mm10_chr6:51939287-51939298(-)::chr6:51939286-51939298(-) ACGAGGAAGGGG >mm10_chr6:52012490-52012501(+)::chr6:52012489-52012501(+) ACAAGGAAGTCA >mm10_chr6:52012703-52012714(+)::chr6:52012702-52012714(+) ACGCGGAAGCGA >mm10_chr6:52012732-52012743(+)::chr6:52012731-52012743(+) CGAAGGAAGGGA >mm10_chr6:52040491-52040502(+)::chr6:52040490-52040502(+) cagaggaagacg >mm10_chr6:52040501-52040512(+)::chr6:52040500-52040512(+) cgaaggcagtgg >mm10_chr6:52064618-52064629(-)::chr6:52064617-52064629(-) AGAGGGAAGTAA >mm10_chr6:52094146-52094157(+)::chr6:52094145-52094157(+) AACAGGAAGTTC >mm10_chr6:52213114-52213125(-)::chr6:52213113-52213125(-) ACCAGGAAGGAG >mm10_chr6:52213135-52213146(+)::chr6:52213134-52213146(+) CGCAGGAAATTA >mm10_chr6:52234267-52234278(+)::chr6:52234266-52234278(+) AGGCGGAAGTAG >mm10_chr6:52306030-52306041(-)::chr6:52306029-52306041(-) AGGCGGAAGTCG >mm10_chr6:52401638-52401649(+)::chr6:52401637-52401649(+) TACAGGAAGAAC >mm10_chr6:52401673-52401684(-)::chr6:52401672-52401684(-) AGAAGGAAGAAC >mm10_chr6:52479370-52479381(-)::chr6:52479369-52479381(-) TTCAGGAAGTAG >mm10_chr6:52479401-52479412(-)::chr6:52479400-52479412(-) AACAGGAAGTCG >mm10_chr6:52486340-52486351(-)::chr6:52486339-52486351(-) AGAAGGAAGAAA >mm10_chr6:52504423-52504434(-)::chr6:52504422-52504434(-) CCAAGGAAGTGT >mm10_chr6:52544427-52544438(+)::chr6:52544426-52544438(+) AACAGGAAGCCA >mm10_chr6:52588394-52588405(+)::chr6:52588393-52588405(+) aggaggaagagg >mm10_chr6:52588400-52588411(+)::chr6:52588399-52588411(+) aagaggaagaag >mm10_chr6:52588409-52588420(+)::chr6:52588408-52588420(+) aagaggaagagg >mm10_chr6:52588415-52588426(+)::chr6:52588414-52588426(+) aagaggaagagg >mm10_chr6:52588430-52588441(+)::chr6:52588429-52588441(+) aggaggaagagg >mm10_chr6:52588462-52588473(+)::chr6:52588461-52588473(+) ggaaggaagaag >mm10_chr6:52609805-52609816(-)::chr6:52609804-52609816(-) AGAAGTAAGTGC >mm10_chr6:52622283-52622294(+)::chr6:52622282-52622294(+) GGCAGGAAGCAG >mm10_chr6:52674685-52674696(-)::chr6:52674684-52674696(-) TGGAGGAAGAGG >mm10_chr6:52689206-52689217(+)::chr6:52689205-52689217(+) GCAAGGAAGGCG >mm10_chr6:52689218-52689229(+)::chr6:52689217-52689229(+) AAGAGGAAGACC >mm10_chr6:52689264-52689275(+)::chr6:52689263-52689275(+) CCAGGGAAGTTA >mm10_chr6:52708067-52708078(+)::chr6:52708066-52708078(+) accaggaagtag >mm10_chr6:52713709-52713720(+)::chr6:52713708-52713720(+) AGGCGGAAGCGA >mm10_chr6:52713730-52713741(+)::chr6:52713729-52713741(+) GGGCGGAAGTGA >mm10_chr6:52714524-52714535(-)::chr6:52714523-52714535(-) ACAGGGAAATAC >mm10_chr6:52797711-52797722(+)::chr6:52797710-52797722(+) AAGAGGAAGAGA >mm10_chr6:52797764-52797775(-)::chr6:52797763-52797775(-) GTAAGCAAGTGT >mm10_chr6:52802312-52802323(-)::chr6:52802311-52802323(-) GCCAGGAAGGGG >mm10_chr6:52802329-52802340(-)::chr6:52802328-52802340(-) AAAAGGAAGTGG >mm10_chr6:52842750-52842761(-)::chr6:52842749-52842761(-) ttgaggaagtgt >mm10_chr6:52842766-52842777(-)::chr6:52842765-52842777(-) ttgaggaagtgg >mm10_chr6:52842780-52842791(-)::chr6:52842779-52842791(-) ttgaggaagtgt >mm10_chr6:52842796-52842807(-)::chr6:52842795-52842807(-) ttgaggaagtga >mm10_chr6:52853577-52853588(+)::chr6:52853576-52853588(+) aggaggaagagg >mm10_chr6:52853583-52853594(+)::chr6:52853582-52853594(+) aagaggaagaag >mm10_chr6:52853595-52853606(+)::chr6:52853594-52853606(+) aggaggaagagg >mm10_chr6:52866370-52866381(-)::chr6:52866369-52866381(-) TCCGGGAAGTGA >mm10_chr6:52866426-52866437(-)::chr6:52866425-52866437(-) CACAGGAAGTGC >mm10_chr6:52938132-52938143(+)::chr6:52938131-52938143(+) AGGAGGAAGCTG >mm10_chr6:52955490-52955501(-)::chr6:52955489-52955501(-) CAGAGGAAGTTC >mm10_chr6:53281265-53281276(-)::chr6:53281264-53281276(-) TGTAGGAAATAG >mm10_chr6:53286222-53286233(-)::chr6:53286221-53286233(-) ATAAGGAAAAGC >mm10_chr6:53286248-53286259(+)::chr6:53286247-53286259(+) ATGAGGAAGAAG >mm10_chr6:53390441-53390452(-)::chr6:53390440-53390452(-) TTAAGGAAATCA >mm10_chr6:53455622-53455633(-)::chr6:53455621-53455633(-) GAGAGGAAGTAA >mm10_chr6:53457986-53457997(+)::chr6:53457985-53457997(+) AGAAGGAAAAGA >mm10_chr6:53458037-53458048(-)::chr6:53458036-53458048(-) CCCAGGAAGTGA >mm10_chr6:53470693-53470704(+)::chr6:53470692-53470704(+) GGAAGGAAGCAA >mm10_chr6:53470707-53470718(+)::chr6:53470706-53470718(+) GACAGGAAGAAA >mm10_chr6:53627509-53627520(-)::chr6:53627508-53627520(-) acacggaagaga >mm10_chr6:53627581-53627592(-)::chr6:53627580-53627592(-) aagaggaagagA >mm10_chr6:53638251-53638262(-)::chr6:53638250-53638262(-) AGTAGGAAGTGT >mm10_chr6:53649723-53649734(-)::chr6:53649722-53649734(-) ACCAGGAAGAGC >mm10_chr6:53713276-53713287(-)::chr6:53713275-53713287(-) ATGAGGAAGCTA >mm10_chr6:53897749-53897760(-)::chr6:53897748-53897760(-) GGGAGGAAGTGG >mm10_chr6:53905800-53905811(-)::chr6:53905799-53905811(-) GGTAGGAAGAGT >mm10_chr6:53939941-53939952(+)::chr6:53939940-53939952(+) ACAAGGAACTCA >mm10_chr6:54039949-54039960(+)::chr6:54039948-54039960(+) AGCAGGAAGTAC >mm10_chr6:54049047-54049058(-)::chr6:54049046-54049058(-) ATAAGGAAGCTC >mm10_chr6:54056276-54056287(+)::chr6:54056275-54056287(+) ACAAGGAAGCAG >mm10_chr6:54058907-54058918(-)::chr6:54058906-54058918(-) AGAGGGAAGAAA >mm10_chr6:54058935-54058946(-)::chr6:54058934-54058946(-) TCAAGGAAGCAT >mm10_chr6:54082799-54082810(-)::chr6:54082798-54082810(-) AAGAGGAAGTCC >mm10_chr6:54111862-54111873(-)::chr6:54111861-54111873(-) ACAAGGAAGCAT >mm10_chr6:54111884-54111895(-)::chr6:54111883-54111895(-) GCAGGGAAGTTC >mm10_chr6:54125863-54125874(-)::chr6:54125862-54125874(-) acgaggaagaag >mm10_chr6:54125870-54125881(-)::chr6:54125869-54125881(-) gaaaggaacgag >mm10_chr6:54327774-54327785(-)::chr6:54327773-54327785(-) TAGAGGAAGTCT >mm10_chr6:54434295-54434306(-)::chr6:54434294-54434306(-) accaggaagagc >mm10_chr6:54435925-54435936(+)::chr6:54435924-54435936(+) AAAAGGAAGTAT >mm10_chr6:54463957-54463968(+)::chr6:54463956-54463968(+) GAAAGGAAGCCT >mm10_chr6:54470825-54470836(+)::chr6:54470824-54470836(+) agcaggaagcta >mm10_chr6:54705467-54705478(-)::chr6:54705466-54705478(-) TTTAGGAAGTCC >mm10_chr6:54705973-54705984(+)::chr6:54705972-54705984(+) AAAAGGAAGTGG >mm10_chr6:54737105-54737116(-)::chr6:54737104-54737116(-) AGGAGGAAGACC >mm10_chr6:54760295-54760306(-)::chr6:54760294-54760306(-) GCTAGGAAGTGA >mm10_chr6:54769920-54769931(+)::chr6:54769919-54769931(+) TATAGGAAGGAA >mm10_chr6:54770574-54770585(+)::chr6:54770573-54770585(+) atcaggaaggaa >mm10_chr6:54770578-54770589(+)::chr6:54770577-54770589(+) ggaaggaagaag >mm10_chr6:54772274-54772285(+)::chr6:54772273-54772285(+) agcaggaactag >mm10_chr6:54772307-54772318(-)::chr6:54772306-54772318(-) accaggaagcag >mm10_chr6:54779065-54779076(+)::chr6:54779064-54779076(+) TAGAGGAAGTGA >mm10_chr6:54779073-54779084(+)::chr6:54779072-54779084(+) GTGAGGAAGTCC >mm10_chr6:54779093-54779104(+)::chr6:54779092-54779104(+) GCCAGGAAGTTG >mm10_chr6:54795174-54795185(+)::chr6:54795173-54795185(+) aacaggaagaca >mm10_chr6:54812095-54812106(-)::chr6:54812094-54812106(-) ACAAGGAAGTCT >mm10_chr6:54817609-54817620(+)::chr6:54817608-54817620(+) ACCAGGAAGTCA >mm10_chr6:54842111-54842122(+)::chr6:54842110-54842122(+) GTAAGGAAGTTC >mm10_chr6:54855990-54856001(-)::chr6:54855989-54856001(-) TCCAGGAAGTAG >mm10_chr6:54860976-54860987(-)::chr6:54860975-54860987(-) tgcaggaaggtc >mm10_chr6:54870736-54870747(-)::chr6:54870735-54870747(-) ATCAGGAAGAAA >mm10_chr6:54876902-54876913(+)::chr6:54876901-54876913(+) AACAGGAAGAGA >mm10_chr6:54899466-54899477(+)::chr6:54899465-54899477(+) tcaaggaaggag >mm10_chr6:54899473-54899484(+)::chr6:54899472-54899484(+) aggaggaagaaa >mm10_chr6:54902698-54902709(-)::chr6:54902697-54902709(-) AGAAGGAAGTGG >mm10_chr6:54902737-54902748(-)::chr6:54902736-54902748(-) AACAGGAAGGCA >mm10_chr6:54902768-54902779(-)::chr6:54902767-54902779(-) AGGAGGAAGTGT >mm10_chr6:54916329-54916340(+)::chr6:54916328-54916340(+) GGAAGGAAGTCA >mm10_chr6:54916361-54916372(-)::chr6:54916360-54916372(-) AGGAGGAAGTGG >mm10_chr6:54943481-54943492(-)::chr6:54943480-54943492(-) AGGAGGAAGCGC >mm10_chr6:54943743-54943754(+)::chr6:54943742-54943754(+) ACCAGGAAGAAG >mm10_chr6:54948841-54948852(-)::chr6:54948840-54948852(-) AGTAGGAAGTAG >mm10_chr6:54949042-54949053(+)::chr6:54949041-54949053(+) AGCAGGAAATGC >mm10_chr6:54955173-54955184(+)::chr6:54955172-54955184(+) GGCAGGAAGTTA >mm10_chr6:54955182-54955193(+)::chr6:54955181-54955193(+) TTAAGGAAGTTA >mm10_chr6:54955806-54955817(-)::chr6:54955805-54955817(-) AGAAGGAACTGA >mm10_chr6:54956463-54956474(-)::chr6:54956462-54956474(-) ACAAGGAAAGGG >mm10_chr6:54956530-54956541(-)::chr6:54956529-54956541(-) AAAGGGAAGTTG >mm10_chr6:54971778-54971789(-)::chr6:54971777-54971789(-) AGAAGGAAGCAA >mm10_chr6:55021317-55021328(+)::chr6:55021316-55021328(+) ACAAGGAAGAGA >mm10_chr6:55037928-55037939(+)::chr6:55037927-55037939(+) TGCGGGAAGTGC >mm10_chr6:55043331-55043342(+)::chr6:55043330-55043342(+) GCAAGGAAACGT >mm10_chr6:55166559-55166570(-)::chr6:55166558-55166570(-) accaggaagcac >mm10_chr6:55166592-55166603(-)::chr6:55166591-55166603(-) gaaaggaagtca >mm10_chr6:55203725-55203736(+)::chr6:55203724-55203736(+) ACAAGGAAACTA >mm10_chr6:55310368-55310379(+)::chr6:55310367-55310379(+) ATCAGGAAGGGG >mm10_chr6:55389021-55389032(+)::chr6:55389020-55389032(+) ACCAGGAAGGGA >mm10_chr6:55402210-55402221(+)::chr6:55402209-55402221(+) GTAAGGAAGACT >mm10_chr6:55409119-55409130(+)::chr6:55409118-55409130(+) TGGAGGAAGAAG >mm10_chr6:55409126-55409137(+)::chr6:55409125-55409137(+) AGAAGGAAGCAT >mm10_chr6:55409167-55409178(-)::chr6:55409166-55409178(-) TCCAGGAAGTTG >mm10_chr6:55473955-55473966(-)::chr6:55473954-55473966(-) TGGAGGAAGTGA >mm10_chr6:55508248-55508259(-)::chr6:55508247-55508259(-) ACCAGGAAGTGG >mm10_chr6:55508313-55508324(+)::chr6:55508312-55508324(+) AAGAGGAAGGAG >mm10_chr6:55509687-55509698(+)::chr6:55509686-55509698(+) TTAAGGAAATAG >mm10_chr6:55563773-55563784(-)::chr6:55563772-55563784(-) AGGAGGAAGAga >mm10_chr6:55618385-55618396(+)::chr6:55618384-55618396(+) CTAAGGAAGTTC >mm10_chr6:55687426-55687437(+)::chr6:55687425-55687437(+) AGAAGGAAGAAG >mm10_chr6:55687433-55687444(+)::chr6:55687432-55687444(+) AGAAGGAAAAGA >mm10_chr6:55779703-55779714(-)::chr6:55779702-55779714(-) acgaggaagtgt >mm10_chr6:55779728-55779739(+)::chr6:55779727-55779739(+) tcaaggaagtca >mm10_chr6:55779742-55779753(+)::chr6:55779741-55779753(+) acgaggaactca >mm10_chr6:55846999-55847010(+)::chr6:55846998-55847010(+) TACAGGAAGTAT >mm10_chr6:55847015-55847026(+)::chr6:55847014-55847026(+) GTAAGGAAGTAG >mm10_chr6:55876604-55876615(-)::chr6:55876603-55876615(-) GGAAGGAAGAAT >mm10_chr6:55876608-55876619(-)::chr6:55876607-55876619(-) CAAAGGAAGGAA >mm10_chr6:55876628-55876639(-)::chr6:55876627-55876639(-) TCCAGGAAGTAC >mm10_chr6:55879867-55879878(+)::chr6:55879866-55879878(+) AGAAGGAAGCAG >mm10_chr6:55879951-55879962(+)::chr6:55879950-55879962(+) AGCAGGAATTCG >mm10_chr6:55880537-55880548(+)::chr6:55880536-55880548(+) TCCAGGAAGTTT >mm10_chr6:56015531-56015542(+)::chr6:56015530-56015542(+) aaaaggaaggtg >mm10_chr6:56020856-56020867(-)::chr6:56020855-56020867(-) TTAAGGAAGTGT >mm10_chr6:56363596-56363607(+)::chr6:56363595-56363607(+) ACAAGGAAATGG >mm10_chr6:56363612-56363623(+)::chr6:56363611-56363623(+) AGCAGGAAGTTA >mm10_chr6:56520695-56520706(-)::chr6:56520694-56520706(-) AGAAGGAAGTGT >mm10_chr6:56569194-56569205(+)::chr6:56569193-56569205(+) ACAAGGAAGTAG >mm10_chr6:56569220-56569231(-)::chr6:56569219-56569231(-) GGGAGGAAGTGG >mm10_chr6:56715249-56715260(-)::chr6:56715248-56715260(-) GCGAGGAAGGCC >mm10_chr6:56770983-56770994(+)::chr6:56770982-56770994(+) TTAAGGAAGACA >mm10_chr6:56797759-56797770(+)::chr6:56797758-56797770(+) CGCGGGAAGGAA >mm10_chr6:56797763-56797774(+)::chr6:56797762-56797774(+) GGAAGGAAACGG >mm10_chr6:56797777-56797788(+)::chr6:56797776-56797788(+) AGCAGGAAGGGC >mm10_chr6:56814686-56814697(-)::chr6:56814685-56814697(-) agaaggaagctt >mm10_chr6:56814722-56814733(+)::chr6:56814721-56814733(+) agcaggaagtgt >mm10_chr6:56821433-56821444(+)::chr6:56821432-56821444(+) agaaggaagcag >mm10_chr6:56821485-56821496(+)::chr6:56821484-56821496(+) agcaggaagtcc >mm10_chr6:56821493-56821504(-)::chr6:56821492-56821504(-) tccaggaaggac >mm10_chr6:56821501-56821512(+)::chr6:56821500-56821512(+) tggaggaagtgt >mm10_chr6:56870177-56870188(+)::chr6:56870176-56870188(+) ATGGGGAAGTGG >mm10_chr6:56870203-56870214(-)::chr6:56870202-56870214(-) AGAAGGAATTTC >mm10_chr6:57545684-57545695(+)::chr6:57545683-57545695(+) TACAGGAAGATA >mm10_chr6:57545712-57545723(+)::chr6:57545711-57545723(+) GGCAGGAAGGCA >mm10_chr6:57545739-57545750(+)::chr6:57545738-57545750(+) AGAAGGAAGGAG >mm10_chr6:57545743-57545754(+)::chr6:57545742-57545754(+) GGAAGGAGGTAA >mm10_chr6:57549282-57549293(-)::chr6:57549281-57549293(-) acaaggaagtaa >mm10_chr6:57557880-57557891(-)::chr6:57557879-57557891(-) tcaaggaaatgc >mm10_chr6:57580976-57580987(-)::chr6:57580975-57580987(-) AAAAGCAAGTGA >mm10_chr6:57581054-57581065(+)::chr6:57581053-57581065(+) TGCAGGAAGCCG >mm10_chr6:57693171-57693182(+)::chr6:57693170-57693182(+) GGAAGGAAATGG >mm10_chr6:57742907-57742918(+)::chr6:57742906-57742918(+) aacaggaagcaa >mm10_chr6:57769031-57769042(-)::chr6:57769030-57769042(-) ATCAGGAAGTGC >mm10_chr6:57773915-57773926(-)::chr6:57773914-57773926(-) ATAAGGAAGTCA >mm10_chr6:57796061-57796072(+)::chr6:57796060-57796072(+) AACAGGAAGTGT >mm10_chr6:57799057-57799068(+)::chr6:57799056-57799068(+) aaaaggaaatac >mm10_chr6:57813514-57813525(-)::chr6:57813513-57813525(-) GTGAGGAAGTGA >mm10_chr6:57813548-57813559(-)::chr6:57813547-57813559(-) GCCAGGAAGAAA >mm10_chr6:57814587-57814598(-)::chr6:57814586-57814598(-) AGCAGGAAGAAG >mm10_chr6:57824253-57824264(+)::chr6:57824252-57824264(+) CCAAGGAAGTTT >mm10_chr6:57824453-57824464(+)::chr6:57824452-57824464(+) AGCAGGAAGGGT >mm10_chr6:58606773-58606784(+)::chr6:58606772-58606784(+) AACAGGAAGATA >mm10_chr6:58606859-58606870(-)::chr6:58606858-58606870(-) AGGAGGAAGCAA >mm10_chr6:58641771-58641782(-)::chr6:58641770-58641782(-) AGAGGGAAGTCA >mm10_chr6:58646926-58646937(+)::chr6:58646925-58646937(+) ctaaggaaggaa >mm10_chr6:58646930-58646941(+)::chr6:58646929-58646941(+) ggaaggaaatga >mm10_chr6:58697714-58697725(-)::chr6:58697713-58697725(-) AGGAGGAAGGTA >mm10_chr6:58697729-58697740(+)::chr6:58697728-58697740(+) ACCAggaagtga >mm10_chr6:58697745-58697756(-)::chr6:58697744-58697756(-) tacgggaagtag >mm10_chr6:58735244-58735255(+)::chr6:58735243-58735255(+) ATCAGGAAGTTC >mm10_chr6:58735263-58735274(+)::chr6:58735262-58735274(+) CTCAGGAAGTAT >mm10_chr6:58750802-58750813(+)::chr6:58750801-58750813(+) ACCAGGAAGAAA >mm10_chr6:58750833-58750844(+)::chr6:58750832-58750844(+) ACCAGGAAATAG >mm10_chr6:58756791-58756802(-)::chr6:58756790-58756802(-) AAAAGGAAGTGA >mm10_chr6:58771167-58771178(+)::chr6:58771166-58771178(+) TTTAGGAAGTGA >mm10_chr6:58777410-58777421(-)::chr6:58777409-58777421(-) agaaggaaatgc >mm10_chr6:58833823-58833834(-)::chr6:58833822-58833834(-) AGGAGGAAGGAG >mm10_chr6:58833842-58833853(-)::chr6:58833841-58833853(-) AGGAGGAAGGGG >mm10_chr6:58843739-58843750(+)::chr6:58843738-58843750(+) TCAAGGAAGTGG >mm10_chr6:58940751-58940762(+)::chr6:58940750-58940762(+) ATGAGGAAGAAC >mm10_chr6:58967994-58968005(+)::chr6:58967993-58968005(+) acaaggaaagat >mm10_chr6:59009300-59009311(-)::chr6:59009299-59009311(-) aagaggaagaag >mm10_chr6:59009306-59009317(-)::chr6:59009305-59009317(-) agaaggaagagg >mm10_chr6:59011920-59011931(+)::chr6:59011919-59011931(+) AACAGGAAGGAA >mm10_chr6:59011924-59011935(+)::chr6:59011923-59011935(+) GGAAGGAAGTGA >mm10_chr6:59029115-59029126(+)::chr6:59029114-59029126(+) TGCAGGAAGTGT >mm10_chr6:59030934-59030945(-)::chr6:59030933-59030945(-) TAAAGGAAGCCA >mm10_chr6:59036792-59036803(-)::chr6:59036791-59036803(-) CTCAGGAAGTCA >mm10_chr6:59054097-59054108(+)::chr6:59054096-59054108(+) CAAAGGAAATAC >mm10_chr6:59054318-59054329(+)::chr6:59054317-59054329(+) CCAAGGAAGGAG >mm10_chr6:59071799-59071810(+)::chr6:59071798-59071810(+) ataaggaaacac >mm10_chr6:59132639-59132650(-)::chr6:59132638-59132650(-) CACAGGAAGTTG >mm10_chr6:59132695-59132706(-)::chr6:59132694-59132706(-) TTCAGGAAGTTT >mm10_chr6:59178211-59178222(+)::chr6:59178210-59178222(+) ttaaggaaatag >mm10_chr6:59188519-59188530(-)::chr6:59188518-59188530(-) TGGAGGAAGTTT >mm10_chr6:59196903-59196914(-)::chr6:59196902-59196914(-) CAAAGGAAGCAA >mm10_chr6:59196942-59196953(+)::chr6:59196941-59196953(+) ACAAGGAAGTTG >mm10_chr6:59223015-59223026(+)::chr6:59223014-59223026(+) atcaggaaggga >mm10_chr6:59223026-59223037(+)::chr6:59223025-59223037(+) aggaggaaatat >mm10_chr6:59223045-59223056(+)::chr6:59223044-59223056(+) aaggggaagtag >mm10_chr6:59308723-59308734(+)::chr6:59308722-59308734(+) GGAAGGGAGTAA >mm10_chr6:59308737-59308748(-)::chr6:59308736-59308748(-) AGCAGGAAGTAC >mm10_chr6:59413172-59413183(+)::chr6:59413171-59413183(+) TCCAGGAAGGAC >mm10_chr6:59427410-59427421(+)::chr6:59427409-59427421(+) TGAAGGAAGAAA >mm10_chr6:60762361-60762372(-)::chr6:60762360-60762372(-) GAAAGGAAGGCA >mm10_chr6:60787243-60787254(+)::chr6:60787242-60787254(+) AAGAGGAAGTCT >mm10_chr6:61033436-61033447(+)::chr6:61033435-61033447(+) AGAAAGAAGTGG >mm10_chr6:61063333-61063344(-)::chr6:61063332-61063344(-) AGCAGGAAGATG >mm10_chr6:61077535-61077546(+)::chr6:61077534-61077546(+) agcaggaagagc >mm10_chr6:61127043-61127054(+)::chr6:61127042-61127054(+) tcagggaagtca >mm10_chr6:61127071-61127082(-)::chr6:61127070-61127082(-) gtaaggaacttt >mm10_chr6:61184651-61184662(-)::chr6:61184650-61184662(-) TACAGGAAGTAA >mm10_chr6:61189202-61189213(-)::chr6:61189201-61189213(-) TTAAGGAAGTAA >mm10_chr6:61262099-61262110(+)::chr6:61262098-61262110(+) accaggaagtcc >mm10_chr6:61262675-61262686(+)::chr6:61262674-61262686(+) CTCAGGAAGTGC >mm10_chr6:61293174-61293185(-)::chr6:61293173-61293185(-) GACAGGAAGAGG >mm10_chr6:61389778-61389789(+)::chr6:61389777-61389789(+) ttcaggaagttc >mm10_chr6:61389835-61389846(-)::chr6:61389834-61389846(-) accaggaagtac >mm10_chr6:61417358-61417369(-)::chr6:61417357-61417369(-) TACAGGAAGCAC >mm10_chr6:61431565-61431576(+)::chr6:61431564-61431576(+) ATAAGGAAGTTA >mm10_chr6:61725994-61726005(+)::chr6:61725993-61726005(+) ATAAGGAAAATG >mm10_chr6:62891045-62891056(-)::chr6:62891044-62891056(-) AACAGGAAGATT >mm10_chr6:62920463-62920474(+)::chr6:62920462-62920474(+) GGCAGGAAGTTG >mm10_chr6:62942973-62942984(+)::chr6:62942972-62942984(+) ctaaggaagtga >mm10_chr6:62943013-62943024(+)::chr6:62943012-62943024(+) gtagggaaatcg >mm10_chr6:63030965-63030976(+)::chr6:63030964-63030976(+) tccaggaaggga >mm10_chr6:63030985-63030996(-)::chr6:63030984-63030996(-) agaaggaaataa >mm10_chr6:63038662-63038673(-)::chr6:63038661-63038673(-) acaaggaagaat >mm10_chr6:63066456-63066467(+)::chr6:63066455-63066467(+) cccaggaagtag >mm10_chr6:63067020-63067031(-)::chr6:63067019-63067031(-) AGCAGGAAGGAG >mm10_chr6:63067058-63067069(-)::chr6:63067057-63067069(-) GGAAGGAAATGT >mm10_chr6:63067062-63067073(-)::chr6:63067061-63067073(-) TCCAGGAAGGAA >mm10_chr6:63335253-63335264(-)::chr6:63335252-63335264(-) aagaggaagtct >mm10_chr6:63335259-63335270(-)::chr6:63335258-63335270(-) tccaggaagagg >mm10_chr6:63624805-63624816(-)::chr6:63624804-63624816(-) TGCAGGAAATAA >mm10_chr6:63981107-63981118(+)::chr6:63981106-63981118(+) AAAGGGAAGTGT >mm10_chr6:64186797-64186808(-)::chr6:64186796-64186808(-) ATGAGGAAGAAG >mm10_chr6:64251310-64251321(-)::chr6:64251309-64251321(-) atgaggaaggga >mm10_chr6:64718829-64718840(-)::chr6:64718828-64718840(-) tataggaagtag >mm10_chr6:64736740-64736751(-)::chr6:64736739-64736751(-) AAGAGGAAGTAG >mm10_chr6:64933892-64933903(-)::chr6:64933891-64933903(-) CAAAGGAAGCTA >mm10_chr6:65022085-65022096(-)::chr6:65022084-65022096(-) AGGAGGAAGCGC >mm10_chr6:65091570-65091581(-)::chr6:65091569-65091581(-) gtcaggaaggtg >mm10_chr6:65091597-65091608(-)::chr6:65091596-65091608(-) ataaggaagaga >mm10_chr6:65528133-65528144(+)::chr6:65528132-65528144(+) aacaggaagtcc >mm10_chr6:65590230-65590241(-)::chr6:65590229-65590241(-) AGGAGGAAGTTT >mm10_chr6:65645085-65645096(+)::chr6:65645084-65645096(+) ACCAGGAAGTCT >mm10_chr6:65645097-65645108(-)::chr6:65645096-65645108(-) AACAGGAAGAAT >mm10_chr6:65708682-65708693(-)::chr6:65708681-65708693(-) AGAAGGAAACGG >mm10_chr6:65765274-65765285(+)::chr6:65765273-65765285(+) GGCAGGAAGCAG >mm10_chr6:65798886-65798897(-)::chr6:65798885-65798897(-) AGAAggaagcga >mm10_chr6:65818518-65818529(-)::chr6:65818517-65818529(-) GACAGGAAGTGC >mm10_chr6:66478825-66478836(+)::chr6:66478824-66478836(+) TGAAGGAAATTG >mm10_chr6:66897470-66897481(-)::chr6:66897469-66897481(-) GAGAGGAAATAA >mm10_chr6:66925798-66925809(-)::chr6:66925797-66925809(-) acaaggaactat >mm10_chr6:66953956-66953967(+)::chr6:66953955-66953967(+) AACAGGAAGCTA >mm10_chr6:66998490-66998501(+)::chr6:66998489-66998501(+) TAAAGGAAGTCA >mm10_chr6:67009841-67009852(+)::chr6:67009840-67009852(+) GCCAGGAAGAGG >mm10_chr6:67016710-67016721(-)::chr6:67016709-67016721(-) AAGAGGAAGAAG >mm10_chr6:67016740-67016751(-)::chr6:67016739-67016751(-) AAGAGGAAGTTT >mm10_chr6:67036141-67036152(-)::chr6:67036140-67036152(-) GTAGGGAAGTGA >mm10_chr6:67043250-67043261(-)::chr6:67043249-67043261(-) atgaggaaggat >mm10_chr6:67073717-67073728(+)::chr6:67073716-67073728(+) TCaaggaagggg >mm10_chr6:67088038-67088049(+)::chr6:67088037-67088049(+) AGCAGGAAGCCA >mm10_chr6:67102318-67102329(+)::chr6:67102317-67102329(+) GGGAGGAAGTGG >mm10_chr6:67133747-67133758(+)::chr6:67133746-67133758(+) ACCAGGAAGAGA >mm10_chr6:67138037-67138048(+)::chr6:67138036-67138048(+) TTAAGGAAGTAA >mm10_chr6:67140334-67140345(-)::chr6:67140333-67140345(-) ATAAGGAAGGCT >mm10_chr6:67141155-67141166(-)::chr6:67141154-67141166(-) AGGGGGAAGTGT >mm10_chr6:67146909-67146920(-)::chr6:67146908-67146920(-) AGGAGGAAGCGG >mm10_chr6:67158922-67158933(+)::chr6:67158921-67158933(+) AGCAGGAAGCTC >mm10_chr6:67160325-67160336(-)::chr6:67160324-67160336(-) gccaggaagaca >mm10_chr6:67179316-67179327(-)::chr6:67179315-67179327(-) aagaggaagaaa >mm10_chr6:67179322-67179333(-)::chr6:67179321-67179333(-) gaaaggaagagg >mm10_chr6:67250986-67250997(-)::chr6:67250985-67250997(-) ggaaggaagaac >mm10_chr6:67250990-67251001(-)::chr6:67250989-67251001(-) gggaggaaggaa >mm10_chr6:67445632-67445643(-)::chr6:67445631-67445643(-) tcaaggaattaa >mm10_chr6:68018627-68018638(-)::chr6:68018626-68018638(-) agaaggaagatt >mm10_chr6:70774044-70774055(-)::chr6:70774043-70774055(-) GGGAGGAAGCAG >mm10_chr6:70790537-70790548(+)::chr6:70790536-70790548(+) AATAGGAAGAGG >mm10_chr6:70790543-70790554(+)::chr6:70790542-70790554(+) AAGAGGAAATCG >mm10_chr6:70844114-70844125(-)::chr6:70844113-70844125(-) GAAAGGAAGAAG >mm10_chr6:70847124-70847135(+)::chr6:70847123-70847135(+) CCAAGGAAGTAA >mm10_chr6:70847128-70847139(+)::chr6:70847127-70847139(+) GGAAGTAAGTTG >mm10_chr6:70847181-70847192(-)::chr6:70847180-70847192(-) ACCAGGAAGGAA >mm10_chr6:70848120-70848131(+)::chr6:70848119-70848131(+) CCAGGGAAGTGT >mm10_chr6:70874628-70874639(+)::chr6:70874627-70874639(+) GACAGGAAGGTT >mm10_chr6:70893206-70893217(+)::chr6:70893205-70893217(+) GGAAGGAAGCAG >mm10_chr6:70893218-70893229(+)::chr6:70893217-70893229(+) GGAAGGAAGAAG >mm10_chr6:70959511-70959522(-)::chr6:70959510-70959522(-) ACAAGGAAAAAG >mm10_chr6:70959564-70959575(-)::chr6:70959563-70959575(-) ACCAGGAAATCA >mm10_chr6:71048420-71048431(+)::chr6:71048419-71048431(+) GAAAGGAAGTGG >mm10_chr6:71089119-71089130(+)::chr6:71089118-71089130(+) AACAGGAAGAAT >mm10_chr6:71089173-71089184(-)::chr6:71089172-71089184(-) GGCAGGAAGTCA >mm10_chr6:71089520-71089531(+)::chr6:71089519-71089531(+) AGAAGAAAGTGG >mm10_chr6:71089572-71089583(+)::chr6:71089571-71089583(+) GTGAGGAAGGAA >mm10_chr6:71089576-71089587(+)::chr6:71089575-71089587(+) GGAAGGAAGGGA >mm10_chr6:71174202-71174213(+)::chr6:71174201-71174213(+) tccaggaagaat >mm10_chr6:71212017-71212028(-)::chr6:71212016-71212028(-) tgaaggaagtcc >mm10_chr6:71212072-71212083(-)::chr6:71212071-71212083(-) aaaaggaaggaa >mm10_chr6:71289222-71289233(+)::chr6:71289221-71289233(+) ttaaggaaataa >mm10_chr6:71289429-71289440(-)::chr6:71289428-71289440(-) acaaggaagtaa >mm10_chr6:71289472-71289483(-)::chr6:71289471-71289483(-) acaaggaaatgg >mm10_chr6:71436961-71436972(+)::chr6:71436960-71436972(+) aaaaggaagaag >mm10_chr6:71436968-71436979(+)::chr6:71436967-71436979(+) agaaggaaaaaa >mm10_chr6:71476450-71476461(+)::chr6:71476449-71476461(+) CTAGGGAAGTAA >mm10_chr6:71476461-71476472(+)::chr6:71476460-71476472(+) AGAAGGAAATCC >mm10_chr6:71480157-71480168(-)::chr6:71480156-71480168(-) agaaggaagagg >mm10_chr6:71480180-71480191(+)::chr6:71480179-71480191(+) ATAAGGAAGTAC >mm10_chr6:71494692-71494703(-)::chr6:71494691-71494703(-) ACCAGGAAATAC >mm10_chr6:71503817-71503828(+)::chr6:71503816-71503828(+) agaAGGAAAGAA >mm10_chr6:71503825-71503836(+)::chr6:71503824-71503836(+) AGAAGGAAAAGG >mm10_chr6:71503837-71503848(+)::chr6:71503836-71503848(+) ACCAGGAAGTAG >mm10_chr6:71503844-71503855(+)::chr6:71503843-71503855(+) AGTAGGAAGCAG >mm10_chr6:71537461-71537472(-)::chr6:71537460-71537472(-) aagaggaaatgg >mm10_chr6:71537467-71537478(-)::chr6:71537466-71537478(-) gcaaggaagagg >mm10_chr6:71553739-71553750(-)::chr6:71553738-71553750(-) GGAAGGAAATCT >mm10_chr6:71553799-71553810(+)::chr6:71553798-71553810(+) agtaggaaggaa >mm10_chr6:71553803-71553814(+)::chr6:71553802-71553814(+) ggaaggaagttt >mm10_chr6:71581195-71581206(+)::chr6:71581194-71581206(+) AGGAGGAAGAGT >mm10_chr6:71601489-71601500(+)::chr6:71601488-71601500(+) TGGAGGAAGGGG >mm10_chr6:71601540-71601551(+)::chr6:71601539-71601551(+) AAAAGGAAGGAG >mm10_chr6:71655939-71655950(+)::chr6:71655938-71655950(+) ctaaggaagtag >mm10_chr6:71655992-71656003(-)::chr6:71655991-71656003(-) agaaggaaaacg >mm10_chr6:71735373-71735384(+)::chr6:71735372-71735384(+) AACAGGAAGATG >mm10_chr6:71735395-71735406(-)::chr6:71735394-71735406(-) AAGAGGAAGGAA >mm10_chr6:71756175-71756186(+)::chr6:71756174-71756186(+) AGAAGGAAGTGT >mm10_chr6:71911177-71911188(+)::chr6:71911176-71911188(+) attaggaagaca >mm10_chr6:72003793-72003804(-)::chr6:72003792-72003804(-) TCAAGGAAGGTC >mm10_chr6:72113888-72113899(-)::chr6:72113887-72113899(-) agaaggaagggt >mm10_chr6:72126289-72126300(-)::chr6:72126288-72126300(-) ATAAGGATATAT >mm10_chr6:72126301-72126312(-)::chr6:72126300-72126312(-) GGCAGGACGTAC >mm10_chr6:72126316-72126327(-)::chr6:72126315-72126327(-) GATAGGAAATAT >mm10_chr6:72140940-72140951(+)::chr6:72140939-72140951(+) cggaggaagggg >mm10_chr6:72162414-72162425(-)::chr6:72162413-72162425(-) ATCAGGAAGTCA >mm10_chr6:72162436-72162447(-)::chr6:72162435-72162447(-) AGGAGGAAATGC >mm10_chr6:72162731-72162742(+)::chr6:72162730-72162742(+) GCCAGGAAGGAT >mm10_chr6:72231259-72231270(-)::chr6:72231258-72231270(-) ACCAGGAAGACA >mm10_chr6:72231285-72231296(+)::chr6:72231284-72231296(+) GCCAGGAAGCAA >mm10_chr6:72237203-72237214(-)::chr6:72237202-72237214(-) TCAAGGAAGCTA >mm10_chr6:72333426-72333437(+)::chr6:72333425-72333437(+) TGAAGGAAGGAA >mm10_chr6:72333430-72333441(+)::chr6:72333429-72333441(+) GGAAGGAAGACC >mm10_chr6:72333471-72333482(-)::chr6:72333470-72333482(-) GGGAGGAAGTCA >mm10_chr6:72333479-72333490(-)::chr6:72333478-72333490(-) ATAGGGAAGGGA >mm10_chr6:72347326-72347337(+)::chr6:72347325-72347337(+) GGCCGGAAGTCA >mm10_chr6:72380461-72380472(+)::chr6:72380460-72380472(+) GGGAGGAAGCCG >mm10_chr6:72390547-72390558(-)::chr6:72390546-72390558(-) AGCAGGAAGTGA >mm10_chr6:72396149-72396160(-)::chr6:72396148-72396160(-) GGGAGGAAGAAG >mm10_chr6:72419923-72419934(+)::chr6:72419922-72419934(+) CTGAGGAAGTAA >mm10_chr6:72426611-72426622(-)::chr6:72426610-72426622(-) GTCAGGAAGTGG >mm10_chr6:72426943-72426954(+)::chr6:72426942-72426954(+) ggaaggaaggaa >mm10_chr6:72426947-72426958(+)::chr6:72426946-72426958(+) ggaaggaaggaa >mm10_chr6:72426951-72426962(+)::chr6:72426950-72426962(+) ggaaggaaggaa >mm10_chr6:72426955-72426966(+)::chr6:72426954-72426966(+) ggaaggaaggaa >mm10_chr6:72426959-72426970(+)::chr6:72426958-72426970(+) ggaaggaaggaa >mm10_chr6:72426963-72426974(+)::chr6:72426962-72426974(+) ggaaggaaggaa >mm10_chr6:72426967-72426978(+)::chr6:72426966-72426978(+) ggaaggaaggGC >mm10_chr6:72454657-72454668(-)::chr6:72454656-72454668(-) AGAAGGAAGTGG >mm10_chr6:72482394-72482405(+)::chr6:72482393-72482405(+) actaggaagggg >mm10_chr6:72482434-72482445(-)::chr6:72482433-72482445(-) agtaggaaatag >mm10_chr6:72490640-72490651(-)::chr6:72490639-72490651(-) aagaggaagaag >mm10_chr6:72490646-72490657(-)::chr6:72490645-72490657(-) aggaggaagagg >mm10_chr6:72490659-72490670(-)::chr6:72490658-72490670(-) agaaggaagagg >mm10_chr6:72490666-72490677(-)::chr6:72490665-72490677(-) aagaggaagaag >mm10_chr6:72490672-72490683(-)::chr6:72490671-72490683(-) agaaggaagagg >mm10_chr6:72490679-72490690(-)::chr6:72490678-72490690(-) aggaggaagaag >mm10_chr6:72490692-72490703(-)::chr6:72490691-72490703(-) aagaGGAAGAGg >mm10_chr6:72504130-72504141(+)::chr6:72504129-72504141(+) TACAGGAAATCA >mm10_chr6:72515970-72515981(-)::chr6:72515969-72515981(-) AGCAGGAAGGAG >mm10_chr6:72516007-72516018(+)::chr6:72516006-72516018(+) ACCAGGAAGGGG >mm10_chr6:72528643-72528654(-)::chr6:72528642-72528654(-) ACCAGGAAGAAG >mm10_chr6:72535389-72535400(+)::chr6:72535388-72535400(+) TCCAGGAAATAC >mm10_chr6:72543261-72543272(+)::chr6:72543260-72543272(+) AAAACGAagttg >mm10_chr6:72548974-72548985(+)::chr6:72548973-72548985(+) aggaggaaggag >mm10_chr6:72548983-72548994(+)::chr6:72548982-72548994(+) gagaggaagagg >mm10_chr6:72549018-72549029(+)::chr6:72549017-72549029(+) aggaggaagagg >mm10_chr6:72552296-72552307(+)::chr6:72552295-72552307(+) aaaaggaagtgc >mm10_chr6:72552907-72552918(+)::chr6:72552906-72552918(+) GGAAGGAAATGG >mm10_chr6:72601946-72601957(+)::chr6:72601945-72601957(+) TTGAGGAAGTTA >mm10_chr6:72610794-72610805(+)::chr6:72610793-72610805(+) ATAAGGAAGGTG >mm10_chr6:72617567-72617578(+)::chr6:72617566-72617578(+) tccAGGAAGTAA >mm10_chr6:72617595-72617606(+)::chr6:72617594-72617606(+) AACAGGAAGACC >mm10_chr6:72617617-72617628(-)::chr6:72617616-72617628(-) AGTAGGAAGATC >mm10_chr6:72655520-72655531(-)::chr6:72655519-72655531(-) ATCAGGAAGATT >mm10_chr6:72683314-72683325(-)::chr6:72683313-72683325(-) GGCAGGAAGAAA >mm10_chr6:72717598-72717609(-)::chr6:72717597-72717609(-) ttaaggaagaaa >mm10_chr6:72717672-72717683(-)::chr6:72717671-72717683(-) GCCAGGAAGTCC >mm10_chr6:72737737-72737748(-)::chr6:72737736-72737748(-) ATGAGGAAGTGA >mm10_chr6:72737776-72737787(-)::chr6:72737775-72737787(-) TTAAGGAATTAT >mm10_chr6:72740686-72740697(+)::chr6:72740685-72740697(+) AAGCGGAAGTCA >mm10_chr6:72763915-72763926(-)::chr6:72763914-72763926(-) AGGAGGAAATGG >mm10_chr6:72764206-72764217(+)::chr6:72764205-72764217(+) gcaaggaagtca >mm10_chr6:72769063-72769074(+)::chr6:72769062-72769074(+) AAAAGGAAGCAC >mm10_chr6:72792906-72792917(+)::chr6:72792905-72792917(+) gaaaggaagttt >mm10_chr6:72795310-72795321(+)::chr6:72795309-72795321(+) agaaggaaacgg >mm10_chr6:72795334-72795345(+)::chr6:72795333-72795345(+) agaaggaagata >mm10_chr6:72825178-72825189(-)::chr6:72825177-72825189(-) TCCAGGAAGCCG >mm10_chr6:72833022-72833033(-)::chr6:72833021-72833033(-) ATAAGGAAGCCA >mm10_chr6:72851626-72851637(+)::chr6:72851625-72851637(+) aagaggaagagg >mm10_chr6:72851639-72851650(+)::chr6:72851638-72851650(+) aagaggaagagg >mm10_chr6:72866529-72866540(+)::chr6:72866528-72866540(+) AAAAGGAAGAGA >mm10_chr6:72873442-72873453(+)::chr6:72873441-72873453(+) CCAAGGAAGTGT >mm10_chr6:72884958-72884969(-)::chr6:72884957-72884969(-) GAAGGGAAGTGG >mm10_chr6:72933170-72933181(-)::chr6:72933169-72933181(-) AACAGGAAGTAA >mm10_chr6:72933211-72933222(-)::chr6:72933210-72933222(-) ACCAGGAAGAGC >mm10_chr6:72933687-72933698(+)::chr6:72933686-72933698(+) AGGAGGAAGAAG >mm10_chr6:72933694-72933705(+)::chr6:72933693-72933705(+) AGAAGGAAGGCT >mm10_chr6:72945454-72945465(-)::chr6:72945453-72945465(-) tggaggaagaac >mm10_chr6:72948146-72948157(+)::chr6:72948145-72948157(+) TTGAGGAAGTCT >mm10_chr6:72948177-72948188(+)::chr6:72948176-72948188(+) GCAAGGAACCGA >mm10_chr6:72948587-72948598(+)::chr6:72948586-72948598(+) accaggaaggtg >mm10_chr6:72950316-72950327(-)::chr6:72950315-72950327(-) AGCAGGAAGGTG >mm10_chr6:72982013-72982024(+)::chr6:72982012-72982024(+) agcaggatgtaa >mm10_chr6:72982043-72982054(+)::chr6:72982042-72982054(+) accaggaagcag >mm10_chr6:72989257-72989268(-)::chr6:72989256-72989268(-) ATGAGGAAGTGA >mm10_chr6:73028021-73028032(-)::chr6:73028020-73028032(-) ATGAGGAAGAAG >mm10_chr6:73195619-73195630(-)::chr6:73195618-73195630(-) TGGAGGAAGAAC >mm10_chr6:73232448-73232459(-)::chr6:73232447-73232459(-) GAGAGGAAGGGG >mm10_chr6:73248504-73248515(-)::chr6:73248503-73248515(-) AGAAGGATGACG >mm10_chr6:73321412-73321423(-)::chr6:73321411-73321423(-) ATAAGGAAAACC >mm10_chr6:73321425-73321436(-)::chr6:73321424-73321436(-) AGGAGGAAGGGA >mm10_chr6:73367850-73367861(+)::chr6:73367849-73367861(+) AGTAGGAAGTTC >mm10_chr6:73367879-73367890(+)::chr6:73367878-73367890(+) ATGAGGAAGGTT >mm10_chr6:73367896-73367907(+)::chr6:73367895-73367907(+) TCAAGGAAGCAA >mm10_chr6:75898367-75898378(-)::chr6:75898366-75898378(-) cggaggaagaag >mm10_chr6:75898382-75898393(-)::chr6:75898381-75898393(-) aagaggaagagg >mm10_chr6:75898388-75898399(-)::chr6:75898387-75898399(-) agaaggaagagg >mm10_chr6:75898403-75898414(-)::chr6:75898402-75898414(-) agcaggaagagg >mm10_chr6:76049003-76049014(+)::chr6:76049002-76049014(+) aagaggaagtgc >mm10_chr6:76398047-76398058(-)::chr6:76398046-76398058(-) aagaggaagaaa >mm10_chr6:76417213-76417224(-)::chr6:76417212-76417224(-) ggaaggaagatt >mm10_chr6:76417217-76417228(-)::chr6:76417216-76417228(-) tggaggaaggaa >mm10_chr6:76755107-76755118(-)::chr6:76755106-76755118(-) AGAGGGAAGTTT >mm10_chr6:77074038-77074049(-)::chr6:77074037-77074049(-) AGAAGGAAAAGA >mm10_chr6:77330502-77330513(+)::chr6:77330501-77330513(+) cagaggaagtga >mm10_chr6:77413551-77413562(+)::chr6:77413550-77413562(+) GAGAGGAAGAAA >mm10_chr6:77485069-77485080(-)::chr6:77485068-77485080(-) gaaaggaagaag >mm10_chr6:77485083-77485094(-)::chr6:77485082-77485094(-) atgaggaagaag >mm10_chr6:77485095-77485106(-)::chr6:77485094-77485106(-) aagaggaagaga >mm10_chr6:77485104-77485115(-)::chr6:77485103-77485115(-) aggaggaagaag >mm10_chr6:77604128-77604139(-)::chr6:77604127-77604139(-) AACAGGAAGCCA >mm10_chr6:78515666-78515677(-)::chr6:78515665-78515677(-) AGAAGGAAGGAT >mm10_chr6:78545093-78545104(-)::chr6:78545092-78545104(-) ACGAGGAATTCA >mm10_chr6:78769776-78769787(+)::chr6:78769775-78769787(+) caaaggaaggtg >mm10_chr6:80899396-80899407(-)::chr6:80899395-80899407(-) TGAGGGAAGTGA >mm10_chr6:81765978-81765989(-)::chr6:81765977-81765989(-) GTAGGGAAGTTT >mm10_chr6:81785664-81785675(-)::chr6:81785663-81785675(-) GACAGGAAGGAA >mm10_chr6:81947849-81947860(+)::chr6:81947848-81947860(+) agaaggaaggag >mm10_chr6:81947916-81947927(+)::chr6:81947915-81947927(+) ccagggaagtaa >mm10_chr6:81951687-81951698(-)::chr6:81951686-81951698(-) AGGAGGAAGAAA >mm10_chr6:81951694-81951705(-)::chr6:81951693-81951705(-) GTGAGGAAGGAG >mm10_chr6:81951726-81951737(+)::chr6:81951725-81951737(+) AGAAGGAAAAAT >mm10_chr6:81956155-81956166(-)::chr6:81956154-81956166(-) AACAGGAAGGAA >mm10_chr6:81956170-81956181(+)::chr6:81956169-81956181(+) ATGAGGAAGTTT >mm10_chr6:82048055-82048066(-)::chr6:82048054-82048066(-) GGAAGAAAGTGA >mm10_chr6:82048059-82048070(-)::chr6:82048058-82048070(-) AGGAGGAAGAAA >mm10_chr6:82048129-82048140(+)::chr6:82048128-82048140(+) AGGAGGAAGTAC >mm10_chr6:82066938-82066949(+)::chr6:82066937-82066949(+) AGAAGGAAGAGG >mm10_chr6:82078980-82078991(-)::chr6:82078979-82078991(-) acaaggaaggtt >mm10_chr6:82084551-82084562(+)::chr6:82084550-82084562(+) AGGGGGAAGTGA >mm10_chr6:82084568-82084579(+)::chr6:82084567-82084579(+) AGCAGGAAGTGT >mm10_chr6:82088279-82088290(+)::chr6:82088278-82088290(+) AAGAGGAAGGAC >mm10_chr6:82150198-82150209(+)::chr6:82150197-82150209(+) TACAGGAAGCAC >mm10_chr6:82161423-82161434(+)::chr6:82161422-82161434(+) tgaaggaagtat >mm10_chr6:82161491-82161502(-)::chr6:82161490-82161502(-) agcaggaagcag >mm10_chr6:82340590-82340601(+)::chr6:82340589-82340601(+) acagggaagttt >mm10_chr6:82558441-82558452(-)::chr6:82558440-82558452(-) AGCAGGAAGTCC >mm10_chr6:82572935-82572946(-)::chr6:82572934-82572946(-) GCAAGGAAGCAA >mm10_chr6:82576922-82576933(+)::chr6:82576921-82576933(+) TGGAGGAAGTAG >mm10_chr6:82576935-82576946(-)::chr6:82576934-82576946(-) AAGCGGAAGTTG >mm10_chr6:82585048-82585059(+)::chr6:82585047-82585059(+) AACAGGAAGTGG >mm10_chr6:82603153-82603164(+)::chr6:82603152-82603164(+) GAAAGGAAGAGA >mm10_chr6:82634290-82634301(-)::chr6:82634289-82634301(-) CAAAGGAAGACT >mm10_chr6:82634303-82634314(-)::chr6:82634302-82634314(-) GCAAGGAAATGC >mm10_chr6:82638553-82638564(-)::chr6:82638552-82638564(-) aaagggaagtca >mm10_chr6:82653054-82653065(+)::chr6:82653053-82653065(+) AGCCGGAAGTCC >mm10_chr6:82740308-82740319(+)::chr6:82740307-82740319(+) TTAAGGAAGTCC >mm10_chr6:82747278-82747289(-)::chr6:82747277-82747289(-) TCTAGGAAGCGT >mm10_chr6:82747287-82747298(+)::chr6:82747286-82747298(+) AGAAGGAAGAGA >mm10_chr6:82757154-82757165(+)::chr6:82757153-82757165(+) ACAAGGAAAATA >mm10_chr6:82757853-82757864(+)::chr6:82757852-82757864(+) aggaggaagcta >mm10_chr6:82764252-82764263(-)::chr6:82764251-82764263(-) AGGAGGAAGTTC >mm10_chr6:82773825-82773836(+)::chr6:82773824-82773836(+) AGGAGGAAGCAG >mm10_chr6:82824426-82824437(+)::chr6:82824425-82824437(+) GAAAGGAAGGAG >mm10_chr6:82824459-82824470(-)::chr6:82824458-82824470(-) ACCGGGAAGTGA >mm10_chr6:82824480-82824491(-)::chr6:82824479-82824491(-) AGAAGGAAGGAG >mm10_chr6:82831377-82831388(-)::chr6:82831376-82831388(-) AAAAGGAACTTT >mm10_chr6:82835843-82835854(-)::chr6:82835842-82835854(-) aacaggaagctg >mm10_chr6:82835874-82835885(-)::chr6:82835873-82835885(-) agcaggaagctg >mm10_chr6:82872050-82872061(-)::chr6:82872049-82872061(-) AGCAGGAAGGCa >mm10_chr6:82872096-82872107(+)::chr6:82872095-82872107(+) AGCAGGAAGCCA >mm10_chr6:82875697-82875708(-)::chr6:82875696-82875708(-) ATCAGGAAGACG >mm10_chr6:82902729-82902740(+)::chr6:82902728-82902740(+) ataaggaaatgc >mm10_chr6:82902752-82902763(+)::chr6:82902751-82902763(+) atagggaaatag >mm10_chr6:82913567-82913578(+)::chr6:82913566-82913578(+) AGCAGGAAGGGT >mm10_chr6:82997857-82997868(+)::chr6:82997856-82997868(+) CTAAGGAAGGAA >mm10_chr6:82997861-82997872(+)::chr6:82997860-82997872(+) GGAAGGAAGGAA >mm10_chr6:82997865-82997876(+)::chr6:82997864-82997876(+) GGAAGGAAGTCA >mm10_chr6:83005082-83005093(-)::chr6:83005081-83005093(-) AAGCGGAAGTCA >mm10_chr6:83005098-83005109(-)::chr6:83005097-83005109(-) TGAAGGAAGAGC >mm10_chr6:83029389-83029400(+)::chr6:83029388-83029400(+) aGAAGGAAGAGC >mm10_chr6:83043512-83043523(+)::chr6:83043511-83043523(+) AGGAGGAAGTAA >mm10_chr6:83043530-83043541(-)::chr6:83043529-83043541(-) GCAGGGAAGTAA >mm10_chr6:83047837-83047848(+)::chr6:83047836-83047848(+) ACAAGGAAAACA >mm10_chr6:83109047-83109058(+)::chr6:83109046-83109058(+) CGCCGGAAGCGG >mm10_chr6:83110307-83110318(-)::chr6:83110306-83110318(-) AGCAGGAAGTCA >mm10_chr6:83121128-83121139(+)::chr6:83121127-83121139(+) GACAGGAAGTGA >mm10_chr6:83136993-83137004(-)::chr6:83136992-83137004(-) AGAAGGAAAGGG >mm10_chr6:83235464-83235475(+)::chr6:83235463-83235475(+) GGCAGGAAGGAG >mm10_chr6:83235484-83235495(+)::chr6:83235483-83235495(+) ATAGGGAAGGGC >mm10_chr6:83268422-83268433(-)::chr6:83268421-83268433(-) AGAAGGAAGGGT >mm10_chr6:83310400-83310411(-)::chr6:83310399-83310411(-) GTGAGGAAGTTC >mm10_chr6:83320137-83320148(-)::chr6:83320136-83320148(-) acaaggaagact >mm10_chr6:83323028-83323039(+)::chr6:83323027-83323039(+) accaggaagtgc >mm10_chr6:83366907-83366918(+)::chr6:83366906-83366918(+) ATAAGGACGATG >mm10_chr6:83381774-83381785(-)::chr6:83381773-83381785(-) ACAAGGAAGCTT >mm10_chr6:83387388-83387399(+)::chr6:83387387-83387399(+) ATAAggaacaga >mm10_chr6:83393433-83393444(+)::chr6:83393432-83393444(+) aggaggaaggag >mm10_chr6:83394459-83394470(-)::chr6:83394458-83394470(-) ACAAGGAAACAT >mm10_chr6:83405764-83405775(+)::chr6:83405763-83405775(+) AGAAGGAAGGAC >mm10_chr6:83423424-83423435(+)::chr6:83423423-83423435(+) AGGAGGAAGTGT >mm10_chr6:83433800-83433811(+)::chr6:83433799-83433811(+) ACAAGGAAGGCC >mm10_chr6:83444067-83444078(+)::chr6:83444066-83444078(+) ACCAGGAAATTC >mm10_chr6:83444089-83444100(-)::chr6:83444088-83444100(-) ATGAGGAAGGCC >mm10_chr6:83445134-83445145(+)::chr6:83445133-83445145(+) AGGAGGAAGCAG >mm10_chr6:83455504-83455515(+)::chr6:83455503-83455515(+) AAGAGGAAGTGC >mm10_chr6:83455551-83455562(-)::chr6:83455550-83455562(-) AGCAGGAAGAGA >mm10_chr6:83457968-83457979(-)::chr6:83457967-83457979(-) GGTAGGAAGTAG >mm10_chr6:83473451-83473462(+)::chr6:83473450-83473462(+) GGAAGGAAGCTG >mm10_chr6:83475739-83475750(-)::chr6:83475738-83475750(-) AGAAGGAAGGAA >mm10_chr6:83480618-83480629(+)::chr6:83480617-83480629(+) AGGAGGAAGTCA >mm10_chr6:83490787-83490798(+)::chr6:83490786-83490798(+) AGGAGGAAGAAG >mm10_chr6:83506913-83506924(-)::chr6:83506912-83506924(-) CGGCGGAAGTGC >mm10_chr6:83506928-83506939(-)::chr6:83506927-83506939(-) AGGCGGAAGTGC >mm10_chr6:83534579-83534590(-)::chr6:83534578-83534590(-) gggaggaagcag >mm10_chr6:83534638-83534649(+)::chr6:83534637-83534649(+) acacGGAAGGTG >mm10_chr6:83572594-83572605(+)::chr6:83572593-83572605(+) ACCAGGAAGGAA >mm10_chr6:83577641-83577652(-)::chr6:83577640-83577652(-) ATGAGGAAGCAC >mm10_chr6:83584278-83584289(-)::chr6:83584277-83584289(-) agaaggaAATAG >mm10_chr6:83584297-83584308(-)::chr6:83584296-83584308(-) aagaggaagaga >mm10_chr6:83738752-83738763(+)::chr6:83738751-83738763(+) gggaggaaggaa >mm10_chr6:83738810-83738821(+)::chr6:83738809-83738821(+) ggcaggaaggcc >mm10_chr6:83742962-83742973(+)::chr6:83742961-83742973(+) ATAAGGAAGGGG >mm10_chr6:83748214-83748225(+)::chr6:83748213-83748225(+) GAAAGGAAGGAA >mm10_chr6:83748218-83748229(+)::chr6:83748217-83748229(+) GGAAGGAAGGAG >mm10_chr6:83748225-83748236(+)::chr6:83748224-83748236(+) AGGAGGAAGAGA >mm10_chr6:83781779-83781790(+)::chr6:83781778-83781790(+) gggaggaagaaa >mm10_chr6:83781791-83781802(+)::chr6:83781790-83781802(+) gagaggaagaag >mm10_chr6:83781803-83781814(+)::chr6:83781802-83781814(+) aaaaggaagagg >mm10_chr6:83781809-83781820(+)::chr6:83781808-83781820(+) aagaggaagagg >mm10_chr6:83790986-83790997(-)::chr6:83790985-83790997(-) ataaggaagaac >mm10_chr6:83791025-83791036(-)::chr6:83791024-83791036(-) GGAAGGAAGGGT >mm10_chr6:83791029-83791040(-)::chr6:83791028-83791040(-) TAAAGGAAGGAA >mm10_chr6:83795897-83795908(+)::chr6:83795896-83795908(+) ACAAGGAAAAAC >mm10_chr6:83815210-83815221(+)::chr6:83815209-83815221(+) atgaggaagcag >mm10_chr6:83815220-83815231(+)::chr6:83815219-83815231(+) aggaggaagaag >mm10_chr6:83815243-83815254(+)::chr6:83815242-83815254(+) gaaaggaagaag >mm10_chr6:83829935-83829946(+)::chr6:83829934-83829946(+) TTAAGGAAATGC >mm10_chr6:83831039-83831050(-)::chr6:83831038-83831050(-) ATGAGGAAGGGC >mm10_chr6:83838257-83838268(-)::chr6:83838256-83838268(-) GACAGGAAGAAC >mm10_chr6:83864539-83864550(+)::chr6:83864538-83864550(+) GGCAGGAAGGAA >mm10_chr6:83867960-83867971(-)::chr6:83867959-83867971(-) AAAAGGAAGAAC >mm10_chr6:83885093-83885104(-)::chr6:83885092-83885104(-) TTCAGGAAATAA >mm10_chr6:84010543-84010554(+)::chr6:84010542-84010554(+) GAAAGGAAGTGT >mm10_chr6:84019211-84019222(-)::chr6:84019210-84019222(-) AGAAGGAAACGC >mm10_chr6:84019263-84019274(-)::chr6:84019262-84019274(-) GCAAGGAATTAC >mm10_chr6:84049004-84049015(+)::chr6:84049003-84049015(+) GGAAGGAAGGCT >mm10_chr6:84054042-84054053(-)::chr6:84054041-84054053(-) GGCAGGAAATGC >mm10_chr6:84054067-84054078(-)::chr6:84054066-84054078(-) TCCAGGAAGTGG >mm10_chr6:84125346-84125357(-)::chr6:84125345-84125357(-) TGAAGGAAGCAG >mm10_chr6:84135251-84135262(+)::chr6:84135250-84135262(+) AGAAGGAAGAGG >mm10_chr6:84156145-84156156(-)::chr6:84156144-84156156(-) TGCAGGAAGCAC >mm10_chr6:84156158-84156169(+)::chr6:84156157-84156169(+) AGAAGGAAGAGT >mm10_chr6:84177823-84177834(+)::chr6:84177822-84177834(+) ATAAGGAAAGGT >mm10_chr6:84177835-84177846(-)::chr6:84177834-84177846(-) AACAGGAAGTAT >mm10_chr6:84181523-84181534(+)::chr6:84181522-84181534(+) ACCAGGAAGAAG >mm10_chr6:84196715-84196726(-)::chr6:84196714-84196726(-) AACAGGAAGTCC >mm10_chr6:84196735-84196746(+)::chr6:84196734-84196746(+) TGAAGGAAGAAG >mm10_chr6:84211430-84211441(-)::chr6:84211429-84211441(-) CGCAGGAAATTT >mm10_chr6:84376653-84376664(-)::chr6:84376652-84376664(-) TCCAGGAAGTCC >mm10_chr6:84376667-84376678(+)::chr6:84376666-84376678(+) TAGAGGAAGGCA >mm10_chr6:84376710-84376721(-)::chr6:84376709-84376721(-) GCCAGGAAGGTG >mm10_chr6:84429680-84429691(+)::chr6:84429679-84429691(+) tagaggaagtag >mm10_chr6:84429728-84429739(-)::chr6:84429727-84429739(-) ggaagaaagtgg >mm10_chr6:84429732-84429743(-)::chr6:84429731-84429743(-) gtaaggaagaaa >mm10_chr6:84498147-84498158(-)::chr6:84498146-84498158(-) AGCAGGAAGCAG >mm10_chr6:84562298-84562309(-)::chr6:84562297-84562309(-) AGGAGGAAGAAG >mm10_chr6:84562322-84562333(-)::chr6:84562321-84562333(-) aagaggaagGAC >mm10_chr6:84562328-84562339(-)::chr6:84562327-84562339(-) agaaggaagagg >mm10_chr6:84562340-84562351(-)::chr6:84562339-84562351(-) agaaggaagagg >mm10_chr6:84562352-84562363(-)::chr6:84562351-84562363(-) agaaggaagagg >mm10_chr6:84568904-84568915(+)::chr6:84568903-84568915(+) AAAAGGAAGTAA >mm10_chr6:84568972-84568983(+)::chr6:84568971-84568983(+) agaaggaaggtg >mm10_chr6:84697920-84697931(-)::chr6:84697919-84697931(-) AACAGGAAATAC >mm10_chr6:84775298-84775309(+)::chr6:84775297-84775309(+) TACAGGAAGTGG >mm10_chr6:84775324-84775335(+)::chr6:84775323-84775335(+) TAAAGGAACTTA >mm10_chr6:84931690-84931701(+)::chr6:84931689-84931701(+) ATCAGGAAGACA >mm10_chr6:84931703-84931714(+)::chr6:84931702-84931714(+) AACAGGAAATTG >mm10_chr6:84931725-84931736(+)::chr6:84931724-84931736(+) AGCAGGAAGACA >mm10_chr6:84996104-84996115(-)::chr6:84996103-84996115(-) agaaggaaggTG >mm10_chr6:84996111-84996122(-)::chr6:84996110-84996122(-) ggaaggaagaag >mm10_chr6:84996115-84996126(-)::chr6:84996114-84996126(-) aggaggaaggaa >mm10_chr6:84996122-84996133(-)::chr6:84996121-84996133(-) gaaaggaaggag >mm10_chr6:85012416-85012427(-)::chr6:85012415-85012427(-) AGGAGGAAGTAC >mm10_chr6:85068477-85068488(+)::chr6:85068476-85068488(+) AACAGGAAGTAC >mm10_chr6:85075079-85075090(-)::chr6:85075078-85075090(-) atcaggatgtag >mm10_chr6:85075099-85075110(+)::chr6:85075098-85075110(+) agcaggaagaaa >mm10_chr6:85137813-85137824(+)::chr6:85137812-85137824(+) CGGCGGAAGTTC >mm10_chr6:85160453-85160464(-)::chr6:85160452-85160464(-) ACAAGGAAGCTC >mm10_chr6:85184116-85184127(+)::chr6:85184115-85184127(+) TTAAGCAAGTAT >mm10_chr6:85184135-85184146(+)::chr6:85184134-85184146(+) ACAAGGAAGTGC >mm10_chr6:85190804-85190815(+)::chr6:85190803-85190815(+) AGGAGGAAGAGG >mm10_chr6:85250921-85250932(+)::chr6:85250920-85250932(+) AAGAGGAAGAGC >mm10_chr6:85286431-85286442(+)::chr6:85286430-85286442(+) AGCAGGAACTGA >mm10_chr6:85286448-85286459(-)::chr6:85286447-85286459(-) TGCAGGAAATCG >mm10_chr6:85302580-85302591(+)::chr6:85302579-85302591(+) AGGAGGAAGGAG >mm10_chr6:85302901-85302912(+)::chr6:85302900-85302912(+) GGCAGGAAGTCT >mm10_chr6:85306582-85306593(-)::chr6:85306581-85306593(-) GGCAGGAAGTGG >mm10_chr6:85315387-85315398(-)::chr6:85315386-85315398(-) TAGAGGAAGTGC >mm10_chr6:85322819-85322830(+)::chr6:85322818-85322830(+) ACACGGAAATGA >mm10_chr6:85350403-85350414(+)::chr6:85350402-85350414(+) aaaaggaagtga >mm10_chr6:85364715-85364726(+)::chr6:85364714-85364726(+) tgcaggaagccg >mm10_chr6:85367138-85367149(+)::chr6:85367137-85367149(+) GGGAGGAAGGAG >mm10_chr6:85367192-85367203(+)::chr6:85367191-85367203(+) AGAAGGAAGGTC >mm10_chr6:85369649-85369660(-)::chr6:85369648-85369660(-) GGGAGGAAGTGT >mm10_chr6:85372552-85372563(+)::chr6:85372551-85372563(+) ACCAGGAAGGAG >mm10_chr6:85373714-85373725(-)::chr6:85373713-85373725(-) AGCAGGAAGGTG >mm10_chr6:85373737-85373748(-)::chr6:85373736-85373748(-) GAGAGGAAGTCT >mm10_chr6:85378797-85378808(-)::chr6:85378796-85378808(-) AGGAGGAAGGAG >mm10_chr6:85379110-85379121(+)::chr6:85379109-85379121(+) ataaggatgtcc >mm10_chr6:85380669-85380680(+)::chr6:85380668-85380680(+) GCAAGGAAGTGG >mm10_chr6:85441487-85441498(-)::chr6:85441486-85441498(-) GTAGGGAAGTCA >mm10_chr6:85444842-85444853(+)::chr6:85444841-85444853(+) ATAAGGCAGTTG >mm10_chr6:85452436-85452447(+)::chr6:85452435-85452447(+) GTGCGGAAGTGA >mm10_chr6:85587585-85587596(-)::chr6:85587584-85587596(-) gcgaggaaggga >mm10_chr6:85612174-85612185(+)::chr6:85612173-85612185(+) ATAAGGAAGGCT >mm10_chr6:85620966-85620977(-)::chr6:85620965-85620977(-) TTGAGGAAGACG >mm10_chr6:85812754-85812765(-)::chr6:85812753-85812765(-) TCCAGGAAGAGG >mm10_chr6:85816959-85816970(+)::chr6:85816958-85816970(+) acacggaaggac >mm10_chr6:85834412-85834423(-)::chr6:85834411-85834423(-) GTGAGGAAGGGG >mm10_chr6:85859834-85859845(-)::chr6:85859833-85859845(-) ggaaggaagtac >mm10_chr6:85884039-85884050(-)::chr6:85884038-85884050(-) GAGAGGAAGAGA >mm10_chr6:85911128-85911139(+)::chr6:85911127-85911139(+) GAGAGGAAGTAT >mm10_chr6:85937263-85937274(-)::chr6:85937262-85937274(-) GGAAGGAAGCAC >mm10_chr6:86046896-86046907(+)::chr6:86046895-86046907(+) atgaggaagagg >mm10_chr6:86046902-86046913(+)::chr6:86046901-86046913(+) aagaggaagagg >mm10_chr6:86046908-86046919(+)::chr6:86046907-86046919(+) aagaggaagtgg >mm10_chr6:86046920-86046931(+)::chr6:86046919-86046931(+) atgaggaagcag >mm10_chr6:86176571-86176582(-)::chr6:86176570-86176582(-) GCAAGGAAATAC >mm10_chr6:86225822-86225833(-)::chr6:86225821-86225833(-) CAGAGGAAGTCA >mm10_chr6:86234166-86234177(-)::chr6:86234165-86234177(-) ATCAGGAAGCAG >mm10_chr6:86324743-86324754(+)::chr6:86324742-86324754(+) CTGAGGAAGTGG >mm10_chr6:86335692-86335703(+)::chr6:86335691-86335703(+) AACAGGAAGTGC >mm10_chr6:86335764-86335775(-)::chr6:86335763-86335775(-) CAGAGGAAGCGC >mm10_chr6:86364742-86364753(-)::chr6:86364741-86364753(-) AGAAGGAAAAGA >mm10_chr6:86371393-86371404(+)::chr6:86371392-86371404(+) AACCGGAAGCGT >mm10_chr6:86438646-86438657(+)::chr6:86438645-86438657(+) GCCGGGAAGCGG >mm10_chr6:86447397-86447408(+)::chr6:86447396-86447408(+) AAGAGGAAGAAG >mm10_chr6:86487356-86487367(+)::chr6:86487355-86487367(+) AAAGGGAAGTGA >mm10_chr6:86499245-86499256(+)::chr6:86499244-86499256(+) aagaggaagaag >mm10_chr6:86499278-86499289(+)::chr6:86499277-86499289(+) aggaggaagagg >mm10_chr6:86499293-86499304(+)::chr6:86499292-86499304(+) gggaggaagagg >mm10_chr6:86499299-86499310(+)::chr6:86499298-86499310(+) aagaggaagaca >mm10_chr6:86500948-86500959(-)::chr6:86500947-86500959(-) TGGAGGAAGTGA >mm10_chr6:86503677-86503688(+)::chr6:86503676-86503688(+) AGTAGGAAGAGG >mm10_chr6:86503683-86503694(+)::chr6:86503682-86503694(+) AAGAGGAAGAGG >mm10_chr6:86503706-86503717(+)::chr6:86503705-86503717(+) AAAAGGAAGTCA >mm10_chr6:86515538-86515549(-)::chr6:86515537-86515549(-) aagaggaaggag >mm10_chr6:86515544-86515555(-)::chr6:86515543-86515555(-) aggaggaagagg >mm10_chr6:86515579-86515590(-)::chr6:86515578-86515590(-) aggaggaagagg >mm10_chr6:86515619-86515630(-)::chr6:86515618-86515630(-) agaaggaagaag >mm10_chr6:86515631-86515642(-)::chr6:86515630-86515642(-) Cggaggaaggag >mm10_chr6:86571001-86571012(-)::chr6:86571000-86571012(-) TGAAGGAAGTGC >mm10_chr6:86578434-86578445(-)::chr6:86578433-86578445(-) AGGAGGAAGAGG >mm10_chr6:86594817-86594828(+)::chr6:86594816-86594828(+) aggaggaaggct >mm10_chr6:86607690-86607701(+)::chr6:86607689-86607701(+) ATCAGGAAGTGG >mm10_chr6:86607724-86607735(+)::chr6:86607723-86607735(+) AACAGGAAGCAG >mm10_chr6:86612487-86612498(+)::chr6:86612486-86612498(+) tcagggaagtag >mm10_chr6:86612530-86612541(-)::chr6:86612529-86612541(-) atcaggaagtga >mm10_chr6:86648468-86648479(-)::chr6:86648467-86648479(-) ACAAGGAAATTG >mm10_chr6:86767435-86767446(+)::chr6:86767434-86767446(+) aggaggaagaga >mm10_chr6:86780275-86780286(+)::chr6:86780274-86780286(+) tccaggaagtgg >mm10_chr6:86851724-86851735(+)::chr6:86851723-86851735(+) ATGAGGAAGGAG >mm10_chr6:86874806-86874817(+)::chr6:86874805-86874817(+) AGCAGGAAGCAC >mm10_chr6:87009794-87009805(+)::chr6:87009793-87009805(+) GTAAGGAACCGG >mm10_chr6:87038039-87038050(-)::chr6:87038038-87038050(-) TCAAGGAAGCAG >mm10_chr6:87041207-87041218(+)::chr6:87041206-87041218(+) ATAAGGAACTGT >mm10_chr6:87042639-87042650(-)::chr6:87042638-87042650(-) AGGAGGAAGGGA >mm10_chr6:87045031-87045042(-)::chr6:87045030-87045042(-) ATGAGGAAATAA >mm10_chr6:87045048-87045059(+)::chr6:87045047-87045059(+) ACCAGGAAGAAA >mm10_chr6:87274023-87274034(-)::chr6:87274022-87274034(-) accaggaagagg >mm10_chr6:87424471-87424482(+)::chr6:87424470-87424482(+) ACAAGGATGTCC >mm10_chr6:87463539-87463550(+)::chr6:87463538-87463550(+) GGAAGGAAGTGT >mm10_chr6:87499969-87499980(+)::chr6:87499968-87499980(+) AGAAGGAAGTAT >mm10_chr6:87500009-87500020(-)::chr6:87500008-87500020(-) AGGAGGAAGTGG >mm10_chr6:87511264-87511275(+)::chr6:87511263-87511275(+) AGGAGGAAGAGG >mm10_chr6:87511270-87511281(+)::chr6:87511269-87511281(+) AAGAGGAAGTTG >mm10_chr6:87522463-87522474(+)::chr6:87522462-87522474(+) GTCGGGAAGTGG >mm10_chr6:87542164-87542175(+)::chr6:87542163-87542175(+) AACAGGAAGTTG >mm10_chr6:87764026-87764037(+)::chr6:87764025-87764037(+) AAGAGGAAGTAA >mm10_chr6:87789271-87789282(-)::chr6:87789270-87789282(-) GGGAGGAAGTAC >mm10_chr6:87807169-87807180(+)::chr6:87807168-87807180(+) GAGAGGAAGTGA >mm10_chr6:87807186-87807197(+)::chr6:87807185-87807197(+) AGCAGGAAGGCA >mm10_chr6:87851083-87851094(+)::chr6:87851082-87851094(+) CGCAGGAAGCCA >mm10_chr6:87888002-87888013(-)::chr6:87888001-87888013(-) AGCAGGAAGATG >mm10_chr6:87913492-87913503(-)::chr6:87913491-87913503(-) GTCAGGAAGGGC >mm10_chr6:87913507-87913518(-)::chr6:87913506-87913518(-) GGCAGGAAGAAT >mm10_chr6:87924020-87924031(-)::chr6:87924019-87924031(-) AGCAGGAAGTTg >mm10_chr6:88012740-88012751(+)::chr6:88012739-88012751(+) AGGAGGAAGCCA >mm10_chr6:88019406-88019417(+)::chr6:88019405-88019417(+) GTGAGGAAGGAA >mm10_chr6:88019410-88019421(+)::chr6:88019409-88019421(+) GGAAGGAAGGGA >mm10_chr6:88019459-88019470(+)::chr6:88019458-88019470(+) ATAATGAAGTCC >mm10_chr6:88027370-88027381(+)::chr6:88027369-88027381(+) tccaggaagtcc >mm10_chr6:88027610-88027621(+)::chr6:88027609-88027621(+) AGAAAGAAGTGT >mm10_chr6:88045222-88045233(-)::chr6:88045221-88045233(-) TGGCGGAAGTGG >mm10_chr6:88048046-88048057(-)::chr6:88048045-88048057(-) AGAAGGAAGTAG >mm10_chr6:88053870-88053881(+)::chr6:88053869-88053881(+) TATAGGAAGAGG >mm10_chr6:88060877-88060888(+)::chr6:88060876-88060888(+) tcaaggaagtaa >mm10_chr6:88065292-88065303(+)::chr6:88065291-88065303(+) AAACGGAAGCGG >mm10_chr6:88075190-88075201(+)::chr6:88075189-88075201(+) CGCAGGACGTCA >mm10_chr6:88075453-88075464(-)::chr6:88075452-88075464(-) AGGAGGAAGTGA >mm10_chr6:88084317-88084328(+)::chr6:88084316-88084328(+) ATAAGGAAATCA >mm10_chr6:88124257-88124268(-)::chr6:88124256-88124268(-) AGAAGGAAGTGG >mm10_chr6:88209684-88209695(+)::chr6:88209683-88209695(+) AGGAGGAAGCCA >mm10_chr6:88209705-88209716(-)::chr6:88209704-88209716(-) AGAGGGAAGCCG >mm10_chr6:88300199-88300210(-)::chr6:88300198-88300210(-) AGCAGGAAGCAA >mm10_chr6:88300215-88300226(-)::chr6:88300214-88300226(-) AAGAGGAAGAAA >mm10_chr6:88306461-88306472(+)::chr6:88306460-88306472(+) TAGAGGAAGAGG >mm10_chr6:88306949-88306960(-)::chr6:88306948-88306960(-) AGGAGGAAGAAG >mm10_chr6:88321798-88321809(-)::chr6:88321797-88321809(-) CGAAGGAAGTTC >mm10_chr6:88321822-88321833(-)::chr6:88321821-88321833(-) AGAAGGAAGGAC >mm10_chr6:88339918-88339929(+)::chr6:88339917-88339929(+) AGCAGGAAGGTG >mm10_chr6:88339956-88339967(+)::chr6:88339955-88339967(+) GGTAGGAAGGAC >mm10_chr6:88412957-88412968(-)::chr6:88412956-88412968(-) AGCAGGAAGAAA >mm10_chr6:88412975-88412986(+)::chr6:88412974-88412986(+) ACCAGGAAGCCC >mm10_chr6:88465278-88465289(+)::chr6:88465277-88465289(+) GGCAGGAAATGT >mm10_chr6:88465319-88465330(+)::chr6:88465318-88465330(+) AGACGGAAGTTA >mm10_chr6:88472272-88472283(+)::chr6:88472271-88472283(+) AGGAGGAAGAGC >mm10_chr6:88483360-88483371(-)::chr6:88483359-88483371(-) GGGAGGAAGTTG >mm10_chr6:88518859-88518870(-)::chr6:88518858-88518870(-) GGCAGGAAGCGG >mm10_chr6:88518996-88519007(+)::chr6:88518995-88519007(+) TCCCGGAAGTGA >mm10_chr6:88522983-88522994(-)::chr6:88522982-88522994(-) agaaggaaagaa >mm10_chr6:88522990-88523001(-)::chr6:88522989-88523001(-) aagaggaagaag >mm10_chr6:88522996-88523007(-)::chr6:88522995-88523007(-) aagaggaagagg >mm10_chr6:88523002-88523013(-)::chr6:88523001-88523013(-) aagaggaagagg >mm10_chr6:88595960-88595971(+)::chr6:88595959-88595971(+) TCCAGGAAGTCC >mm10_chr6:88644346-88644357(-)::chr6:88644345-88644357(-) ataaggaagTCA >mm10_chr6:88644428-88644439(-)::chr6:88644427-88644439(-) tcaaggatgtga >mm10_chr6:88661363-88661374(+)::chr6:88661362-88661374(+) aaaaggaagaaa >mm10_chr6:88712021-88712032(-)::chr6:88712020-88712032(-) GGAAGGAAGCAA >mm10_chr6:88718136-88718147(+)::chr6:88718135-88718147(+) ACCAGGAAGTGA >mm10_chr6:88730083-88730094(+)::chr6:88730082-88730094(+) gataggaagata >mm10_chr6:88731541-88731552(-)::chr6:88731540-88731552(-) AGGAGGAAGAAG >mm10_chr6:88733739-88733750(+)::chr6:88733738-88733750(+) TGCaggaagaga >mm10_chr6:88733747-88733758(+)::chr6:88733746-88733758(+) gagaggaaggga >mm10_chr6:88733772-88733783(+)::chr6:88733771-88733783(+) ggaaggaagaga >mm10_chr6:88733787-88733798(+)::chr6:88733786-88733798(+) ggaaggaaggaa >mm10_chr6:88737404-88737415(-)::chr6:88737403-88737415(-) AGAAGGAAGACT >mm10_chr6:88743729-88743740(-)::chr6:88743728-88743740(-) ACACGGAAGGCA >mm10_chr6:88747915-88747926(+)::chr6:88747914-88747926(+) ACACGGAAGCAA >mm10_chr6:88794739-88794750(+)::chr6:88794738-88794750(+) AGGAGGAAATGG >mm10_chr6:88798971-88798982(-)::chr6:88798970-88798982(-) ATACGGAAGCTG >mm10_chr6:88812135-88812146(+)::chr6:88812134-88812146(+) AACAGGAAATGA >mm10_chr6:88812161-88812172(+)::chr6:88812160-88812172(+) ACCAGGAAATGA >mm10_chr6:88813362-88813373(+)::chr6:88813361-88813373(+) tcaaggaaatac >mm10_chr6:88821117-88821128(+)::chr6:88821116-88821128(+) AGCAGGAAGTGG >mm10_chr6:88830102-88830113(+)::chr6:88830101-88830113(+) AGAAGGAAGATT >mm10_chr6:88849830-88849841(-)::chr6:88849829-88849841(-) aggaggaagagg >mm10_chr6:88849842-88849853(-)::chr6:88849841-88849853(-) aggaggaagagg >mm10_chr6:88850637-88850648(+)::chr6:88850636-88850648(+) accaggaagcag >mm10_chr6:88850678-88850689(+)::chr6:88850677-88850689(+) acaaggaaggac >mm10_chr6:88870729-88870740(-)::chr6:88870728-88870740(-) accgggaagttg >mm10_chr6:88901664-88901675(+)::chr6:88901663-88901675(+) GGAGGGAAGGCG >mm10_chr6:88902786-88902797(-)::chr6:88902785-88902797(-) GCCAGGAAGGCA >mm10_chr6:88902803-88902814(+)::chr6:88902802-88902814(+) GGAAGGAAGGGC >mm10_chr6:88928279-88928290(-)::chr6:88928278-88928290(-) AAAAGGTAGTGG >mm10_chr6:88962222-88962233(-)::chr6:88962221-88962233(-) agcaggaaggga >mm10_chr6:89059616-89059627(-)::chr6:89059615-89059627(-) caaaggaagtta >mm10_chr6:89215146-89215157(-)::chr6:89215145-89215157(-) accaggaagtca >mm10_chr6:89288328-89288339(-)::chr6:89288327-89288339(-) AACAGGAAGCAG >mm10_chr6:89357015-89357026(-)::chr6:89357014-89357026(-) ACGAGGAAGATG >mm10_chr6:89373820-89373831(+)::chr6:89373819-89373831(+) GGAAGGAAGCAG >mm10_chr6:89374055-89374066(-)::chr6:89374054-89374066(-) TGAAGGAAGTAC >mm10_chr6:89374081-89374092(-)::chr6:89374080-89374092(-) ACCAGGAAGTCC >mm10_chr6:89398126-89398137(+)::chr6:89398125-89398137(+) AAGAGGAAGTGG >mm10_chr6:89405112-89405123(-)::chr6:89405111-89405123(-) ACCAGGAAGCTG >mm10_chr6:89415970-89415981(-)::chr6:89415969-89415981(-) ggaaggaagggg >mm10_chr6:89415974-89415985(-)::chr6:89415973-89415985(-) caaaggaaggaa >mm10_chr6:89430942-89430953(-)::chr6:89430941-89430953(-) aacaggaagcag >mm10_chr6:89453516-89453527(+)::chr6:89453515-89453527(+) TACAGGAAGCAC >mm10_chr6:89453543-89453554(+)::chr6:89453542-89453554(+) TTCAGGAAGTAT >mm10_chr6:89536178-89536189(+)::chr6:89536177-89536189(+) aacaggaagtta >mm10_chr6:89594872-89594883(+)::chr6:89594871-89594883(+) tcaaggaaggat >mm10_chr6:89632149-89632160(-)::chr6:89632148-89632160(-) ACAGGGAAGTGG >mm10_chr6:89662708-89662719(-)::chr6:89662707-89662719(-) agcaggaagcag >mm10_chr6:89679708-89679719(-)::chr6:89679707-89679719(-) AGGAGGAAATGG >mm10_chr6:90288582-90288593(+)::chr6:90288581-90288593(+) ATAGGGAAGTAG >mm10_chr6:90347487-90347498(-)::chr6:90347486-90347498(-) AGAAGGAAGAAC >mm10_chr6:90360523-90360534(-)::chr6:90360522-90360534(-) ACAAGGAAGAAA >mm10_chr6:90360579-90360590(-)::chr6:90360578-90360590(-) AGAAGCAAGTCA >mm10_chr6:90360591-90360602(-)::chr6:90360590-90360602(-) TCCAGGAAGTGG >mm10_chr6:90363603-90363614(-)::chr6:90363602-90363614(-) AACCGGAAGTGA >mm10_chr6:90364233-90364244(+)::chr6:90364232-90364244(+) ataaggaagtcg >mm10_chr6:90430048-90430059(-)::chr6:90430047-90430059(-) acaaggaagggt >mm10_chr6:90463824-90463835(+)::chr6:90463823-90463835(+) TCCAGGAAGTCC >mm10_chr6:90470491-90470502(-)::chr6:90470490-90470502(-) atgaggaaggac >mm10_chr6:90471325-90471336(-)::chr6:90471324-90471336(-) TCTAGGAAGGCG >mm10_chr6:90472349-90472360(+)::chr6:90472348-90472360(+) GGCAGGAAATGA >mm10_chr6:90472418-90472429(-)::chr6:90472417-90472429(-) TCAAGGAAGAAC >mm10_chr6:90488502-90488513(-)::chr6:90488501-90488513(-) ttcaggaagttg >mm10_chr6:90506241-90506252(-)::chr6:90506240-90506252(-) AAGAGGAAATGT >mm10_chr6:90527056-90527067(-)::chr6:90527055-90527067(-) agaaggaaggag >mm10_chr6:90527065-90527076(-)::chr6:90527064-90527076(-) aagaggaagaga >mm10_chr6:90527071-90527082(-)::chr6:90527070-90527082(-) aagaggaagagg >mm10_chr6:90527433-90527444(-)::chr6:90527432-90527444(-) CTAAGGAAATGA >mm10_chr6:90527447-90527458(-)::chr6:90527446-90527458(-) ACAAGGAAATTT >mm10_chr6:90549467-90549478(+)::chr6:90549466-90549478(+) ttaaggaagcag >mm10_chr6:90549493-90549504(-)::chr6:90549492-90549504(-) atcaggaaatgc >mm10_chr6:90561342-90561353(+)::chr6:90561341-90561353(+) ACAAGGAAGGAC >mm10_chr6:90597414-90597425(+)::chr6:90597413-90597425(+) AGGAGGAAGCTG >mm10_chr6:90604927-90604938(-)::chr6:90604926-90604938(-) GGGAGGAAGCGA >mm10_chr6:90681487-90681498(+)::chr6:90681486-90681498(+) aagaggaagagg >mm10_chr6:90681493-90681504(+)::chr6:90681492-90681504(+) aagaggaagagg >mm10_chr6:90681499-90681510(+)::chr6:90681498-90681510(+) aagaggaagagg >mm10_chr6:90681505-90681516(+)::chr6:90681504-90681516(+) aagaggaagagg >mm10_chr6:90681511-90681522(+)::chr6:90681510-90681522(+) aagaggaagagg >mm10_chr6:90681541-90681552(+)::chr6:90681540-90681552(+) GTAAGGAAGGGG >mm10_chr6:90713343-90713354(+)::chr6:90713342-90713354(+) AAGAGGAAGGAA >mm10_chr6:90713347-90713358(+)::chr6:90713346-90713358(+) GGAAGGAAGAAA >mm10_chr6:90721215-90721226(+)::chr6:90721214-90721226(+) AGGAGGAAATGC >mm10_chr6:90805086-90805097(+)::chr6:90805085-90805097(+) AAAAGGAAGTAC >mm10_chr6:90883274-90883285(-)::chr6:90883273-90883285(-) atgaggaaatag >mm10_chr6:90929017-90929028(-)::chr6:90929016-90929028(-) acaaggaaggac >mm10_chr6:90989828-90989839(-)::chr6:90989827-90989839(-) ACAAGGAAGAGG >mm10_chr6:91002892-91002903(+)::chr6:91002891-91002903(+) agaaggaagagc >mm10_chr6:91018019-91018030(+)::chr6:91018018-91018030(+) aggaggaagagg >mm10_chr6:91018025-91018036(+)::chr6:91018024-91018036(+) aagaggaagaag >mm10_chr6:91018043-91018054(+)::chr6:91018042-91018054(+) aggaggaagggg >mm10_chr6:91018074-91018085(+)::chr6:91018073-91018085(+) gggaggaagagg >mm10_chr6:91018080-91018091(+)::chr6:91018079-91018091(+) aagaggaagaag >mm10_chr6:91078178-91078189(-)::chr6:91078177-91078189(-) TAGAGGAAATAC >mm10_chr6:91109208-91109219(+)::chr6:91109207-91109219(+) ACCAGGAAGCAA >mm10_chr6:91124978-91124989(+)::chr6:91124977-91124989(+) TGAAGGAAGTGT >mm10_chr6:91125020-91125031(-)::chr6:91125019-91125031(-) AAAAGAAAGTAA >mm10_chr6:91157532-91157543(-)::chr6:91157531-91157543(-) TTCAGGAAGTTG >mm10_chr6:91225248-91225259(-)::chr6:91225247-91225259(-) GAAAGGAAGCAA >mm10_chr6:91248847-91248858(-)::chr6:91248846-91248858(-) AAGAGGAAGTGG >mm10_chr6:91295751-91295762(+)::chr6:91295750-91295762(+) AGGAGGAAGGAG >mm10_chr6:91295793-91295804(-)::chr6:91295792-91295804(-) TGCAGGAAATAG >mm10_chr6:91440984-91440995(-)::chr6:91440983-91440995(-) GCAAGGAAAGCG >mm10_chr6:91454711-91454722(-)::chr6:91454710-91454722(-) GTCAGGAAGTCA >mm10_chr6:91515920-91515931(+)::chr6:91515919-91515931(+) CGAAGGAAGCCC >mm10_chr6:91524628-91524639(-)::chr6:91524627-91524639(-) ATAGGGAAGTGC >mm10_chr6:91541204-91541215(-)::chr6:91541203-91541215(-) aacaggaagtga >mm10_chr6:91541428-91541439(-)::chr6:91541427-91541439(-) TCCAGGAAGAGG >mm10_chr6:91552590-91552601(+)::chr6:91552589-91552601(+) ACAAGGAAGGGC >mm10_chr6:91552609-91552620(+)::chr6:91552608-91552620(+) TACAGGAAGCAC >mm10_chr6:91614961-91614972(-)::chr6:91614960-91614972(-) TCAGGGAAGTAT >mm10_chr6:91683173-91683184(+)::chr6:91683172-91683184(+) AAAAGGAAGTGG >mm10_chr6:91716706-91716717(-)::chr6:91716705-91716717(-) aagaggaagaga >mm10_chr6:91716715-91716726(-)::chr6:91716714-91716726(-) aagaggaagaag >mm10_chr6:91792779-91792790(+)::chr6:91792778-91792790(+) AAAAGGAAGTTT >mm10_chr6:92041539-92041550(-)::chr6:92041538-92041550(-) tggcggaagtgg >mm10_chr6:92042276-92042287(-)::chr6:92042275-92042287(-) TAAAGGAAGCGG >mm10_chr6:92091433-92091444(+)::chr6:92091432-92091444(+) AAGAGGAAGAGG >mm10_chr6:92269320-92269331(-)::chr6:92269319-92269331(-) GCAAGGAAGACC >mm10_chr6:92315394-92315405(+)::chr6:92315393-92315405(+) accaggaagtat >mm10_chr6:92377346-92377357(-)::chr6:92377345-92377357(-) TCTAGGAAGTCG >mm10_chr6:92388969-92388980(-)::chr6:92388968-92388980(-) AGAAGGAAGAAG >mm10_chr6:92418806-92418817(+)::chr6:92418805-92418817(+) atcaggaagtag >mm10_chr6:92422435-92422446(-)::chr6:92422434-92422446(-) ACGAGGAAGAGA >mm10_chr6:92458687-92458698(+)::chr6:92458686-92458698(+) AGAAGGAAGAGA >mm10_chr6:92465248-92465259(-)::chr6:92465247-92465259(-) GAAGGGAAGTGG >mm10_chr6:92465253-92465264(-)::chr6:92465252-92465264(-) GCAAGGAAGGGA >mm10_chr6:92469024-92469035(-)::chr6:92469023-92469035(-) GCAGGGAAGTTA >mm10_chr6:92469074-92469085(-)::chr6:92469073-92469085(-) AAGAGGAAATGA >mm10_chr6:92535125-92535136(+)::chr6:92535124-92535136(+) AGAAGGAAAGAG >mm10_chr6:92555896-92555907(-)::chr6:92555895-92555907(-) aacaggaagcta >mm10_chr6:92557327-92557338(-)::chr6:92557326-92557338(-) TGAAGGAAGCTG >mm10_chr6:92580723-92580734(+)::chr6:92580722-92580734(+) aggaggaaatgc >mm10_chr6:92665684-92665695(+)::chr6:92665683-92665695(+) TGCAGGAAGTTT >mm10_chr6:92695661-92695672(-)::chr6:92695660-92695672(-) AGAAGGAAGTCT >mm10_chr6:92706416-92706427(-)::chr6:92706415-92706427(-) GCAAGGAAGCAG >mm10_chr6:92834676-92834687(-)::chr6:92834675-92834687(-) aaacggaaggat >mm10_chr6:92892086-92892097(-)::chr6:92892085-92892097(-) aggaggaagagg >mm10_chr6:92902564-92902575(-)::chr6:92902563-92902575(-) agcaggaagagc >mm10_chr6:92910860-92910871(+)::chr6:92910859-92910871(+) AGCAGGAAGCAG >mm10_chr6:92941455-92941466(-)::chr6:92941454-92941466(-) GAAAGGAAGGGT >mm10_chr6:92941479-92941490(-)::chr6:92941478-92941490(-) ACAGGGAAGCGG >mm10_chr6:92985380-92985391(+)::chr6:92985379-92985391(+) tgcaggaagtat >mm10_chr6:92985432-92985443(-)::chr6:92985431-92985443(-) gtcaggaagtag >mm10_chr6:92997047-92997058(+)::chr6:92997046-92997058(+) acgaggaaggaa >mm10_chr6:93066404-93066415(-)::chr6:93066403-93066415(-) AACAGGAAGAAG >mm10_chr6:93099659-93099670(+)::chr6:93099658-93099670(+) atcaggaagtga >mm10_chr6:93120379-93120390(-)::chr6:93120378-93120390(-) aggaggaagagg >mm10_chr6:93120388-93120399(-)::chr6:93120387-93120399(-) aagaggaagagg >mm10_chr6:93120394-93120405(-)::chr6:93120393-93120405(-) aaaaggaagagg >mm10_chr6:93120478-93120489(-)::chr6:93120477-93120489(-) aggaggaagagg >mm10_chr6:93120517-93120528(-)::chr6:93120516-93120528(-) AGAAGAAAGTgg >mm10_chr6:93120546-93120557(+)::chr6:93120545-93120557(+) TAAAAGAAGTag >mm10_chr6:93167305-93167316(+)::chr6:93167304-93167316(+) GTCAGGAAGGAT >mm10_chr6:93181312-93181323(+)::chr6:93181311-93181323(+) ACAAGGAAATGG >mm10_chr6:93648741-93648752(+)::chr6:93648740-93648752(+) AACAGGAAATCC >mm10_chr6:93694601-93694612(-)::chr6:93694600-93694612(-) GGCAGGAAGACA >mm10_chr6:93694611-93694622(-)::chr6:93694610-93694622(-) AAAATGAAGTGG >mm10_chr6:93757707-93757718(-)::chr6:93757706-93757718(-) AAACGGAAGAAA >mm10_chr6:93757746-93757757(-)::chr6:93757745-93757757(-) AACAGGAAGACG >mm10_chr6:93758284-93758295(+)::chr6:93758283-93758295(+) atcaggaagtta >mm10_chr6:93771415-93771426(+)::chr6:93771414-93771426(+) AGAAGGAAGGGA >mm10_chr6:93800692-93800703(-)::chr6:93800691-93800703(-) GTGAGGAAGGGG >mm10_chr6:93853466-93853477(+)::chr6:93853465-93853477(+) ctaaggaagcaa >mm10_chr6:93853477-93853488(+)::chr6:93853476-93853488(+) acgaggaagagg >mm10_chr6:93860879-93860890(+)::chr6:93860878-93860890(+) aacaggaaggaa >mm10_chr6:93860939-93860950(-)::chr6:93860938-93860950(-) GGAaggaaggca >mm10_chr6:93860943-93860954(-)::chr6:93860942-93860954(-) GGAAGGAaggaa >mm10_chr6:93860947-93860958(-)::chr6:93860946-93860958(-) AAAAGGAAGGAa >mm10_chr6:93892864-93892875(+)::chr6:93892863-93892875(+) agaaggatgtat >mm10_chr6:93892880-93892891(+)::chr6:93892879-93892891(+) acaaggaaatag >mm10_chr6:93953785-93953796(-)::chr6:93953784-93953796(-) ATAAGGAAGCCG >mm10_chr6:93953796-93953807(-)::chr6:93953795-93953807(-) GCCAGGAAGTAA >mm10_chr6:93965159-93965170(+)::chr6:93965158-93965170(+) ACAAGGAAGACA >mm10_chr6:93965189-93965200(+)::chr6:93965188-93965200(+) GAAAGGAAGCCA >mm10_chr6:93981524-93981535(+)::chr6:93981523-93981535(+) GGAAGGAAGGAC >mm10_chr6:93982172-93982183(+)::chr6:93982171-93982183(+) agcaggaagcta >mm10_chr6:93982227-93982238(+)::chr6:93982226-93982238(+) aacaggaagtgg >mm10_chr6:94085576-94085587(+)::chr6:94085575-94085587(+) CGAAGGAAGGTT >mm10_chr6:94085588-94085599(+)::chr6:94085587-94085599(+) TGAAGGAAGGGG >mm10_chr6:94095163-94095174(+)::chr6:94095162-94095174(+) tgaaggaagcta >mm10_chr6:94136946-94136957(+)::chr6:94136945-94136957(+) CAGAGGAAGTAA >mm10_chr6:94145608-94145619(-)::chr6:94145607-94145619(-) ACACGGAAGATG >mm10_chr6:94158635-94158646(-)::chr6:94158634-94158646(-) TGCAGGAAGTCA >mm10_chr6:94176932-94176943(-)::chr6:94176931-94176943(-) aggaggaaggag >mm10_chr6:94181079-94181090(-)::chr6:94181078-94181090(-) AGTAGGAAGCCG >mm10_chr6:94181086-94181097(-)::chr6:94181085-94181097(-) CCGCGGAAGTAG >mm10_chr6:94185631-94185642(+)::chr6:94185630-94185642(+) ATTAGGAAGGGT >mm10_chr6:94187173-94187184(+)::chr6:94187172-94187184(+) ACCAGGAAATGG >mm10_chr6:94218378-94218389(+)::chr6:94218377-94218389(+) GAGAGGAAGTCT >mm10_chr6:94218399-94218410(-)::chr6:94218398-94218410(-) TGCAGGAAGTCA >mm10_chr6:94226130-94226141(-)::chr6:94226129-94226141(-) CTGAGGAAGTTA >mm10_chr6:94269011-94269022(-)::chr6:94269010-94269022(-) AAAGGGAAGTGC >mm10_chr6:94269398-94269409(+)::chr6:94269397-94269409(+) aggaggaagggt >mm10_chr6:94269421-94269432(-)::chr6:94269420-94269432(-) ataaggaagtgt >mm10_chr6:94274732-94274743(+)::chr6:94274731-94274743(+) AGCAGGAAGCTG >mm10_chr6:94282358-94282369(+)::chr6:94282357-94282369(+) ATAAGGACGACG >mm10_chr6:94283807-94283818(+)::chr6:94283806-94283818(+) CGAGGGAAGTGG >mm10_chr6:94301994-94302005(-)::chr6:94301993-94302005(-) taaaggaagaat >mm10_chr6:94329837-94329848(-)::chr6:94329836-94329848(-) AGGAGGAAGAAA >mm10_chr6:94329844-94329855(-)::chr6:94329843-94329855(-) GCAAGGAAGGAG >mm10_chr6:94353963-94353974(+)::chr6:94353962-94353974(+) ACAAGGAAATTC >mm10_chr6:94393332-94393343(+)::chr6:94393331-94393343(+) ACAAGGAAGTGG >mm10_chr6:94393358-94393369(+)::chr6:94393357-94393369(+) AGAAGGAAACGT >mm10_chr6:94402764-94402775(-)::chr6:94402763-94402775(-) AAAAGGAAGCAT >mm10_chr6:94402848-94402859(+)::chr6:94402847-94402859(+) aaaaggaagagg >mm10_chr6:94464759-94464770(-)::chr6:94464758-94464770(-) TAAAGGAACGGG >mm10_chr6:94486560-94486571(-)::chr6:94486559-94486571(-) gccaggaaatgt >mm10_chr6:94486593-94486604(-)::chr6:94486592-94486604(-) ATGAGGAAATGA >mm10_chr6:94523082-94523093(-)::chr6:94523081-94523093(-) ataaggaaaaga >mm10_chr6:94523119-94523130(-)::chr6:94523118-94523130(-) agggggaagtgt >mm10_chr6:94531042-94531053(-)::chr6:94531041-94531053(-) AAGAGGAAGTAC >mm10_chr6:94536496-94536507(+)::chr6:94536495-94536507(+) AGAAGGAAGAAC >mm10_chr6:94536517-94536528(-)::chr6:94536516-94536528(-) AAGAGGAAGAAG >mm10_chr6:94634841-94634852(-)::chr6:94634840-94634852(-) AGTCGGAAGTAG >mm10_chr6:94645862-94645873(+)::chr6:94645861-94645873(+) ACAaggaaggca >mm10_chr6:94645866-94645877(+)::chr6:94645865-94645877(+) ggaaggcagtgg >mm10_chr6:94647691-94647702(+)::chr6:94647690-94647702(+) TGCAGGAAGTGG >mm10_chr6:94647719-94647730(+)::chr6:94647718-94647730(+) AGAAGGAAATGG >mm10_chr6:94669613-94669624(-)::chr6:94669612-94669624(-) AAAAGTAAGTGG >mm10_chr6:94669638-94669649(+)::chr6:94669637-94669649(+) GTGAGGAAGTAA >mm10_chr6:94673638-94673649(-)::chr6:94673637-94673649(-) TGGAGGAAGTTA >mm10_chr6:94673656-94673667(+)::chr6:94673655-94673667(+) GGGAGGAAGAAG >mm10_chr6:94673663-94673674(+)::chr6:94673662-94673674(+) AGAAGGAAACAG >mm10_chr6:94679463-94679474(+)::chr6:94679462-94679474(+) tacaggaagcag >mm10_chr6:94683739-94683750(-)::chr6:94683738-94683750(-) AGAAGGAAGGAT >mm10_chr6:94683761-94683772(+)::chr6:94683760-94683772(+) AAGAGGAAGAAA >mm10_chr6:94692523-94692534(+)::chr6:94692522-94692534(+) ACAAGGAAGCAG >mm10_chr6:94697612-94697623(+)::chr6:94697611-94697623(+) AAAAGAAAGTAC >mm10_chr6:94697645-94697656(+)::chr6:94697644-94697656(+) TCCAGGAAGTGT >mm10_chr6:94738865-94738876(+)::chr6:94738864-94738876(+) acgaggaactac >mm10_chr6:94738893-94738904(+)::chr6:94738892-94738904(+) atcaggaaggtt >mm10_chr6:94738929-94738940(+)::chr6:94738928-94738940(+) CAAAggaaggaa >mm10_chr6:94748495-94748506(+)::chr6:94748494-94748506(+) CAAGGGAAGTGA >mm10_chr6:94748515-94748526(+)::chr6:94748514-94748526(+) ATGAGGAAGAGC >mm10_chr6:94788791-94788802(-)::chr6:94788790-94788802(-) aggaggaagagg >mm10_chr6:94817838-94817849(-)::chr6:94817837-94817849(-) aggaggaagagg >mm10_chr6:94817855-94817866(-)::chr6:94817854-94817866(-) aagaggaagagg >mm10_chr6:94817861-94817872(-)::chr6:94817860-94817872(-) ATgaggaagagg >mm10_chr6:94896497-94896508(+)::chr6:94896496-94896508(+) AACAGGAAGAAA >mm10_chr6:94896530-94896541(-)::chr6:94896529-94896541(-) AGGAGGAAATAA >mm10_chr6:94919938-94919949(+)::chr6:94919937-94919949(+) ACAGGGAAGTCT >mm10_chr6:94944243-94944254(+)::chr6:94944242-94944254(+) AGGAGGAAGCAC >mm10_chr6:94944271-94944282(-)::chr6:94944270-94944282(-) GTAAGGAATTCA >mm10_chr6:94968788-94968799(+)::chr6:94968787-94968799(+) TACAGGAAGCCA >mm10_chr6:94994227-94994238(+)::chr6:94994226-94994238(+) aaaaggaagagg >mm10_chr6:94994233-94994244(+)::chr6:94994232-94994244(+) aagaggaagagg >mm10_chr6:94994257-94994268(+)::chr6:94994256-94994268(+) aggaggaagaCA >mm10_chr6:95085111-95085122(+)::chr6:95085110-95085122(+) atcaggaagtag >mm10_chr6:95085118-95085129(+)::chr6:95085117-95085129(+) agtaggaagcag >mm10_chr6:95245166-95245177(-)::chr6:95245165-95245177(-) aAGAGGAAGCAA >mm10_chr6:95245214-95245225(-)::chr6:95245213-95245225(-) aacaggaagtag >mm10_chr6:95357451-95357462(-)::chr6:95357450-95357462(-) GCAAGGAAGGAA >mm10_chr6:95357468-95357479(+)::chr6:95357467-95357479(+) GACAGGAAATGC >mm10_chr6:95483911-95483922(-)::chr6:95483910-95483922(-) AGGAGGAAGCAA >mm10_chr6:95607831-95607842(+)::chr6:95607830-95607842(+) acaaggaactgt >mm10_chr6:95634288-95634299(-)::chr6:95634287-95634299(-) AGCAGGAAGAGA >mm10_chr6:95634341-95634352(+)::chr6:95634340-95634352(+) ATGAGGAAGGTG >mm10_chr6:95635930-95635941(+)::chr6:95635929-95635941(+) GAGAGGAAGACA >mm10_chr6:95649165-95649176(+)::chr6:95649164-95649176(+) aagaggaagaga >mm10_chr6:95650357-95650368(+)::chr6:95650356-95650368(+) ACACGGAAGGAC >mm10_chr6:95702027-95702038(-)::chr6:95702026-95702038(-) GCCAGGAAGGAC >mm10_chr6:95704652-95704663(+)::chr6:95704651-95704663(+) Ataaggaagaca >mm10_chr6:95723647-95723658(+)::chr6:95723646-95723658(+) ACCCGGAAGTCC >mm10_chr6:95976596-95976607(-)::chr6:95976595-95976607(-) AAAAGGAACGTG >mm10_chr6:97144576-97144587(+)::chr6:97144575-97144587(+) GAAAGGAAGCGT >mm10_chr6:97168430-97168441(+)::chr6:97168429-97168441(+) tggaggaaatgg >mm10_chr6:97170060-97170071(-)::chr6:97170059-97170071(-) aacaggaagcaa >mm10_chr6:97178636-97178647(-)::chr6:97178635-97178647(-) CGCGGGAAGCGT >mm10_chr6:97178693-97178704(+)::chr6:97178692-97178704(+) AGGCGGAAGACG >mm10_chr6:97179202-97179213(+)::chr6:97179201-97179213(+) AGACGGAAGGAC >mm10_chr6:97214191-97214202(-)::chr6:97214190-97214202(-) gggaggaagaga >mm10_chr6:97214209-97214220(-)::chr6:97214208-97214220(-) aggaggaagaag >mm10_chr6:97214227-97214238(-)::chr6:97214226-97214238(-) aggaggaagaag >mm10_chr6:97272107-97272118(+)::chr6:97272106-97272118(+) agaaggaagaag >mm10_chr6:97272114-97272125(+)::chr6:97272113-97272125(+) agaaggaagaag >mm10_chr6:97272121-97272132(+)::chr6:97272120-97272132(+) agaaggaagagg >mm10_chr6:97277992-97278003(-)::chr6:97277991-97278003(-) AGAAGGAAACAA >mm10_chr6:97288436-97288447(-)::chr6:97288435-97288447(-) AGACGGAAGCCA >mm10_chr6:97294156-97294167(+)::chr6:97294155-97294167(+) ACCAGGAAGCAG >mm10_chr6:97296021-97296032(+)::chr6:97296020-97296032(+) GGAAGGAGGTGG >mm10_chr6:97298774-97298785(-)::chr6:97298773-97298785(-) TGCAGGAAGTTT >mm10_chr6:97298793-97298804(-)::chr6:97298792-97298804(-) CACAGGAAGTAG >mm10_chr6:97312345-97312356(+)::chr6:97312344-97312356(+) AACAGGAAGAGT >mm10_chr6:97380865-97380876(+)::chr6:97380864-97380876(+) aagaggaagggg >mm10_chr6:97380892-97380903(+)::chr6:97380891-97380903(+) aggaggaaggaa >mm10_chr6:97380902-97380913(+)::chr6:97380901-97380913(+) aacaggaagagg >mm10_chr6:97380914-97380925(+)::chr6:97380913-97380925(+) aggaggaaggag >mm10_chr6:97380932-97380943(+)::chr6:97380931-97380943(+) gagaggaagaag >mm10_chr6:97420162-97420173(+)::chr6:97420161-97420173(+) GTAAGGCAGTCG >mm10_chr6:97450104-97450115(+)::chr6:97450103-97450115(+) CAAAGGAAGATG >mm10_chr6:97458123-97458134(-)::chr6:97458122-97458134(-) TGGAGGAAGTAG >mm10_chr6:97458136-97458147(-)::chr6:97458135-97458147(-) AGGAGGAAGTCT >mm10_chr6:97458197-97458208(-)::chr6:97458196-97458208(-) GAAAGGAAATTA >mm10_chr6:97482061-97482072(-)::chr6:97482060-97482072(-) acaaggaagcac >mm10_chr6:97482078-97482089(+)::chr6:97482077-97482089(+) aggaggaagcga >mm10_chr6:97482736-97482747(+)::chr6:97482735-97482747(+) TGGAGGAAGTGT >mm10_chr6:97483403-97483414(-)::chr6:97483402-97483414(-) ataaggaataga >mm10_chr6:97492273-97492284(+)::chr6:97492272-97492284(+) GGCAGGAAGGAC >mm10_chr6:97492298-97492309(+)::chr6:97492297-97492309(+) ACCAGGAAGATG >mm10_chr6:97505928-97505939(-)::chr6:97505927-97505939(-) AAAGGGAAGGCG >mm10_chr6:97506510-97506521(-)::chr6:97506509-97506521(-) ATAGGGAAGTCG >mm10_chr6:97523225-97523236(+)::chr6:97523224-97523236(+) CGGAGGAACTAC >mm10_chr6:97527032-97527043(-)::chr6:97527031-97527043(-) agcaggaagcaT >mm10_chr6:97527039-97527050(-)::chr6:97527038-97527050(-) agcaggaagcag >mm10_chr6:97527046-97527057(-)::chr6:97527045-97527057(-) agcaggaagcag >mm10_chr6:97538810-97538821(+)::chr6:97538809-97538821(+) aacaggaaatac >mm10_chr6:97541084-97541095(+)::chr6:97541083-97541095(+) aggaggaagagg >mm10_chr6:97541090-97541101(+)::chr6:97541089-97541101(+) aagaggaagaag >mm10_chr6:97541113-97541124(+)::chr6:97541112-97541124(+) aagaggaagagg >mm10_chr6:97541119-97541130(+)::chr6:97541118-97541130(+) aagaggaagaag >mm10_chr6:97541131-97541142(+)::chr6:97541130-97541142(+) aggaggaagagg >mm10_chr6:97541137-97541148(+)::chr6:97541136-97541148(+) aagaggaagaag >mm10_chr6:97578782-97578793(+)::chr6:97578781-97578793(+) ATCAGGAAGTTG >mm10_chr6:97680153-97680164(+)::chr6:97680152-97680164(+) aggaggaaggGA >mm10_chr6:97705446-97705457(-)::chr6:97705445-97705457(-) AGAAGGAAGAAG >mm10_chr6:97733819-97733830(+)::chr6:97733818-97733830(+) TACAGGAAATCA >mm10_chr6:97781417-97781428(+)::chr6:97781416-97781428(+) ATAAGGATGCGA >mm10_chr6:97836178-97836189(+)::chr6:97836177-97836189(+) TGAAAGAAGTAC >mm10_chr6:97978488-97978499(-)::chr6:97978487-97978499(-) ACAGGGAAGTCA >mm10_chr6:97978529-97978540(+)::chr6:97978528-97978540(+) GTGAGGAAGTGA >mm10_chr6:98003524-98003535(+)::chr6:98003523-98003535(+) TGGAGGAAGAGA >mm10_chr6:98016065-98016076(-)::chr6:98016064-98016076(-) gacaggaagtaa >mm10_chr6:98016093-98016104(-)::chr6:98016092-98016104(-) atgaggaagtgg >mm10_chr6:98083809-98083820(+)::chr6:98083808-98083820(+) AGAAGGAAGCAA >mm10_chr6:98087114-98087125(-)::chr6:98087113-98087125(-) agaaggaaatgc >mm10_chr6:98376972-98376983(-)::chr6:98376971-98376983(-) ATGAGGAAGGGG >mm10_chr6:98429282-98429293(+)::chr6:98429281-98429293(+) AACAGGAAATGG >mm10_chr6:98498497-98498508(+)::chr6:98498496-98498508(+) aagaggaagagg >mm10_chr6:98498503-98498514(+)::chr6:98498502-98498514(+) aagaggaagagg >mm10_chr6:98525460-98525471(-)::chr6:98525459-98525471(-) GGGGGGAAGTGA >mm10_chr6:98525490-98525501(-)::chr6:98525489-98525501(-) AAAAGGAACTCG >mm10_chr6:98652073-98652084(-)::chr6:98652072-98652084(-) AGGAGGAAGGGA >mm10_chr6:98948925-98948936(+)::chr6:98948924-98948936(+) aggaggaagacc >mm10_chr6:99095753-99095764(+)::chr6:99095752-99095764(+) AACAGGAAGAAA >mm10_chr6:99098655-99098666(+)::chr6:99098654-99098666(+) AGGAGGAAATGC >mm10_chr6:99120966-99120977(-)::chr6:99120965-99120977(-) AGAAGGAAGGGC >mm10_chr6:99175607-99175618(-)::chr6:99175606-99175618(-) TGGAGGAAGAGC >mm10_chr6:99250328-99250339(+)::chr6:99250327-99250339(+) tcaaggaagttc >mm10_chr6:99250354-99250365(+)::chr6:99250353-99250365(+) accaggaagcca >mm10_chr6:99270008-99270019(+)::chr6:99270007-99270019(+) ATGAGGAAGAAA >mm10_chr6:99337701-99337712(+)::chr6:99337700-99337712(+) TAAAGGAAGGAA >mm10_chr6:99337705-99337716(+)::chr6:99337704-99337716(+) GGAAGGAAATGT >mm10_chr6:99377910-99377921(-)::chr6:99377909-99377921(-) AGCAGGAAGCCA >mm10_chr6:99438136-99438147(+)::chr6:99438135-99438147(+) aagaggaagagg >mm10_chr6:99438142-99438153(+)::chr6:99438141-99438153(+) aagaggaagaag >mm10_chr6:99438149-99438160(+)::chr6:99438148-99438160(+) agaaggaagagg >mm10_chr6:99438178-99438189(+)::chr6:99438177-99438189(+) CAAAGGAAGGTT >mm10_chr6:99454034-99454045(-)::chr6:99454033-99454045(-) taaaggaagaag >mm10_chr6:99615644-99615655(+)::chr6:99615643-99615655(+) GCAAGGAAGTCC >mm10_chr6:99643120-99643131(-)::chr6:99643119-99643131(-) TGTAGGAAGAAC >mm10_chr6:99643168-99643179(+)::chr6:99643167-99643179(+) ACCAGGAAGCAA >mm10_chr6:99649512-99649523(+)::chr6:99649511-99649523(+) ATAAGGAACTTG >mm10_chr6:99672004-99672015(+)::chr6:99672003-99672015(+) GTAAGGAACTGA >mm10_chr6:99678248-99678259(+)::chr6:99678247-99678259(+) accaggaaggga >mm10_chr6:99768603-99768614(-)::chr6:99768602-99768614(-) AAGAGGAAGTGA >mm10_chr6:99776838-99776849(-)::chr6:99776837-99776849(-) TTAAGGAAATCA >mm10_chr6:99776902-99776913(+)::chr6:99776901-99776913(+) ACAAGGAAGACC >mm10_chr6:99810718-99810729(+)::chr6:99810717-99810729(+) ACAAGGAAGGAA >mm10_chr6:99835813-99835824(+)::chr6:99835812-99835824(+) tcaaggaaggcg >mm10_chr6:99865059-99865070(-)::chr6:99865058-99865070(-) TCTAGGAAGTGG >mm10_chr6:99916439-99916450(-)::chr6:99916438-99916450(-) ACAAGGAAGGTG >mm10_chr6:99959478-99959489(-)::chr6:99959477-99959489(-) ACCAGGAAATGT >mm10_chr6:99980695-99980706(+)::chr6:99980694-99980706(+) agaaggaagaag >mm10_chr6:99980725-99980736(+)::chr6:99980724-99980736(+) aggaggaagagg >mm10_chr6:99980752-99980763(+)::chr6:99980751-99980763(+) aggaggaagagg >mm10_chr6:99980758-99980769(+)::chr6:99980757-99980769(+) aagaggaagagg >mm10_chr6:99980770-99980781(+)::chr6:99980769-99980781(+) aggaggaagggg >mm10_chr6:99996901-99996912(-)::chr6:99996900-99996912(-) TGGAGGAAGAAC >mm10_chr6:100101050-100101061(-)::chr6:100101049-100101061(-) ACCAGGAAGCTG >mm10_chr6:100111406-100111417(-)::chr6:100111405-100111417(-) GCCAGGAAGAAG >mm10_chr6:100111420-100111431(+)::chr6:100111419-100111431(+) AGGAGGAAGGGG >mm10_chr6:100116364-100116375(+)::chr6:100116363-100116375(+) TCAAGGAAGAAT >mm10_chr6:100121605-100121616(-)::chr6:100121604-100121616(-) agcaggaagggc >mm10_chr6:100144237-100144248(-)::chr6:100144236-100144248(-) GGGAGGAAGTGG >mm10_chr6:100151010-100151021(-)::chr6:100151009-100151021(-) GTGAGGAAGAGG >mm10_chr6:100155826-100155837(-)::chr6:100155825-100155837(-) CACAGGAAGTCC >mm10_chr6:100159551-100159562(-)::chr6:100159550-100159562(-) GGAAGGAAGTTT >mm10_chr6:100163363-100163374(+)::chr6:100163362-100163374(+) ACAGGGAAGTGA >mm10_chr6:100163400-100163411(+)::chr6:100163399-100163411(+) TGGAGGAAGGGC >mm10_chr6:100163918-100163929(-)::chr6:100163917-100163929(-) GGAAGGAAGAGC >mm10_chr6:100171095-100171106(+)::chr6:100171094-100171106(+) CCAAGGAAGTAC >mm10_chr6:100171314-100171325(+)::chr6:100171313-100171325(+) ACGTGGAAGTTA >mm10_chr6:100180434-100180445(+)::chr6:100180433-100180445(+) AAAAGGAAGAGA >mm10_chr6:100181000-100181011(-)::chr6:100180999-100181011(-) AGGAGGAAGGGA >mm10_chr6:100214781-100214792(+)::chr6:100214780-100214792(+) ggcaggaaggac >mm10_chr6:100214819-100214830(+)::chr6:100214818-100214830(+) agagggaagtag >mm10_chr6:100215635-100215646(+)::chr6:100215634-100215646(+) ACAAGGAAGGTT >mm10_chr6:100215646-100215657(+)::chr6:100215645-100215657(+) TAAAGGAAGGGC >mm10_chr6:100215832-100215843(+)::chr6:100215831-100215843(+) AAGAGGAAGCAT >mm10_chr6:100226758-100226769(-)::chr6:100226757-100226769(-) AGAAGGAAAAAA >mm10_chr6:100239994-100240005(-)::chr6:100239993-100240005(-) GGAAGGAGGTAG >mm10_chr6:100284898-100284909(-)::chr6:100284897-100284909(-) TCAAGGAAATGA >mm10_chr6:100288566-100288577(+)::chr6:100288565-100288577(+) AGCAGGAAGTCC >mm10_chr6:100297575-100297586(+)::chr6:100297574-100297586(+) AGGAGGAAGGAT >mm10_chr6:100297610-100297621(+)::chr6:100297609-100297621(+) GCAAGTAAGTAG >mm10_chr6:100297699-100297710(+)::chr6:100297698-100297710(+) ACGAGGAACTGG >mm10_chr6:100306137-100306148(+)::chr6:100306136-100306148(+) GATAGGAAGGAC >mm10_chr6:100306166-100306177(-)::chr6:100306165-100306177(-) GGAAGGAATTAG >mm10_chr6:100324123-100324134(+)::chr6:100324122-100324134(+) AGAAGGAAGGAA >mm10_chr6:100324127-100324138(+)::chr6:100324126-100324138(+) GGAAGGAAATGC >mm10_chr6:100324155-100324166(+)::chr6:100324154-100324166(+) TAGAGGACGTAT >mm10_chr6:100327289-100327300(+)::chr6:100327288-100327300(+) TCAGGGAAGTAG >mm10_chr6:100335557-100335568(+)::chr6:100335556-100335568(+) TGCAGGAAGCAG >mm10_chr6:100381247-100381258(+)::chr6:100381246-100381258(+) AAGAGGAAGAGG >mm10_chr6:100381253-100381264(+)::chr6:100381252-100381264(+) AAGAGGAAGTTG >mm10_chr6:100390414-100390425(-)::chr6:100390413-100390425(-) AACAGGAAGTGA >mm10_chr6:100399446-100399457(+)::chr6:100399445-100399457(+) ATCAGGAAGTGC >mm10_chr6:100425048-100425059(+)::chr6:100425047-100425059(+) TCGAGGAAGCCA >mm10_chr6:100488265-100488276(+)::chr6:100488264-100488276(+) tagaggaagagg >mm10_chr6:100488271-100488282(+)::chr6:100488270-100488282(+) aagaggaagaag >mm10_chr6:100488286-100488297(+)::chr6:100488285-100488297(+) aggaggaagaag >mm10_chr6:100502292-100502303(+)::chr6:100502291-100502303(+) tACAGGAAGAAA >mm10_chr6:100502325-100502336(+)::chr6:100502324-100502336(+) TTGAGGAAGTTG >mm10_chr6:100521820-100521831(+)::chr6:100521819-100521831(+) ATGAGGAAGTAT >mm10_chr6:100526166-100526177(+)::chr6:100526165-100526177(+) ttaaggaagtac >mm10_chr6:100532917-100532928(+)::chr6:100532916-100532928(+) gggaggaagaag >mm10_chr6:100596314-100596325(-)::chr6:100596313-100596325(-) CCAAGGAAGCGT >mm10_chr6:100644862-100644873(+)::chr6:100644861-100644873(+) GCAAGGAAGTTC >mm10_chr6:100659457-100659468(+)::chr6:100659456-100659468(+) AGGAGGAAATCA >mm10_chr6:100671157-100671168(+)::chr6:100671156-100671168(+) AGGCGGAAGTGA >mm10_chr6:100671180-100671191(+)::chr6:100671179-100671191(+) GGCCGGAAGTCC >mm10_chr6:100709698-100709709(+)::chr6:100709697-100709709(+) GAGAGGAAATAC >mm10_chr6:100709706-100709717(-)::chr6:100709705-100709717(-) GCAAGGATGTAT >mm10_chr6:100723078-100723089(+)::chr6:100723077-100723089(+) AGAAGGAAAATC >mm10_chr6:100800572-100800583(-)::chr6:100800571-100800583(-) AGCAGGAAGCAG >mm10_chr6:100841497-100841508(-)::chr6:100841496-100841508(-) atcaggaagttc >mm10_chr6:100841510-100841521(-)::chr6:100841509-100841521(-) agcaggaagatc >mm10_chr6:101229546-101229557(+)::chr6:101229545-101229557(+) ggcaggaagtga >mm10_chr6:101229607-101229618(+)::chr6:101229606-101229618(+) tacaggaagaat >mm10_chr6:101239073-101239084(+)::chr6:101239072-101239084(+) tacaggaagttt >mm10_chr6:101249169-101249180(-)::chr6:101249168-101249180(-) gccaggaagaac >mm10_chr6:101249183-101249194(-)::chr6:101249182-101249194(-) AGCAGGAAgagg >mm10_chr6:101251307-101251318(-)::chr6:101251306-101251318(-) ACACGGAAGGGC >mm10_chr6:101262487-101262498(-)::chr6:101262486-101262498(-) TTAAGGAAGAGT >mm10_chr6:101262902-101262913(+)::chr6:101262901-101262913(+) GCAAGGAAGCAG >mm10_chr6:101262909-101262920(+)::chr6:101262908-101262920(+) AGCAGGAAGAGG >mm10_chr6:101267747-101267758(-)::chr6:101267746-101267758(-) ACCAGGAAGGAA >mm10_chr6:101286142-101286153(-)::chr6:101286141-101286153(-) ACAAGGAAGTCT >mm10_chr6:101287233-101287244(-)::chr6:101287232-101287244(-) TCCAGGAAGTGA >mm10_chr6:101293270-101293281(+)::chr6:101293269-101293281(+) GGAAGGAAGCTG >mm10_chr6:101306983-101306994(-)::chr6:101306982-101306994(-) accaggaagttg >mm10_chr6:101310751-101310762(+)::chr6:101310750-101310762(+) ACACGGAAGAAG >mm10_chr6:101310786-101310797(-)::chr6:101310785-101310797(-) AGCCGGAAGCGA >mm10_chr6:101314273-101314284(-)::chr6:101314272-101314284(-) ACCAGGAAGTGT >mm10_chr6:101314290-101314301(-)::chr6:101314289-101314301(-) ACAAGGAAATGC >mm10_chr6:101326121-101326132(-)::chr6:101326120-101326132(-) GTGAGGAAGTAT >mm10_chr6:101331350-101331361(+)::chr6:101331349-101331361(+) ACaaggaaagaa >mm10_chr6:101331358-101331369(+)::chr6:101331357-101331369(+) agaaggaaggaa >mm10_chr6:101331362-101331373(+)::chr6:101331361-101331373(+) ggaaggaaggtt >mm10_chr6:101331374-101331385(+)::chr6:101331373-101331385(+) agaaggaaggCC >mm10_chr6:101343569-101343580(-)::chr6:101343568-101343580(-) ATACGGAAGGAA >mm10_chr6:101343652-101343663(-)::chr6:101343651-101343663(-) ACTCGGAAGTAC >mm10_chr6:101374328-101374339(+)::chr6:101374327-101374339(+) ACCAGGAAGCCA >mm10_chr6:101448707-101448718(+)::chr6:101448706-101448718(+) gccaggaagtag >mm10_chr6:101474810-101474821(+)::chr6:101474809-101474821(+) aggaggaagagg >mm10_chr6:101474816-101474827(+)::chr6:101474815-101474827(+) aagaggaagggg >mm10_chr6:101474843-101474854(+)::chr6:101474842-101474854(+) TAgaggaagagg >mm10_chr6:101484653-101484664(-)::chr6:101484652-101484664(-) tcggggaagttg >mm10_chr6:101486154-101486165(-)::chr6:101486153-101486165(-) GTAAGGAAGGCT >mm10_chr6:101531568-101531579(+)::chr6:101531567-101531579(+) atgaggaaatgg >mm10_chr6:101532458-101532469(+)::chr6:101532457-101532469(+) accaggaagtcc >mm10_chr6:101730098-101730109(-)::chr6:101730097-101730109(-) ATAAGGAAGTCA >mm10_chr6:101730118-101730129(-)::chr6:101730117-101730129(-) GTGAGGAAGTCA >mm10_chr6:101790682-101790693(-)::chr6:101790681-101790693(-) aaaaggaaataa >mm10_chr6:103344651-103344662(+)::chr6:103344650-103344662(+) GGAAGGAAGCCT >mm10_chr6:103375107-103375118(+)::chr6:103375106-103375118(+) GAGAGGAAATAA >mm10_chr6:103465685-103465696(+)::chr6:103465684-103465696(+) tggaggaagtat >mm10_chr6:103674442-103674453(+)::chr6:103674441-103674453(+) AGCAGGAAATCA >mm10_chr6:103733510-103733521(+)::chr6:103733509-103733521(+) ACAAGGAAGTTA >mm10_chr6:104022531-104022542(-)::chr6:104022530-104022542(-) GAGAGGAAATAA >mm10_chr6:104028668-104028679(-)::chr6:104028667-104028679(-) GAAAGGAAGTCC >mm10_chr6:106496686-106496697(-)::chr6:106496685-106496697(-) AAATGGAAGTGG >mm10_chr6:106502525-106502536(-)::chr6:106502524-106502536(-) gaaaggaagtac >mm10_chr6:106769079-106769090(+)::chr6:106769078-106769090(+) CAGAGGAAGTGG >mm10_chr6:106914055-106914066(-)::chr6:106914054-106914066(-) agaagaaagtgt >mm10_chr6:106914107-106914118(+)::chr6:106914106-106914118(+) aaaagtaagtaa >mm10_chr6:107007783-107007794(-)::chr6:107007782-107007794(-) TGCAGGAAATGG >mm10_chr6:107007809-107007820(-)::chr6:107007808-107007820(-) GTGAGGAAGGAG >mm10_chr6:107337819-107337830(+)::chr6:107337818-107337830(+) TTAAGGAGGTAT >mm10_chr6:108064992-108065003(+)::chr6:108064991-108065003(+) ATGAGGAAGTAG >mm10_chr6:108098673-108098684(+)::chr6:108098672-108098684(+) AGGAGGAAGTGC >mm10_chr6:108152963-108152974(+)::chr6:108152962-108152974(+) ACAAGGAAGAAG >mm10_chr6:108152995-108153006(-)::chr6:108152994-108153006(-) GTCAGGAAGTTG >mm10_chr6:108159733-108159744(-)::chr6:108159732-108159744(-) ACAGGGAAGTCC >mm10_chr6:108213634-108213645(+)::chr6:108213633-108213645(+) TGCAGGAAATAG >mm10_chr6:108222611-108222622(-)::chr6:108222610-108222622(-) CTAAGGAAGAGG >mm10_chr6:108225259-108225270(-)::chr6:108225258-108225270(-) AGAAGGAAGAGT >mm10_chr6:108233366-108233377(+)::chr6:108233365-108233377(+) AACAGGAAGTCT >mm10_chr6:108233373-108233384(-)::chr6:108233372-108233384(-) ATCAGGAAGACT >mm10_chr6:108233399-108233410(-)::chr6:108233398-108233410(-) GGTAGGAAGTAG >mm10_chr6:108261677-108261688(+)::chr6:108261676-108261688(+) CCGAGGAAGTGC >mm10_chr6:108261692-108261703(+)::chr6:108261691-108261703(+) GTGAGGAAGTAT >mm10_chr6:108263997-108264008(-)::chr6:108263996-108264008(-) TGACGGAAATTA >mm10_chr6:108280747-108280758(-)::chr6:108280746-108280758(-) GCAAGGAAATCT >mm10_chr6:108314158-108314169(+)::chr6:108314157-108314169(+) GGAAGGATGTGG >mm10_chr6:108326712-108326723(+)::chr6:108326711-108326723(+) ATGAGGAAATCA >mm10_chr6:108326791-108326802(-)::chr6:108326790-108326802(-) GGAAGGAAGATC >mm10_chr6:108352290-108352301(+)::chr6:108352289-108352301(+) ACAAGGAAATGA >mm10_chr6:108359295-108359306(+)::chr6:108359294-108359306(+) AGAAGAAAGTGG >mm10_chr6:108363817-108363828(-)::chr6:108363816-108363828(-) ACGAGGAAGCCC >mm10_chr6:108371699-108371710(-)::chr6:108371698-108371710(-) aacaggaagagg >mm10_chr6:108433082-108433093(-)::chr6:108433081-108433093(-) AGGAGGAAGTTA >mm10_chr6:108447793-108447804(-)::chr6:108447792-108447804(-) AGTAGGAAGGAA >mm10_chr6:108448519-108448530(+)::chr6:108448518-108448530(+) AGGAGGAAGAGT >mm10_chr6:108456923-108456934(-)::chr6:108456922-108456934(-) ACCAGGATGTAG >mm10_chr6:108457257-108457268(+)::chr6:108457256-108457268(+) GCAAGGAAGGAA >mm10_chr6:108457261-108457272(+)::chr6:108457260-108457272(+) GGAAGGAAGGAA >mm10_chr6:108457265-108457276(+)::chr6:108457264-108457276(+) GGAAGGAAGGGC >mm10_chr6:108468046-108468057(+)::chr6:108468045-108468057(+) GTGAGGAAGTCA >mm10_chr6:108468113-108468124(+)::chr6:108468112-108468124(+) ACCAGGAAGTAT >mm10_chr6:108477673-108477684(+)::chr6:108477672-108477684(+) tgaaggaagagg >mm10_chr6:108505548-108505559(-)::chr6:108505547-108505559(-) ctagggaagtgc >mm10_chr6:108505582-108505593(+)::chr6:108505581-108505593(+) ACCAGGAAGTAT >mm10_chr6:108526869-108526880(-)::chr6:108526868-108526880(-) agaaggaagtct >mm10_chr6:108535722-108535733(+)::chr6:108535721-108535733(+) agcaggaagcac >mm10_chr6:108535776-108535787(-)::chr6:108535775-108535787(-) AGAAGGAAGGAA >mm10_chr6:108535783-108535794(-)::chr6:108535782-108535794(-) AGAAGGAAGAAG >mm10_chr6:108537129-108537140(+)::chr6:108537128-108537140(+) CAGAGGAAGTAT >mm10_chr6:108541796-108541807(+)::chr6:108541795-108541807(+) ACAAGGAAGACT >mm10_chr6:108570543-108570554(+)::chr6:108570542-108570554(+) TACAGGAAGTAC >mm10_chr6:108592271-108592282(-)::chr6:108592270-108592282(-) GAAAGGAAGCGG >mm10_chr6:108593729-108593740(-)::chr6:108593728-108593740(-) AAAAGGAAGTGC >mm10_chr6:108611823-108611834(-)::chr6:108611822-108611834(-) GACAGGAAGTAG >mm10_chr6:108611848-108611859(-)::chr6:108611847-108611859(-) aagaggaagtgg >mm10_chr6:108640328-108640339(-)::chr6:108640327-108640339(-) GGAAGGAAGGAG >mm10_chr6:108640332-108640343(-)::chr6:108640331-108640343(-) GGGAGGAAGGAA >mm10_chr6:108661440-108661451(+)::chr6:108661439-108661451(+) GGAAGGAAGGGA >mm10_chr6:108663044-108663055(-)::chr6:108663043-108663055(-) AGAAGGAAGCAA >mm10_chr6:108667674-108667685(+)::chr6:108667673-108667685(+) ACAGGGAAGTCA >mm10_chr6:108686752-108686763(-)::chr6:108686751-108686763(-) aggaggaaatag >mm10_chr6:108709271-108709282(+)::chr6:108709270-108709282(+) agcaggaagaag >mm10_chr6:108709301-108709312(+)::chr6:108709300-108709312(+) aggaggaagaAA >mm10_chr6:108783031-108783042(-)::chr6:108783030-108783042(-) GCGCGGAAGCGC >mm10_chr6:108785041-108785052(-)::chr6:108785040-108785052(-) CAAAGGAAATGA >mm10_chr6:108785098-108785109(+)::chr6:108785097-108785109(+) GGAAGGAAGCAC >mm10_chr6:108785118-108785129(-)::chr6:108785117-108785129(-) AAAAGGAAGGGG >mm10_chr6:108789360-108789371(-)::chr6:108789359-108789371(-) ATGAGGAAATGA >mm10_chr6:109461153-109461164(-)::chr6:109461152-109461164(-) tagaggaagatc >mm10_chr6:110351286-110351297(-)::chr6:110351285-110351297(-) accaggaaggac >mm10_chr6:111654671-111654682(-)::chr6:111654670-111654682(-) AGCATGAAGTAT >mm10_chr6:112186074-112186085(-)::chr6:112186073-112186085(-) AGCAGGAAGCAT >mm10_chr6:112210535-112210546(+)::chr6:112210534-112210546(+) AAGAGGAAGTGC >mm10_chr6:112271269-112271280(+)::chr6:112271268-112271280(+) ACAAGGAAAATG >mm10_chr6:112295518-112295529(-)::chr6:112295517-112295529(-) AAAAGGAAGGGC >mm10_chr6:112547409-112547420(+)::chr6:112547408-112547420(+) AGCAGGAAATGA >mm10_chr6:112577282-112577293(-)::chr6:112577281-112577293(-) CCTAGGAAGTAC >mm10_chr6:112661789-112661800(+)::chr6:112661788-112661800(+) ccaaggaaataa >mm10_chr6:112661807-112661818(-)::chr6:112661806-112661818(-) gacaggaagtag >mm10_chr6:112671980-112671991(+)::chr6:112671979-112671991(+) GTAAGGAAATCC >mm10_chr6:112672030-112672041(+)::chr6:112672029-112672041(+) ACCAGGAAGAAT >mm10_chr6:112820479-112820490(-)::chr6:112820478-112820490(-) AGTAGGAAGCGA >mm10_chr6:112821740-112821751(+)::chr6:112821739-112821751(+) ACAAGGAAGGGA >mm10_chr6:112878537-112878548(-)::chr6:112878536-112878548(-) ACAAGGAAATGA >mm10_chr6:112879332-112879343(+)::chr6:112879331-112879343(+) gcaaggaaggat >mm10_chr6:112888032-112888043(+)::chr6:112888031-112888043(+) ATAAGGAATAAA >mm10_chr6:112888061-112888072(+)::chr6:112888060-112888072(+) AACAGGAAGTAG >mm10_chr6:112888114-112888125(+)::chr6:112888113-112888125(+) GGCAGGAAGTGG >mm10_chr6:112925053-112925064(+)::chr6:112925052-112925064(+) ATCCGGAAGTCA >mm10_chr6:112940394-112940405(+)::chr6:112940393-112940405(+) AGCAGGAAGTGC >mm10_chr6:112943001-112943012(-)::chr6:112943000-112943012(-) AGAAGGAAGATG >mm10_chr6:112943047-112943058(+)::chr6:112943046-112943058(+) TCCAGGAAGTGG >mm10_chr6:112947409-112947420(+)::chr6:112947408-112947420(+) AAAGGGAAGGCG >mm10_chr6:112948818-112948829(-)::chr6:112948817-112948829(-) GACAGGAAGGAA >mm10_chr6:112954620-112954631(+)::chr6:112954619-112954631(+) gaaaggaaggaa >mm10_chr6:112954624-112954635(+)::chr6:112954623-112954635(+) ggaaggaagaaa >mm10_chr6:112982386-112982397(+)::chr6:112982385-112982397(+) AGCAGGAAGATC >mm10_chr6:113012408-113012419(+)::chr6:113012407-113012419(+) TTAAGGAAGAGA >mm10_chr6:113012577-113012588(-)::chr6:113012576-113012588(-) AGAAGGAAGTAC >mm10_chr6:113012584-113012595(-)::chr6:113012583-113012595(-) GAGAGGAAGAAG >mm10_chr6:113038085-113038096(-)::chr6:113038084-113038096(-) TAAAGGAAGCGG >mm10_chr6:113038129-113038140(+)::chr6:113038128-113038140(+) AAGAGGAAATGG >mm10_chr6:113046308-113046319(-)::chr6:113046307-113046319(-) AAACGGAAGTCA >mm10_chr6:113061351-113061362(-)::chr6:113061350-113061362(-) AAAAGGAAGAAA >mm10_chr6:113061369-113061380(-)::chr6:113061368-113061380(-) AAGAGGAAGCAA >mm10_chr6:113065441-113065452(+)::chr6:113065440-113065452(+) GCAAGGAAATGA >mm10_chr6:113084796-113084807(-)::chr6:113084795-113084807(-) AGCAGGAAGTAA >mm10_chr6:113121008-113121019(+)::chr6:113121007-113121019(+) AGGAGGAAGTAA >mm10_chr6:113237618-113237629(-)::chr6:113237617-113237629(-) GGAAGGAACCGC >mm10_chr6:113237622-113237633(-)::chr6:113237621-113237633(-) GAGAGGAAGGAA >mm10_chr6:113261881-113261892(-)::chr6:113261880-113261892(-) AGGAGGAAGCTG >mm10_chr6:113307845-113307856(-)::chr6:113307844-113307856(-) AGAAGGAAGTAA >mm10_chr6:113333270-113333281(+)::chr6:113333269-113333281(+) AGGAGGAAGCGG >mm10_chr6:113344498-113344509(+)::chr6:113344497-113344509(+) TTAAGGAAGCAA >mm10_chr6:113351817-113351828(-)::chr6:113351816-113351828(-) AAAAGGAAGGGC >mm10_chr6:113351838-113351849(-)::chr6:113351837-113351849(-) ACGAGGAACTCG >mm10_chr6:113378172-113378183(-)::chr6:113378171-113378183(-) AAGCGGAAGTGC >mm10_chr6:113379444-113379455(-)::chr6:113379443-113379455(-) AGAAGGAAGTGA >mm10_chr6:113379498-113379509(-)::chr6:113379497-113379509(-) AGAAGGAAGTTA >mm10_chr6:113388186-113388197(-)::chr6:113388185-113388197(-) AAGAGGAAGAAA >mm10_chr6:113388217-113388228(+)::chr6:113388216-113388228(+) GGGAGGAaggca >mm10_chr6:113419377-113419388(-)::chr6:113419376-113419388(-) CGGAGGATGTAC >mm10_chr6:113482344-113482355(-)::chr6:113482343-113482355(-) AGGAGGAAGAAG >mm10_chr6:113523148-113523159(+)::chr6:113523147-113523159(+) AGGAGGAAGGTG >mm10_chr6:113523172-113523183(-)::chr6:113523171-113523183(-) ATGAGGAAGTTC >mm10_chr6:113523596-113523607(-)::chr6:113523595-113523607(-) GGAAGGAAGTGA >mm10_chr6:113523600-113523611(-)::chr6:113523599-113523611(-) TCAAGGAAGGAA >mm10_chr6:113625306-113625317(-)::chr6:113625305-113625317(-) agaaggaagttg >mm10_chr6:113638932-113638943(-)::chr6:113638931-113638943(-) TCAGGGAAGTTA >mm10_chr6:113644901-113644912(-)::chr6:113644900-113644912(-) AACAGGAAGTGA >mm10_chr6:113645079-113645090(-)::chr6:113645078-113645090(-) AGCAGGAAGTGA >mm10_chr6:113652468-113652479(+)::chr6:113652467-113652479(+) accaggaagttg >mm10_chr6:113654305-113654316(-)::chr6:113654304-113654316(-) GGAAGGAAGTGA >mm10_chr6:113654309-113654320(-)::chr6:113654308-113654320(-) TCCAGGAAGGAA >mm10_chr6:113660374-113660385(+)::chr6:113660373-113660385(+) aggaggaagaag >mm10_chr6:113660386-113660397(+)::chr6:113660385-113660397(+) aggaggaagagg >mm10_chr6:113660398-113660409(+)::chr6:113660397-113660409(+) gagaggaagagg >mm10_chr6:113663440-113663451(+)::chr6:113663439-113663451(+) GAGAGGAAGTGG >mm10_chr6:113663477-113663488(-)::chr6:113663476-113663488(-) AGAAGGAAGTTT >mm10_chr6:113665654-113665665(-)::chr6:113665653-113665665(-) ACCAGGAAGCTA >mm10_chr6:113669039-113669050(+)::chr6:113669038-113669050(+) GACAGGAAGTTA >mm10_chr6:113669998-113670009(-)::chr6:113669997-113670009(-) ACCAGGAAGTGG >mm10_chr6:113679749-113679760(-)::chr6:113679748-113679760(-) AGCAGGAAGTCG >mm10_chr6:113681357-113681368(-)::chr6:113681356-113681368(-) TGCAGGAAGGAA >mm10_chr6:113686733-113686744(-)::chr6:113686732-113686744(-) GTGAGGAAGTCC >mm10_chr6:113724620-113724631(-)::chr6:113724619-113724631(-) agaaggaaACAA >mm10_chr6:113724632-113724643(-)::chr6:113724631-113724643(-) aggaggaagaag >mm10_chr6:113724639-113724650(-)::chr6:113724638-113724650(-) cgaaggaaggag >mm10_chr6:113724667-113724678(-)::chr6:113724666-113724678(-) acaaggaagaag >mm10_chr6:113761661-113761672(-)::chr6:113761660-113761672(-) AGCAGGAAGCCC >mm10_chr6:113829833-113829844(-)::chr6:113829832-113829844(-) ATAAGGAAAGGG >mm10_chr6:113835034-113835045(-)::chr6:113835033-113835045(-) ACAAGAAAGTGA >mm10_chr6:113837468-113837479(+)::chr6:113837467-113837479(+) gacaggaagagg >mm10_chr6:113887811-113887822(-)::chr6:113887810-113887822(-) ATGAGGAAGTAG >mm10_chr6:113887834-113887845(+)::chr6:113887833-113887845(+) GTGAGGAAGAAG >mm10_chr6:113910905-113910916(+)::chr6:113910904-113910916(+) AAAAGGCAGTGG >mm10_chr6:113923488-113923499(-)::chr6:113923487-113923499(-) accaggaagaag >mm10_chr6:113945214-113945225(+)::chr6:113945213-113945225(+) AGCAGGAAGAAT >mm10_chr6:113956578-113956589(-)::chr6:113956577-113956589(-) ATCAGGAAGTTT >mm10_chr6:113977948-113977959(+)::chr6:113977947-113977959(+) ACAAGGAAACAA >mm10_chr6:113978403-113978414(+)::chr6:113978402-113978414(+) aagAGGAAGCAT >mm10_chr6:114239527-114239538(-)::chr6:114239526-114239538(-) AGCAGGAAGTAG >mm10_chr6:114404266-114404277(-)::chr6:114404265-114404277(-) ggaaggaagATT >mm10_chr6:114404270-114404281(-)::chr6:114404269-114404281(-) gggaggaaggaa >mm10_chr6:114404298-114404309(-)::chr6:114404297-114404309(-) TACaggaagcaa >mm10_chr6:114425518-114425529(-)::chr6:114425517-114425529(-) ccGAGGAAGGCA >mm10_chr6:114425550-114425561(+)::chr6:114425549-114425561(+) acaaggaagggg >mm10_chr6:114425569-114425580(+)::chr6:114425568-114425580(+) taaaggaagagg >mm10_chr6:114425575-114425586(+)::chr6:114425574-114425586(+) aagaggaagcgg >mm10_chr6:114643064-114643075(-)::chr6:114643063-114643075(-) ACGCGGAAGCGC >mm10_chr6:114711453-114711464(+)::chr6:114711452-114711464(+) TTAAGGAAGTTT >mm10_chr6:114729024-114729035(+)::chr6:114729023-114729035(+) ACAGGGAAGTGT >mm10_chr6:114742481-114742492(+)::chr6:114742480-114742492(+) AGGAGGAAGAGA >mm10_chr6:114771498-114771509(-)::chr6:114771497-114771509(-) aggaggaagaag >mm10_chr6:114772107-114772118(+)::chr6:114772106-114772118(+) agaaggaaggga >mm10_chr6:114772131-114772142(+)::chr6:114772130-114772142(+) agaaggaaggaa >mm10_chr6:114839780-114839791(+)::chr6:114839779-114839791(+) TTCAGGAAGTGA >mm10_chr6:114855695-114855706(+)::chr6:114855694-114855706(+) ACAAGGAAAAGG >mm10_chr6:114866827-114866838(-)::chr6:114866826-114866838(-) ATCAGGAAGGCT >mm10_chr6:114875344-114875355(-)::chr6:114875343-114875355(-) AAGAGGAAGTGA >mm10_chr6:114891117-114891128(+)::chr6:114891116-114891128(+) ACAGGGAAGTTA >mm10_chr6:114892283-114892294(-)::chr6:114892282-114892294(-) TAGAGGAAGAAG >mm10_chr6:114892351-114892362(+)::chr6:114892350-114892362(+) CCGAGGAAGTCA >mm10_chr6:114899612-114899623(+)::chr6:114899611-114899623(+) TCAAGGAAGTAT >mm10_chr6:114899814-114899825(+)::chr6:114899813-114899825(+) tggcggaagtac >mm10_chr6:114899865-114899876(-)::chr6:114899864-114899876(-) cacaggaagtaa >mm10_chr6:114915566-114915577(+)::chr6:114915565-114915577(+) agcaggaagggc >mm10_chr6:114916861-114916872(+)::chr6:114916860-114916872(+) GGAAGGAAGGAG >mm10_chr6:114919871-114919882(-)::chr6:114919870-114919882(-) AGGAGGAAGTTC >mm10_chr6:114919888-114919899(+)::chr6:114919887-114919899(+) ACAAGGAAGGAA >mm10_chr6:114920431-114920442(+)::chr6:114920430-114920442(+) AGCAGGAAGACA >mm10_chr6:114977029-114977040(+)::chr6:114977028-114977040(+) gaGAGGAAGAAC >mm10_chr6:114977039-114977050(-)::chr6:114977038-114977050(-) ACCAGGAAGGGT >mm10_chr6:114988750-114988761(+)::chr6:114988749-114988761(+) AACAGGAAGAGT >mm10_chr6:114989948-114989959(-)::chr6:114989947-114989959(-) TCCAGGAAGTTC >mm10_chr6:114998433-114998444(+)::chr6:114998432-114998444(+) AGACGGAAATAG >mm10_chr6:114998446-114998457(+)::chr6:114998445-114998457(+) ACACGGAAGGAA >mm10_chr6:114998450-114998461(+)::chr6:114998449-114998461(+) GGAAGGAAGCAC >mm10_chr6:115002849-115002860(-)::chr6:115002848-115002860(-) ACCAGGAAGCAG >mm10_chr6:115002861-115002872(-)::chr6:115002860-115002872(-) AGGAGGAAGGAC >mm10_chr6:115007182-115007193(-)::chr6:115007181-115007193(-) TGCAGGAAGAGG >mm10_chr6:115012071-115012082(+)::chr6:115012070-115012082(+) AGAAGGAAGAAA >mm10_chr6:115015591-115015602(+)::chr6:115015590-115015602(+) TGAGGGAAGTGG >mm10_chr6:115017240-115017251(-)::chr6:115017239-115017251(-) ACCAGGATGTAA >mm10_chr6:115022850-115022861(-)::chr6:115022849-115022861(-) GCAAGGAAGGAG >mm10_chr6:115075853-115075864(+)::chr6:115075852-115075864(+) TAAAGGAAGAAG >mm10_chr6:115076208-115076219(-)::chr6:115076207-115076219(-) gcaaagaagtag >mm10_chr6:115078657-115078668(+)::chr6:115078656-115078668(+) gagaggaagtga >mm10_chr6:115078691-115078702(-)::chr6:115078690-115078702(-) aacaggaagaga >mm10_chr6:115078720-115078731(-)::chr6:115078719-115078731(-) taaaggaaggat >mm10_chr6:115078987-115078998(-)::chr6:115078986-115078998(-) AGGAGGAAGTCA >mm10_chr6:115111615-115111626(-)::chr6:115111614-115111626(-) agcaggaactga >mm10_chr6:115171264-115171275(+)::chr6:115171263-115171275(+) ataaggaaaaaa >mm10_chr6:115210484-115210495(-)::chr6:115210483-115210495(-) GGCAGGAAGGAC >mm10_chr6:115240312-115240323(+)::chr6:115240311-115240323(+) GGAAGGAAATGC >mm10_chr6:115240568-115240579(+)::chr6:115240567-115240579(+) AGGAGGAAGAGG >mm10_chr6:115252308-115252319(+)::chr6:115252307-115252319(+) TGCAGGAAGTGT >mm10_chr6:115270157-115270168(-)::chr6:115270156-115270168(-) AGCAGGAAGGAA >mm10_chr6:115419752-115419763(+)::chr6:115419751-115419763(+) AAAAGGAAGAAA >mm10_chr6:115421826-115421837(-)::chr6:115421825-115421837(-) ACAAGGAAAACG >mm10_chr6:115555889-115555900(-)::chr6:115555888-115555900(-) GACAGGAAGTCT >mm10_chr6:115650013-115650024(-)::chr6:115650012-115650024(-) ACCAGGAAGTTC >mm10_chr6:115671292-115671303(+)::chr6:115671291-115671303(+) acaaggaaacac >mm10_chr6:115685478-115685489(-)::chr6:115685477-115685489(-) gaaaggaagcag >mm10_chr6:115685486-115685497(-)::chr6:115685485-115685497(-) tagaggaagaaa >mm10_chr6:115685502-115685513(+)::chr6:115685501-115685513(+) acccggaagtcc >mm10_chr6:115698029-115698040(+)::chr6:115698028-115698040(+) ACAAGGAAGAAA >mm10_chr6:115703136-115703147(+)::chr6:115703135-115703147(+) GGCAGGAAGAGG >mm10_chr6:115703160-115703171(+)::chr6:115703159-115703171(+) TACAGGAAATGT >mm10_chr6:115718393-115718404(-)::chr6:115718392-115718404(-) AGCAGGAAGTTT >mm10_chr6:115730111-115730122(-)::chr6:115730110-115730122(-) GCCAGGAAGTCT >mm10_chr6:115762077-115762088(-)::chr6:115762076-115762088(-) aggaggaaggag >mm10_chr6:115762090-115762101(-)::chr6:115762089-115762101(-) aggaggaagggg >mm10_chr6:115762139-115762150(-)::chr6:115762138-115762150(-) aggaggaagaga >mm10_chr6:115764439-115764450(+)::chr6:115764438-115764450(+) TGCAGGAAGGAA >mm10_chr6:115764443-115764454(+)::chr6:115764442-115764454(+) GGAAGGAAGTGA >mm10_chr6:115768496-115768507(-)::chr6:115768495-115768507(-) TTGAGGAAGTGC >mm10_chr6:115799696-115799707(-)::chr6:115799695-115799707(-) AGCAGGAAGTGT >mm10_chr6:115808732-115808743(+)::chr6:115808731-115808743(+) CCGAGGAAGAAG >mm10_chr6:115808739-115808750(+)::chr6:115808738-115808750(+) AGAAGGAAGAGG >mm10_chr6:115808811-115808822(-)::chr6:115808810-115808822(-) AGCCGGAAGTGC >mm10_chr6:115823585-115823596(+)::chr6:115823584-115823596(+) AGGCGGAAGTCT >mm10_chr6:115824060-115824071(+)::chr6:115824059-115824071(+) tgtaggaagtat >mm10_chr6:115838554-115838565(+)::chr6:115838553-115838565(+) ACCCGGAAGTCA >mm10_chr6:115847262-115847273(+)::chr6:115847261-115847273(+) agcaggaagtgc >mm10_chr6:115896106-115896117(+)::chr6:115896105-115896117(+) AACAGGAagaca >mm10_chr6:115896568-115896579(-)::chr6:115896567-115896579(-) ACAAGGAAGATA >mm10_chr6:115928100-115928111(+)::chr6:115928099-115928111(+) GGCAGGAAGAGG >mm10_chr6:115967667-115967678(-)::chr6:115967666-115967678(-) GGAAGGAAGGAG >mm10_chr6:115995177-115995188(-)::chr6:115995176-115995188(-) GAGAGGAAGACA >mm10_chr6:115995200-115995211(-)::chr6:115995199-115995211(-) GAGAGGAAGGAT >mm10_chr6:116006986-116006997(+)::chr6:116006985-116006997(+) GGGAGGAAGAAG >mm10_chr6:116009617-116009628(+)::chr6:116009616-116009628(+) AAAAGGAAGCGA >mm10_chr6:116013873-116013884(-)::chr6:116013872-116013884(-) ACAAGGAAGTAA >mm10_chr6:116013898-116013909(+)::chr6:116013897-116013909(+) AGGAGGAAGTTG >mm10_chr6:116054022-116054033(+)::chr6:116054021-116054033(+) ataaggaaagac >mm10_chr6:116054847-116054858(-)::chr6:116054846-116054858(-) agtaggaaggtt >mm10_chr6:116054919-116054930(+)::chr6:116054918-116054930(+) aaaaggaagtcc >mm10_chr6:116055491-116055502(-)::chr6:116055490-116055502(-) agaaggaaggaa >mm10_chr6:116067417-116067428(+)::chr6:116067416-116067428(+) TAAAGGAAATCT >mm10_chr6:116073043-116073054(+)::chr6:116073042-116073054(+) GTAAGGAAGGAG >mm10_chr6:116073050-116073061(+)::chr6:116073049-116073061(+) AGGAGGAAGAAT >mm10_chr6:116077930-116077941(-)::chr6:116077929-116077941(-) aggaggaaggag >mm10_chr6:116091350-116091361(+)::chr6:116091349-116091361(+) aggaggaagagg >mm10_chr6:116091356-116091367(+)::chr6:116091355-116091367(+) aagaggaaggaa >mm10_chr6:116091360-116091371(+)::chr6:116091359-116091371(+) ggaaggaagaag >mm10_chr6:116091373-116091384(+)::chr6:116091372-116091384(+) aggaggaaggag >mm10_chr6:116107202-116107213(-)::chr6:116107201-116107213(-) CTAAGGAAGGTC >mm10_chr6:116186678-116186689(-)::chr6:116186677-116186689(-) AACAGGAAGCAA >mm10_chr6:116186707-116186718(+)::chr6:116186706-116186718(+) AAAAGGAACTTA >mm10_chr6:116189615-116189626(+)::chr6:116189614-116189626(+) AACAGGAAATAC >mm10_chr6:116189638-116189649(+)::chr6:116189637-116189649(+) GACAGGAAGCAG >mm10_chr6:116207817-116207828(+)::chr6:116207816-116207828(+) TCCAGGAAGTCG >mm10_chr6:116207838-116207849(-)::chr6:116207837-116207849(-) AAGAGGAAGGAG >mm10_chr6:116256877-116256888(-)::chr6:116256876-116256888(-) AGAAGGAAGCAG >mm10_chr6:116371243-116371254(-)::chr6:116371242-116371254(-) tggaggaagaag >mm10_chr6:116374803-116374814(-)::chr6:116374802-116374814(-) AGGAGGAAGGAG >mm10_chr6:116383682-116383693(+)::chr6:116383681-116383693(+) AACAGGAACGTA >mm10_chr6:116673935-116673946(-)::chr6:116673934-116673946(-) AGAAGGAAGAGA >mm10_chr6:116808053-116808064(+)::chr6:116808052-116808064(+) TCTAGGAAGTCA >mm10_chr6:116871125-116871136(+)::chr6:116871124-116871136(+) TGGAGGAAGGGA >mm10_chr6:116871160-116871171(+)::chr6:116871159-116871171(+) AGCAGGAAGAGG >mm10_chr6:116871171-116871182(+)::chr6:116871170-116871182(+) GGAAGGAAATAG >mm10_chr6:116871180-116871191(-)::chr6:116871179-116871191(-) GCGAGGAAGCTA >mm10_chr6:117033706-117033717(+)::chr6:117033705-117033717(+) TAAAGGAAATTT >mm10_chr6:117082349-117082360(-)::chr6:117082348-117082360(-) ATCAGGAAGAAG >mm10_chr6:117106966-117106977(+)::chr6:117106965-117106977(+) AATAGGAAATGG >mm10_chr6:117132570-117132581(-)::chr6:117132569-117132581(-) AACAGGAAGAGA >mm10_chr6:117179801-117179812(-)::chr6:117179800-117179812(-) TTAAGGAACTAG >mm10_chr6:117179832-117179843(+)::chr6:117179831-117179843(+) GAAAGGAAGGAG >mm10_chr6:117190633-117190644(-)::chr6:117190632-117190644(-) AGCAGGAAGTCT >mm10_chr6:117190656-117190667(-)::chr6:117190655-117190667(-) AGGAGGAAGAGT >mm10_chr6:117190678-117190689(-)::chr6:117190677-117190689(-) ATGAGGAAGTGA >mm10_chr6:117242216-117242227(+)::chr6:117242215-117242227(+) CCAGGGAAGTGT >mm10_chr6:117247680-117247691(+)::chr6:117247679-117247691(+) ACCAGGAAGGCC >mm10_chr6:117393649-117393660(+)::chr6:117393648-117393660(+) tgaaggaagagt >mm10_chr6:117422913-117422924(+)::chr6:117422912-117422924(+) AGGAGGAAGGAT >mm10_chr6:117428033-117428044(+)::chr6:117428032-117428044(+) TGCAGGAAGCAA >mm10_chr6:117428105-117428116(-)::chr6:117428104-117428116(-) AGAAGGAAGGGG >mm10_chr6:117468324-117468335(+)::chr6:117468323-117468335(+) acaaggaagtaa >mm10_chr6:117480188-117480199(+)::chr6:117480187-117480199(+) GTAAGGAAGTGC >mm10_chr6:117480264-117480275(+)::chr6:117480263-117480275(+) GGAAGGAAATTG >mm10_chr6:117596404-117596415(+)::chr6:117596403-117596415(+) AGCAGGAAGACT >mm10_chr6:117596431-117596442(+)::chr6:117596430-117596442(+) ACCAGGAAGACA >mm10_chr6:117596469-117596480(+)::chr6:117596468-117596480(+) ATCAGGAAGCCA >mm10_chr6:117611646-117611657(-)::chr6:117611645-117611657(-) GGAAGGAAGTCG >mm10_chr6:117611650-117611661(-)::chr6:117611649-117611661(-) AACAGGAAGGAA >mm10_chr6:117652054-117652065(-)::chr6:117652053-117652065(-) AGAAGGAATTCC >mm10_chr6:117653172-117653183(-)::chr6:117653171-117653183(-) ACAAGGCAGTGC >mm10_chr6:117714204-117714215(+)::chr6:117714203-117714215(+) aggaggaagaga >mm10_chr6:117714216-117714227(+)::chr6:117714215-117714227(+) aggaggaagggg >mm10_chr6:117714240-117714251(+)::chr6:117714239-117714251(+) ggaaggaaatgg >mm10_chr6:117777973-117777984(+)::chr6:117777972-117777984(+) AGGAGGAAGAGG >mm10_chr6:117777979-117777990(+)::chr6:117777978-117777990(+) AAGAGGAAGAGA >mm10_chr6:117777991-117778002(+)::chr6:117777990-117778002(+) GTAAGGAAGGAA >mm10_chr6:117842008-117842019(-)::chr6:117842007-117842019(-) TCAAGGAAGAAC >mm10_chr6:117842020-117842031(-)::chr6:117842019-117842031(-) AACAGGAAATTG >mm10_chr6:117862029-117862040(+)::chr6:117862028-117862040(+) agaaggaaggag >mm10_chr6:117862033-117862044(+)::chr6:117862032-117862044(+) ggaaggaggtga >mm10_chr6:117879205-117879216(-)::chr6:117879204-117879216(-) TCCCGGAAGTGA >mm10_chr6:117879228-117879239(-)::chr6:117879227-117879239(-) TACCGGAAGTTC >mm10_chr6:117879870-117879881(+)::chr6:117879869-117879881(+) TGGAGGAAGTGA >mm10_chr6:117906827-117906838(-)::chr6:117906826-117906838(-) TTCAGGAAGACG >mm10_chr6:117907814-117907825(-)::chr6:117907813-117907825(-) AGGAGGAAGCGA >mm10_chr6:117915576-117915587(+)::chr6:117915575-117915587(+) AGGAGGAAGTAG >mm10_chr6:117916971-117916982(-)::chr6:117916970-117916982(-) AGAAGGAAGTGC >mm10_chr6:117933874-117933885(+)::chr6:117933873-117933885(+) GTAAGGAACTAG >mm10_chr6:117936055-117936066(+)::chr6:117936054-117936066(+) TTAAGGAAACGA >mm10_chr6:117936074-117936085(-)::chr6:117936073-117936085(-) ATGGGGAAGTGG >mm10_chr6:117942509-117942520(-)::chr6:117942508-117942520(-) GGCAGGAAGACT >mm10_chr6:117950482-117950493(-)::chr6:117950481-117950493(-) acgaggaagaag >mm10_chr6:117950505-117950516(-)::chr6:117950504-117950516(-) agagggaagtgg >mm10_chr6:117983530-117983541(-)::chr6:117983529-117983541(-) accaggaagtct >mm10_chr6:118077593-118077604(+)::chr6:118077592-118077604(+) ATCAGGAAGGAA >mm10_chr6:118077653-118077664(+)::chr6:118077652-118077664(+) CAGAGGAAGTGA >mm10_chr6:118088987-118088998(-)::chr6:118088986-118088998(-) AGGAGGAAGAGA >mm10_chr6:118115888-118115899(-)::chr6:118115887-118115899(-) tcaaggaagtgt >mm10_chr6:118127410-118127421(-)::chr6:118127409-118127421(-) AGCAGGAAGTTA >mm10_chr6:118127423-118127434(+)::chr6:118127422-118127434(+) TTAAGGAAGAGT >mm10_chr6:118179672-118179683(-)::chr6:118179671-118179683(-) GCGAGAAAGTAT >mm10_chr6:118193175-118193186(-)::chr6:118193174-118193186(-) AGGAGGAACTAA >mm10_chr6:118205231-118205242(+)::chr6:118205230-118205242(+) ATCAGGAAGTGT >mm10_chr6:118276272-118276283(+)::chr6:118276271-118276283(+) ctcaggaagtgt >mm10_chr6:118276290-118276301(+)::chr6:118276289-118276301(+) aacaggaagtga >mm10_chr6:118276328-118276339(-)::chr6:118276327-118276339(-) tgaaggaagtgg >mm10_chr6:118297248-118297259(-)::chr6:118297247-118297259(-) TGAAGGAAGAGC >mm10_chr6:118297273-118297284(+)::chr6:118297272-118297284(+) ACCAGGAAGTTA >mm10_chr6:118297303-118297314(+)::chr6:118297302-118297314(+) ATCAGGAAATGG >mm10_chr6:118325251-118325262(-)::chr6:118325250-118325262(-) ATGAGGAAGAGC >mm10_chr6:118326456-118326467(-)::chr6:118326455-118326467(-) ATCAGGAAGTCA >mm10_chr6:118332295-118332306(-)::chr6:118332294-118332306(-) tacaggaagtgg >mm10_chr6:118345788-118345799(+)::chr6:118345787-118345799(+) AGCAGGAAGAGG >mm10_chr6:118345794-118345805(+)::chr6:118345793-118345805(+) AAGAGGAAGGGA >mm10_chr6:118347385-118347396(+)::chr6:118347384-118347396(+) ACCCGGAAGTCC >mm10_chr6:118347403-118347414(-)::chr6:118347402-118347414(-) TAAAGGAAGAGC >mm10_chr6:118376183-118376194(-)::chr6:118376182-118376194(-) GACAGGAAGGGC >mm10_chr6:118419517-118419528(+)::chr6:118419516-118419528(+) AGCCGGAAGTAA >mm10_chr6:118452294-118452305(+)::chr6:118452293-118452305(+) aagaggaagggg >mm10_chr6:118585502-118585513(+)::chr6:118585501-118585513(+) ACCAGGAAGCCC >mm10_chr6:118622107-118622118(-)::chr6:118622106-118622118(-) accaggaaatgc >mm10_chr6:118630537-118630548(+)::chr6:118630536-118630548(+) ATAAGGAAACAG >mm10_chr6:118695713-118695724(+)::chr6:118695712-118695724(+) aggaggaagtga >mm10_chr6:118735928-118735939(+)::chr6:118735927-118735939(+) AGAAGGAAACAG >mm10_chr6:118735937-118735948(+)::chr6:118735936-118735948(+) CAGAGGAAGTTC >mm10_chr6:118737127-118737138(+)::chr6:118737126-118737138(+) TAGAGGAAGGAC >mm10_chr6:118770761-118770772(-)::chr6:118770760-118770772(-) GGCAGGAAGGAT >mm10_chr6:118808154-118808165(+)::chr6:118808153-118808165(+) CGCAGGAAGACA >mm10_chr6:118833585-118833596(-)::chr6:118833584-118833596(-) TCCAGGAAGAGG >mm10_chr6:118958900-118958911(-)::chr6:118958899-118958911(-) AGGAGGAAGAGG >mm10_chr6:119016745-119016756(-)::chr6:119016744-119016756(-) ATCAGGATGTAA >mm10_chr6:119016814-119016825(-)::chr6:119016813-119016825(-) ACCAGGAAGTAA >mm10_chr6:119057685-119057696(+)::chr6:119057684-119057696(+) TCCAGGAAATAC >mm10_chr6:119078022-119078033(+)::chr6:119078021-119078033(+) aaacggaagagg >mm10_chr6:119083045-119083056(+)::chr6:119083044-119083056(+) AGCAGGAAGCAA >mm10_chr6:119088642-119088653(-)::chr6:119088641-119088653(-) GGCAGGAAGAGG >mm10_chr6:119088666-119088677(+)::chr6:119088665-119088677(+) AGCAGGAAATAT >mm10_chr6:119197129-119197140(-)::chr6:119197128-119197140(-) ATAAGGAACTCG >mm10_chr6:119197155-119197166(+)::chr6:119197154-119197166(+) AGGAGGAAGAAT >mm10_chr6:119336364-119336375(-)::chr6:119336363-119336375(-) AGGAGGAAGGCA >mm10_chr6:119336371-119336382(-)::chr6:119336370-119336382(-) AACAGGAAGGAG >mm10_chr6:119346543-119346554(+)::chr6:119346542-119346554(+) AACAGGAAGTGA >mm10_chr6:119366007-119366018(+)::chr6:119366006-119366018(+) ggacggaaggaa >mm10_chr6:119366011-119366022(+)::chr6:119366010-119366022(+) ggaaggaaggaA >mm10_chr6:119366015-119366026(+)::chr6:119366014-119366026(+) ggaaggaAGAGA >mm10_chr6:119388937-119388948(-)::chr6:119388936-119388948(-) TCGAGGAAGCTG >mm10_chr6:119388968-119388979(+)::chr6:119388967-119388979(+) AGAAGGGAGTGG >mm10_chr6:119389271-119389282(+)::chr6:119389270-119389282(+) ACAAGGAACTAT >mm10_chr6:119424770-119424781(+)::chr6:119424769-119424781(+) ataaggaagttt >mm10_chr6:119438116-119438127(-)::chr6:119438115-119438127(-) ATAAGGAAGAAC >mm10_chr6:119452153-119452164(-)::chr6:119452152-119452164(-) TCGAGGAAGTAG >mm10_chr6:119472852-119472863(+)::chr6:119472851-119472863(+) gggaggaaatac >mm10_chr6:119472867-119472878(+)::chr6:119472866-119472878(+) atgaggaagagg >mm10_chr6:119472873-119472884(+)::chr6:119472872-119472884(+) aagaggaaggta >mm10_chr6:119472877-119472888(+)::chr6:119472876-119472888(+) ggaaggtagtag >mm10_chr6:119485735-119485746(+)::chr6:119485734-119485746(+) GAAAGGAAGAGG >mm10_chr6:119507138-119507149(-)::chr6:119507137-119507149(-) gggaggaagagg >mm10_chr6:119507150-119507161(-)::chr6:119507149-119507161(-) aggaggaagagg >mm10_chr6:119507178-119507189(-)::chr6:119507177-119507189(-) aggaggaagaag >mm10_chr6:119507190-119507201(-)::chr6:119507189-119507201(-) acaaggaagaag >mm10_chr6:119508981-119508992(-)::chr6:119508980-119508992(-) aacaggaaatag >mm10_chr6:119511531-119511542(+)::chr6:119511530-119511542(+) GTGAGGAAGGAA >mm10_chr6:119511535-119511546(+)::chr6:119511534-119511546(+) GGAAGGAAGTGG >mm10_chr6:119513993-119514004(+)::chr6:119513992-119514004(+) TCAAGGAAGCAG >mm10_chr6:119546326-119546337(+)::chr6:119546325-119546337(+) aggaggaagagg >mm10_chr6:119546338-119546349(+)::chr6:119546337-119546349(+) agcaggaaggag >mm10_chr6:119546353-119546364(+)::chr6:119546352-119546364(+) aggaggaaggag >mm10_chr6:119558916-119558927(+)::chr6:119558915-119558927(+) atgaggaagttt >mm10_chr6:119566845-119566856(-)::chr6:119566844-119566856(-) AGCAGGAAGCCC >mm10_chr6:119573115-119573126(+)::chr6:119573114-119573126(+) ATGAGGAAGGCC >mm10_chr6:119573139-119573150(+)::chr6:119573138-119573150(+) ATGAGGAAGGCC >mm10_chr6:119621792-119621803(-)::chr6:119621791-119621803(-) tagaggaagttt >mm10_chr6:119632281-119632292(+)::chr6:119632280-119632292(+) AGAAGGAACTGC >mm10_chr6:119646177-119646188(-)::chr6:119646176-119646188(-) GAAAGGAAATGT >mm10_chr6:119661248-119661259(+)::chr6:119661247-119661259(+) AAAAGGAAATTC >mm10_chr6:119661330-119661341(+)::chr6:119661329-119661341(+) ACCAGGAAGGAC >mm10_chr6:119773924-119773935(+)::chr6:119773923-119773935(+) GTGAGGAAGAAA >mm10_chr6:119773987-119773998(+)::chr6:119773986-119773998(+) TATAGGAAATTA >mm10_chr6:119781909-119781920(+)::chr6:119781908-119781920(+) AGAAGGAAAAAA >mm10_chr6:119781963-119781974(+)::chr6:119781962-119781974(+) ATGAGGAAGTAA >mm10_chr6:119809368-119809379(+)::chr6:119809367-119809379(+) AGGAGGAAGGAG >mm10_chr6:119809381-119809392(+)::chr6:119809380-119809392(+) ATGAGGAAGCCA >mm10_chr6:119848570-119848581(-)::chr6:119848569-119848581(-) CCAAGGAAGGGG >mm10_chr6:119864892-119864903(-)::chr6:119864891-119864903(-) gcaaggaagtgc >mm10_chr6:119880840-119880851(+)::chr6:119880839-119880851(+) agaaggaaatga >mm10_chr6:119924598-119924609(+)::chr6:119924597-119924609(+) TACAGGAAGAAG >mm10_chr6:119977309-119977320(+)::chr6:119977308-119977320(+) ttaaggaaattg >mm10_chr6:119977343-119977354(-)::chr6:119977342-119977354(-) aagaggaagtgg >mm10_chr6:120038822-120038833(+)::chr6:120038821-120038833(+) GGGAGGAACGCG >mm10_chr6:120038838-120038849(-)::chr6:120038837-120038849(-) TCACGGAAGTAG >mm10_chr6:120039869-120039880(+)::chr6:120039868-120039880(+) AGCGGGAAGCGC >mm10_chr6:120042969-120042980(+)::chr6:120042968-120042980(+) TAGAGGAAGGAG >mm10_chr6:120047810-120047821(-)::chr6:120047809-120047821(-) tAAAGGAAGCTA >mm10_chr6:120056318-120056329(-)::chr6:120056317-120056329(-) AACAGGAAGGAA >mm10_chr6:120056364-120056375(+)::chr6:120056363-120056375(+) GTGAGGAAGTCT >mm10_chr6:120076420-120076431(+)::chr6:120076419-120076431(+) ACAAGGAAGTAA >mm10_chr6:120077331-120077342(-)::chr6:120077330-120077342(-) tgcaggaagtgc >mm10_chr6:120100119-120100130(-)::chr6:120100118-120100130(-) AAAATGAAGTCA >mm10_chr6:120100151-120100162(-)::chr6:120100150-120100162(-) AGAAGGAAGTAA >mm10_chr6:120105141-120105152(+)::chr6:120105140-120105152(+) TAGAGGAAGGAA >mm10_chr6:120109293-120109304(+)::chr6:120109292-120109304(+) AGCAGGAAGGAG >mm10_chr6:120135197-120135208(+)::chr6:120135196-120135208(+) AAAAGGAAGAAG >mm10_chr6:120137195-120137206(-)::chr6:120137194-120137206(-) AGGAGGAAGGGA >mm10_chr6:120159161-120159172(-)::chr6:120159160-120159172(-) AAGAGGAAATGG >mm10_chr6:120174493-120174504(+)::chr6:120174492-120174504(+) GAAAGGAAGAGC >mm10_chr6:120174513-120174524(+)::chr6:120174512-120174524(+) ACAGGGAAGTTC >mm10_chr6:120205105-120205116(+)::chr6:120205104-120205116(+) CTCAGGAAGTAG >mm10_chr6:120205139-120205150(-)::chr6:120205138-120205150(-) AAAAGGAACGAG >mm10_chr6:120205146-120205157(-)::chr6:120205145-120205157(-) AGAAGGAAAAAG >mm10_chr6:120214958-120214969(-)::chr6:120214957-120214969(-) atgaggaagaag >mm10_chr6:120214976-120214987(-)::chr6:120214975-120214987(-) aggaggaagagg >mm10_chr6:120214997-120215008(-)::chr6:120214996-120215008(-) ACCAGGAAGGAG >mm10_chr6:120215021-120215032(-)::chr6:120215020-120215032(-) AGGAGGACGTGG >mm10_chr6:120249410-120249421(+)::chr6:120249409-120249421(+) AGGAGGAAGGAG >mm10_chr6:120249417-120249428(+)::chr6:120249416-120249428(+) AGGAGGAAGGAG >mm10_chr6:120357058-120357069(+)::chr6:120357057-120357069(+) GGGAGGAAGACG >mm10_chr6:120364385-120364396(-)::chr6:120364384-120364396(-) TCCCGGAAGTTA >mm10_chr6:120454691-120454702(-)::chr6:120454690-120454702(-) GCAAGGAAGCGC >mm10_chr6:120463202-120463213(+)::chr6:120463201-120463213(+) AGCCGGAAGCGG >mm10_chr6:120487203-120487214(-)::chr6:120487202-120487214(-) ACAAGGAAGAGG >mm10_chr6:120492501-120492512(+)::chr6:120492500-120492512(+) CGCCGGAAGCGG >mm10_chr6:120504209-120504220(-)::chr6:120504208-120504220(-) GCTAGGAAGTTG >mm10_chr6:120509223-120509234(+)::chr6:120509222-120509234(+) aGCAGGAAATTT >mm10_chr6:120529244-120529255(+)::chr6:120529243-120529255(+) ACCAGGAAATAG >mm10_chr6:120529288-120529299(+)::chr6:120529287-120529299(+) TACAGGAAATAC >mm10_chr6:120534775-120534786(-)::chr6:120534774-120534786(-) aggaggaagaag >mm10_chr6:120534802-120534813(-)::chr6:120534801-120534813(-) aagaggaagaag >mm10_chr6:120534808-120534819(-)::chr6:120534807-120534819(-) agaaggaagagg >mm10_chr6:120539515-120539526(+)::chr6:120539514-120539526(+) AACAGGAAATCG >mm10_chr6:120539962-120539973(+)::chr6:120539961-120539973(+) TCAGGGAAGTCA >mm10_chr6:120547094-120547105(-)::chr6:120547093-120547105(-) GGCAGGAAGTCA >mm10_chr6:120604091-120604102(-)::chr6:120604090-120604102(-) TCCAGGAAGTAG >mm10_chr6:120656755-120656766(+)::chr6:120656754-120656766(+) gcaaggaagctc >mm10_chr6:120656772-120656783(+)::chr6:120656771-120656783(+) agcaggaaggta >mm10_chr6:120656800-120656811(+)::chr6:120656799-120656811(+) ttcaggaagtcc >mm10_chr6:120736794-120736805(-)::chr6:120736793-120736805(-) GAAAGGAAGCTT >mm10_chr6:120772239-120772250(-)::chr6:120772238-120772250(-) ACAAGGAAGCAG >mm10_chr6:120773231-120773242(-)::chr6:120773230-120773242(-) ACAGGGAAGTCT >mm10_chr6:120773298-120773309(-)::chr6:120773297-120773309(-) TCAAGGAACTGA >mm10_chr6:120795711-120795722(-)::chr6:120795710-120795722(-) AATAGGAAGTTT >mm10_chr6:120820496-120820507(-)::chr6:120820495-120820507(-) GAAGGGAAGTCG >mm10_chr6:120820501-120820512(-)::chr6:120820500-120820512(-) TTACGGAAGGGA >mm10_chr6:120820546-120820557(+)::chr6:120820545-120820557(+) ACAAGGAAGAAG >mm10_chr6:120927829-120927840(-)::chr6:120927828-120927840(-) GAGAGGAAGGAA >mm10_chr6:120937638-120937649(+)::chr6:120937637-120937649(+) ACAAGGAAGAGC >mm10_chr6:120940609-120940620(+)::chr6:120940608-120940620(+) GGAAGTAAGTGC >mm10_chr6:120940664-120940675(+)::chr6:120940663-120940675(+) ACCAGGAAGCTG >mm10_chr6:120951014-120951025(+)::chr6:120951013-120951025(+) gagaggaagagg >mm10_chr6:120955377-120955388(+)::chr6:120955376-120955388(+) AACAGGAAGAAG >mm10_chr6:120955391-120955402(-)::chr6:120955390-120955402(-) AGGAGGAAGCCA >mm10_chr6:120955421-120955432(-)::chr6:120955420-120955432(-) AGAAGGAAGCCT >mm10_chr6:120965134-120965145(-)::chr6:120965133-120965145(-) GGAAGGATGTCC >mm10_chr6:120965138-120965149(-)::chr6:120965137-120965149(-) AGGAGGAAGGAT >mm10_chr6:120965154-120965165(-)::chr6:120965153-120965165(-) AGGAGGAAGGAG >mm10_chr6:120965161-120965172(-)::chr6:120965160-120965172(-) TAGAGGAAGGAG >mm10_chr6:120970977-120970988(+)::chr6:120970976-120970988(+) AGAAGGAAGAGT >mm10_chr6:120994495-120994506(+)::chr6:120994494-120994506(+) AAGAGGAAGGCA >mm10_chr6:121023029-121023040(-)::chr6:121023028-121023040(-) aagaggaagaag >mm10_chr6:121023035-121023046(-)::chr6:121023034-121023046(-) agaaggaagagg >mm10_chr6:121023053-121023064(-)::chr6:121023052-121023064(-) ATAaggaagagg >mm10_chr6:121043803-121043814(+)::chr6:121043802-121043814(+) AACAGGAAGGAA >mm10_chr6:121044662-121044673(+)::chr6:121044661-121044673(+) AGAAGGAAAATG >mm10_chr6:121045580-121045591(-)::chr6:121045579-121045591(-) GGCAGGAAGACT >mm10_chr6:121055027-121055038(+)::chr6:121055026-121055038(+) GGAAGGAAGGAC >mm10_chr6:121055048-121055059(+)::chr6:121055047-121055059(+) GCAAGGAAGCCA >mm10_chr6:121064032-121064043(+)::chr6:121064031-121064043(+) ACAAGGAACTAC >mm10_chr6:121075075-121075086(+)::chr6:121075074-121075086(+) GGGAGGAAGAAC >mm10_chr6:121075100-121075111(+)::chr6:121075099-121075111(+) GGCAGGAAATAA >mm10_chr6:121075135-121075146(+)::chr6:121075134-121075146(+) ACCAGGAAGTGC >mm10_chr6:121081486-121081497(+)::chr6:121081485-121081497(+) AGCAGGAAATCC >mm10_chr6:121084116-121084127(+)::chr6:121084115-121084127(+) ATGAGGAAGTAG >mm10_chr6:121085524-121085535(-)::chr6:121085523-121085535(-) ACAAGGAAGTGG >mm10_chr6:121085559-121085570(+)::chr6:121085558-121085570(+) AGCAGGAAGATC >mm10_chr6:121086392-121086403(+)::chr6:121086391-121086403(+) AGAAGGAAGAAG >mm10_chr6:121086416-121086427(+)::chr6:121086415-121086427(+) AGGAGGAAGCGC >mm10_chr6:121097192-121097203(+)::chr6:121097191-121097203(+) ACAAGGAAGGCA >mm10_chr6:121097212-121097223(+)::chr6:121097211-121097223(+) TTAGGGAAGTGG >mm10_chr6:121103345-121103356(+)::chr6:121103344-121103356(+) TGCAGGAAGTGG >mm10_chr6:121103367-121103378(-)::chr6:121103366-121103378(-) TGCAGGAAGGGC >mm10_chr6:121103391-121103402(+)::chr6:121103390-121103402(+) AGGAGGAAGAGC >mm10_chr6:121138238-121138249(+)::chr6:121138237-121138249(+) TTGAGGAAGTAG >mm10_chr6:121138252-121138263(+)::chr6:121138251-121138263(+) GGGAGGAAATGG >mm10_chr6:121138570-121138581(+)::chr6:121138569-121138581(+) GTCAGGAAGGGC >mm10_chr6:121138796-121138807(+)::chr6:121138795-121138807(+) TCCAGGAAGAAA >mm10_chr6:121138817-121138828(+)::chr6:121138816-121138828(+) GAAAGGAAGCTC >mm10_chr6:121165913-121165924(-)::chr6:121165912-121165924(-) aagaggaagaag >mm10_chr6:121165933-121165944(-)::chr6:121165932-121165944(-) aggaggaagaga >mm10_chr6:121165940-121165951(-)::chr6:121165939-121165951(-) ggaaggaaggag >mm10_chr6:121165977-121165988(-)::chr6:121165976-121165988(-) aggaggaaggca >mm10_chr6:121167121-121167132(-)::chr6:121167120-121167132(-) AGAAGGAACTAG >mm10_chr6:121170361-121170372(+)::chr6:121170360-121170372(+) aacaGGAAGGTC >mm10_chr6:121170372-121170383(+)::chr6:121170371-121170383(+) CCAAGGAAATTG >mm10_chr6:121170392-121170403(+)::chr6:121170391-121170403(+) GCAGGGAAGTCT >mm10_chr6:121171156-121171167(-)::chr6:121171155-121171167(-) GGAAAGAAGTAT >mm10_chr6:121171285-121171296(+)::chr6:121171284-121171296(+) AAAAGGAAGGAC >mm10_chr6:121176165-121176176(-)::chr6:121176164-121176176(-) ACAAGGAAATGC >mm10_chr6:121176580-121176591(+)::chr6:121176579-121176591(+) TTCAGGAAGCGC >mm10_chr6:121176602-121176613(-)::chr6:121176601-121176613(-) TCGAGGAAGTGC >mm10_chr6:121183669-121183680(+)::chr6:121183668-121183680(+) CGAAGGAAGGAT >mm10_chr6:121183717-121183728(-)::chr6:121183716-121183728(-) ATGAGGAAGCTA >mm10_chr6:121365782-121365793(-)::chr6:121365781-121365793(-) ACAAGGAAGAGA >mm10_chr6:121500876-121500887(+)::chr6:121500875-121500887(+) GCCAGGAAATAG >mm10_chr6:121501409-121501420(+)::chr6:121501408-121501420(+) aataggaagtag >mm10_chr6:121575917-121575928(+)::chr6:121575916-121575928(+) TACAGGAAATGA >mm10_chr6:121575981-121575992(-)::chr6:121575980-121575992(-) ACCAGGAAGTAA >mm10_chr6:122292494-122292505(+)::chr6:122292493-122292505(+) ataaggaaatag >mm10_chr6:122602256-122602267(-)::chr6:122602255-122602267(-) atcaggaagaag >mm10_chr6:122669610-122669621(-)::chr6:122669609-122669621(-) ACAAGGAACTGC >mm10_chr6:122724795-122724806(+)::chr6:122724794-122724806(+) acaaggaagtaa >mm10_chr6:122724837-122724848(+)::chr6:122724836-122724848(+) ataaggaaggaa >mm10_chr6:122724841-122724852(+)::chr6:122724840-122724852(+) ggaaggaagttt >mm10_chr6:122726262-122726273(+)::chr6:122726261-122726273(+) ggcaggaagtta >mm10_chr6:122726327-122726338(+)::chr6:122726326-122726338(+) agaaggaagcct >mm10_chr6:122734046-122734057(+)::chr6:122734045-122734057(+) GAAAGGAAGGAG >mm10_chr6:122769261-122769272(-)::chr6:122769260-122769272(-) ACCAGGAAGATC >mm10_chr6:122769277-122769288(-)::chr6:122769276-122769288(-) AGACGGAAGCCA >mm10_chr6:122769296-122769307(-)::chr6:122769295-122769307(-) ACCAGGAAGCAG >mm10_chr6:122810711-122810722(+)::chr6:122810710-122810722(+) AGGAGTAAGTAA >mm10_chr6:122810743-122810754(+)::chr6:122810742-122810754(+) TGCAGGAAATGA >mm10_chr6:122826624-122826635(-)::chr6:122826623-122826635(-) ACCAGGAAGAAG >mm10_chr6:123810520-123810531(-)::chr6:123810519-123810531(-) agaaggaattta >mm10_chr6:123810540-123810551(-)::chr6:123810539-123810551(-) agaaggaaggtt >mm10_chr6:124407061-124407072(-)::chr6:124407060-124407072(-) AATAGGAAGGAC >mm10_chr6:124407079-124407090(-)::chr6:124407078-124407090(-) AGGAGGAAGTAG >mm10_chr6:124425771-124425782(-)::chr6:124425770-124425782(-) AAGAGGAAATGC >mm10_chr6:124502177-124502188(+)::chr6:124502176-124502188(+) tcagggaagtta >mm10_chr6:124505201-124505212(-)::chr6:124505200-124505212(-) gacaggaagtgT >mm10_chr6:124641934-124641945(+)::chr6:124641933-124641945(+) TGTAGGAAGTAG >mm10_chr6:124642370-124642381(+)::chr6:124642369-124642381(+) aacaggaagaag >mm10_chr6:124671161-124671172(-)::chr6:124671160-124671172(-) AAAAGGAAATGC >mm10_chr6:124712213-124712224(-)::chr6:124712212-124712224(-) ATCCGGAAGTCC >mm10_chr6:124717228-124717239(-)::chr6:124717227-124717239(-) CCAAGGAAGCAG >mm10_chr6:124721108-124721119(-)::chr6:124721107-124721119(-) AAGAGGAAGTGA >mm10_chr6:124721170-124721181(-)::chr6:124721169-124721181(-) AGAAGGAAGAGA >mm10_chr6:124738628-124738639(-)::chr6:124738627-124738639(-) AGGAGGAAGTGG >mm10_chr6:124741069-124741080(+)::chr6:124741068-124741080(+) GAAAGGAAGCGG >mm10_chr6:124741090-124741101(+)::chr6:124741089-124741101(+) AGGCGGAAGTCT >mm10_chr6:124759394-124759405(+)::chr6:124759393-124759405(+) AGAAGGAAGTCT >mm10_chr6:124782596-124782607(-)::chr6:124782595-124782607(-) tccaggaagtgg >mm10_chr6:124796073-124796084(-)::chr6:124796072-124796084(-) gaaaggaagaaa >mm10_chr6:124796094-124796105(-)::chr6:124796093-124796105(-) acaaggaaagaa >mm10_chr6:124796546-124796557(+)::chr6:124796545-124796557(+) ttcaggaagtcc >mm10_chr6:124811482-124811493(-)::chr6:124811481-124811493(-) AGACGGAAATGG >mm10_chr6:124847421-124847432(-)::chr6:124847420-124847432(-) AGAAGGCAGTGG >mm10_chr6:124915569-124915580(-)::chr6:124915568-124915580(-) GGCAGGAAGGTG >mm10_chr6:124915582-124915593(+)::chr6:124915581-124915593(+) CCAAGGAAGGAG >mm10_chr6:124915589-124915600(+)::chr6:124915588-124915600(+) AGGAGGAAGCAC >mm10_chr6:124915947-124915958(-)::chr6:124915946-124915958(-) AGAAGGGAGTGG >mm10_chr6:124916024-124916035(-)::chr6:124916023-124916035(-) AGAAGGAACGAC >mm10_chr6:124918120-124918131(+)::chr6:124918119-124918131(+) aggaggaaggac >mm10_chr6:124919816-124919827(-)::chr6:124919815-124919827(-) AGGAGGAAGGTG >mm10_chr6:124931384-124931395(-)::chr6:124931383-124931395(-) GAACGGAAGTGA >mm10_chr6:124953085-124953096(+)::chr6:124953084-124953096(+) gccaggaagaac >mm10_chr6:124965534-124965545(-)::chr6:124965533-124965545(-) GAGCGGAAGTAC >mm10_chr6:124965575-124965586(-)::chr6:124965574-124965586(-) AAACGGAAGCAG >mm10_chr6:125008950-125008961(-)::chr6:125008949-125008961(-) GGGCGGAAGTAG >mm10_chr6:125046837-125046848(+)::chr6:125046836-125046848(+) AAGAGGAAGAAG >mm10_chr6:125074070-125074081(+)::chr6:125074069-125074081(+) AAAAGGAAGTGG >mm10_chr6:125082050-125082061(+)::chr6:125082049-125082061(+) CGACGGAAGACC >mm10_chr6:125082088-125082099(-)::chr6:125082087-125082099(-) AGCAGGAAGATG >mm10_chr6:125092534-125092545(-)::chr6:125092533-125092545(-) AACAGGAAGACC >mm10_chr6:125092565-125092576(-)::chr6:125092564-125092576(-) TACAGGAAGAGG >mm10_chr6:125092585-125092596(-)::chr6:125092584-125092596(-) AAAAGGAACCGG >mm10_chr6:125092742-125092753(+)::chr6:125092741-125092753(+) ACCAGGAAGAGG >mm10_chr6:125097290-125097301(+)::chr6:125097289-125097301(+) GGCAGGAAGATG >mm10_chr6:125099472-125099483(-)::chr6:125099471-125099483(-) GACAGGAAGTGG >mm10_chr6:125099534-125099545(-)::chr6:125099533-125099545(-) AGAAGGAAAATG >mm10_chr6:125145280-125145291(-)::chr6:125145279-125145291(-) AGGAGGAAGAGG >mm10_chr6:125164773-125164784(+)::chr6:125164772-125164784(+) AGAAGGAAGGCC >mm10_chr6:125164813-125164824(-)::chr6:125164812-125164824(-) GGAAGGAAATGA >mm10_chr6:125173725-125173736(+)::chr6:125173724-125173736(+) AGCAGGAAGCTC >mm10_chr6:125191554-125191565(+)::chr6:125191553-125191565(+) AAAAGGAAGGAA >mm10_chr6:125191558-125191569(+)::chr6:125191557-125191569(+) GGAAGGAAGAAA >mm10_chr6:125192108-125192119(+)::chr6:125192107-125192119(+) AACCGGAAGTAT >mm10_chr6:125196964-125196975(+)::chr6:125196963-125196975(+) AAAAGGAAGAGG >mm10_chr6:125197011-125197022(+)::chr6:125197010-125197022(+) AGAAGGAACTAA >mm10_chr6:125208486-125208497(-)::chr6:125208485-125208497(-) AGAAGGAAAGAA >mm10_chr6:125231262-125231273(-)::chr6:125231261-125231273(-) AAGAGGAAGGGG >mm10_chr6:125231334-125231345(+)::chr6:125231333-125231345(+) TCGAGGAAGCCC >mm10_chr6:125232428-125232439(-)::chr6:125232427-125232439(-) ACTAGGAAGAAG >mm10_chr6:125232461-125232472(-)::chr6:125232460-125232472(-) aggaggaagaag >mm10_chr6:125234401-125234412(+)::chr6:125234400-125234412(+) AGGAGGAAGACA >mm10_chr6:125238248-125238259(-)::chr6:125238247-125238259(-) AGAAGGAAGTTT >mm10_chr6:125238278-125238289(-)::chr6:125238277-125238289(-) AGCAGGAAGGAT >mm10_chr6:125238778-125238789(-)::chr6:125238777-125238789(-) GGAAGGAAATTC >mm10_chr6:125238782-125238793(-)::chr6:125238781-125238793(-) ATGAGGAAGGAA >mm10_chr6:125247254-125247265(-)::chr6:125247253-125247265(-) ataaggaaggga >mm10_chr6:125254064-125254075(-)::chr6:125254063-125254075(-) ATCAGGAAGATC >mm10_chr6:125254084-125254095(+)::chr6:125254083-125254095(+) agaaggaagact >mm10_chr6:125256674-125256685(+)::chr6:125256673-125256685(+) AGAAGGAAGAGA >mm10_chr6:125256683-125256694(+)::chr6:125256682-125256694(+) AGAGGGAAGGGA >mm10_chr6:125301160-125301171(+)::chr6:125301159-125301171(+) ggcaggaaataa >mm10_chr6:125302792-125302803(-)::chr6:125302791-125302803(-) AGACGGAAGACG >mm10_chr6:125308268-125308279(-)::chr6:125308267-125308279(-) ggcaggaagcac >mm10_chr6:125308343-125308354(-)::chr6:125308342-125308354(-) atgaggaagcca >mm10_chr6:125313727-125313738(+)::chr6:125313726-125313738(+) AGGAGGAAGGTG >mm10_chr6:125320983-125320994(-)::chr6:125320982-125320994(-) GCCAGGAAGGCA >mm10_chr6:125321008-125321019(-)::chr6:125321007-125321019(-) GAGAGGAAGGAT >mm10_chr6:125331152-125331163(+)::chr6:125331151-125331163(+) GACAGGAAGGAC >mm10_chr6:125336908-125336919(-)::chr6:125336907-125336919(-) GGGAGGAAGTTC >mm10_chr6:125345713-125345724(+)::chr6:125345712-125345724(+) AGAAGGAAGGAG >mm10_chr6:125345754-125345765(-)::chr6:125345753-125345765(-) AAAGGGAAGTCA >mm10_chr6:125358580-125358591(-)::chr6:125358579-125358591(-) ATGAGGAAGTCT >mm10_chr6:125367938-125367949(-)::chr6:125367937-125367949(-) AAAAGGAACTGA >mm10_chr6:125368019-125368030(+)::chr6:125368018-125368030(+) TCAAGGAAGCTG >mm10_chr6:125378210-125378221(+)::chr6:125378209-125378221(+) TTAAGGAAGCAC >mm10_chr6:125407022-125407033(+)::chr6:125407021-125407033(+) aagaggaagtct >mm10_chr6:125407039-125407050(+)::chr6:125407038-125407050(+) gtgaggaagaat >mm10_chr6:125422784-125422795(-)::chr6:125422783-125422795(-) agcaggaagacg >mm10_chr6:125426397-125426408(+)::chr6:125426396-125426408(+) ATAAGGAAGTGT >mm10_chr6:125426419-125426430(-)::chr6:125426418-125426430(-) CAAAGGAAGGAG >mm10_chr6:125436400-125436411(+)::chr6:125436399-125436411(+) agggggaagtgg >mm10_chr6:125436412-125436423(+)::chr6:125436411-125436423(+) gggaggaagagg >mm10_chr6:125436424-125436435(+)::chr6:125436423-125436435(+) aggaggaagagg >mm10_chr6:125447541-125447552(-)::chr6:125447540-125447552(-) GACAGGAAATAA >mm10_chr6:125448701-125448712(-)::chr6:125448700-125448712(-) aagaggaagcag >mm10_chr6:125448707-125448718(-)::chr6:125448706-125448718(-) gggaggaagagg >mm10_chr6:125448735-125448746(-)::chr6:125448734-125448746(-) aaaaggaagcta >mm10_chr6:125454919-125454930(-)::chr6:125454918-125454930(-) ACCAGGAAGTGA >mm10_chr6:125460734-125460745(+)::chr6:125460733-125460745(+) GACAGGAAGGGG >mm10_chr6:125477080-125477091(+)::chr6:125477079-125477091(+) aaaaggaagtga >mm10_chr6:125479498-125479509(+)::chr6:125479497-125479509(+) AGCAGGAAGTCC >mm10_chr6:125491604-125491615(-)::chr6:125491603-125491615(-) GGATGGAAGTAA >mm10_chr6:125545124-125545135(-)::chr6:125545123-125545135(-) aATAGGAAGCAA >mm10_chr6:125545131-125545142(-)::chr6:125545130-125545142(-) ggaaggaaATAG >mm10_chr6:125545135-125545146(-)::chr6:125545134-125545146(-) aggaggaaggaa >mm10_chr6:125548593-125548604(+)::chr6:125548592-125548604(+) GGAAGGAAGTTG >mm10_chr6:125548629-125548640(+)::chr6:125548628-125548640(+) TGAAGGATGTCA >mm10_chr6:125549893-125549904(-)::chr6:125549892-125549904(-) agaaggaagtta >mm10_chr6:125563458-125563469(+)::chr6:125563457-125563469(+) AGGAGGAAGGTG >mm10_chr6:125579020-125579031(-)::chr6:125579019-125579031(-) agaaggaagatt >mm10_chr6:125623585-125623596(+)::chr6:125623584-125623596(+) AGGAGGAAGGAA >mm10_chr6:125640779-125640790(+)::chr6:125640778-125640790(+) ATGAGGAAGTAG >mm10_chr6:125640818-125640829(-)::chr6:125640817-125640829(-) ACAAGGAAGGTG >mm10_chr6:125654840-125654851(-)::chr6:125654839-125654851(-) ATGAGGAACTAA >mm10_chr6:125866073-125866084(+)::chr6:125866072-125866084(+) TAAAGGATGTCA >mm10_chr6:126046900-126046911(-)::chr6:126046899-126046911(-) AGAAGGAAGTAG >mm10_chr6:126071131-126071142(-)::chr6:126071130-126071142(-) TAAAGGAAGTTT >mm10_chr6:126071177-126071188(-)::chr6:126071176-126071188(-) aggaggaagaAG >mm10_chr6:126169736-126169747(-)::chr6:126169735-126169747(-) TGGAGGAAGTGC >mm10_chr6:126194870-126194881(+)::chr6:126194869-126194881(+) AGGAGGAAGTTG >mm10_chr6:126202481-126202492(-)::chr6:126202480-126202492(-) TGGAGGAAGTGT >mm10_chr6:126365552-126365563(-)::chr6:126365551-126365563(-) GAACGGAAGTGG >mm10_chr6:126378499-126378510(+)::chr6:126378498-126378510(+) AGAAGGAAATGG >mm10_chr6:126404615-126404626(+)::chr6:126404614-126404626(+) ACCAGGAAATGC >mm10_chr6:126485930-126485941(-)::chr6:126485929-126485941(-) AGAAGGAAACAG >mm10_chr6:126560401-126560412(+)::chr6:126560400-126560412(+) gaaaggaagggg >mm10_chr6:126564014-126564025(+)::chr6:126564013-126564025(+) AGCAGGAAGTAG >mm10_chr6:126573034-126573045(+)::chr6:126573033-126573045(+) TTAAGGAAGAAG >mm10_chr6:126573041-126573052(+)::chr6:126573040-126573052(+) AGAAGGAAGAAG >mm10_chr6:126646330-126646341(-)::chr6:126646329-126646341(-) GCAAGGAAATGT >mm10_chr6:126646401-126646412(-)::chr6:126646400-126646412(-) AGGAGGAAGTGG >mm10_chr6:126646408-126646419(-)::chr6:126646407-126646419(-) ATCAGGAAGGAG >mm10_chr6:126849213-126849224(+)::chr6:126849212-126849224(+) GAACGGAAGTGG >mm10_chr6:126849475-126849486(+)::chr6:126849474-126849486(+) AGAAGGAAGGGT >mm10_chr6:127266175-127266186(+)::chr6:127266174-127266186(+) ATAAGGAAGTGA >mm10_chr6:127266361-127266372(-)::chr6:127266360-127266372(-) GGAAGGAAGGTT >mm10_chr6:127266365-127266376(-)::chr6:127266364-127266376(-) CGCAGGAAGGAA >mm10_chr6:127350874-127350885(+)::chr6:127350873-127350885(+) AGGAGGAAGAAC >mm10_chr6:127350920-127350931(-)::chr6:127350919-127350931(-) ACAAGGAAGCAT >mm10_chr6:127359672-127359683(+)::chr6:127359671-127359683(+) TGAAGGAAGCCT >mm10_chr6:127374569-127374580(-)::chr6:127374568-127374580(-) CCAAGGAAGTAG >mm10_chr6:127375078-127375089(+)::chr6:127375077-127375089(+) AGGAGGAAGTGC >mm10_chr6:127392136-127392147(-)::chr6:127392135-127392147(-) agcaggaaatcG >mm10_chr6:127392774-127392785(+)::chr6:127392773-127392785(+) ggcaggaagtca >mm10_chr6:127399096-127399107(+)::chr6:127399095-127399107(+) AAGAGGAAGGGC >mm10_chr6:127406457-127406468(+)::chr6:127406456-127406468(+) AGAAGGAAGAGG >mm10_chr6:127407171-127407182(+)::chr6:127407170-127407182(+) TAGAGGAAGTAG >mm10_chr6:127414581-127414592(+)::chr6:127414580-127414592(+) GAAAGGAAGGAG >mm10_chr6:127414588-127414599(+)::chr6:127414587-127414599(+) AGGAGGAAGGGA >mm10_chr6:127446462-127446473(-)::chr6:127446461-127446473(-) aggaggaagaag >mm10_chr6:127446471-127446482(-)::chr6:127446470-127446482(-) aggaggaagagg >mm10_chr6:127453620-127453631(+)::chr6:127453619-127453631(+) AGGCGGAAGTCG >mm10_chr6:127453677-127453688(+)::chr6:127453676-127453688(+) AGAAGGAAGGCT >mm10_chr6:127463388-127463399(+)::chr6:127463387-127463399(+) caaaggaagagg >mm10_chr6:127463415-127463426(+)::chr6:127463414-127463426(+) agaaggaagtaa >mm10_chr6:127493641-127493652(-)::chr6:127493640-127493652(-) AGAAGGAAACAA >mm10_chr6:127617800-127617811(-)::chr6:127617799-127617811(-) GAAAGGAAGCAG >mm10_chr6:127681688-127681699(+)::chr6:127681687-127681699(+) aggaggaaggag >mm10_chr6:127681712-127681723(+)::chr6:127681711-127681723(+) aggaggaagagg >mm10_chr6:127681718-127681729(+)::chr6:127681717-127681729(+) aagaggaagaag >mm10_chr6:127681745-127681756(-)::chr6:127681744-127681756(-) TTGAGGAAGTAC >mm10_chr6:127702634-127702645(-)::chr6:127702633-127702645(-) GTGAGGAAATAC >mm10_chr6:127740413-127740424(+)::chr6:127740412-127740424(+) AGGAGGAAGGTA >mm10_chr6:127818706-127818717(-)::chr6:127818705-127818717(-) ACAAGGAAGAAC >mm10_chr6:127857734-127857745(+)::chr6:127857733-127857745(+) GAAAGGAAGGAA >mm10_chr6:127857738-127857749(+)::chr6:127857737-127857749(+) GGAAGGAACTGA >mm10_chr6:127857790-127857801(+)::chr6:127857789-127857801(+) AGAAGGAAGAGC >mm10_chr6:127920815-127920826(+)::chr6:127920814-127920826(+) TTAAGGAAGGAG >mm10_chr6:127962046-127962057(-)::chr6:127962045-127962057(-) TCAAGGAAATGC >mm10_chr6:128009020-128009031(+)::chr6:128009019-128009031(+) aggaggaagagg >mm10_chr6:128009032-128009043(+)::chr6:128009031-128009043(+) aaaaggaagagg >mm10_chr6:128009053-128009064(+)::chr6:128009052-128009064(+) aggaggaagagg >mm10_chr6:128009059-128009070(+)::chr6:128009058-128009070(+) aagaggaagagg >mm10_chr6:128009065-128009076(+)::chr6:128009064-128009076(+) aagaggaagaga >mm10_chr6:128009074-128009085(+)::chr6:128009073-128009085(+) agaaggaagagg >mm10_chr6:128009083-128009094(+)::chr6:128009082-128009094(+) aggaggaagaag >mm10_chr6:128009103-128009114(+)::chr6:128009102-128009114(+) aggaggaagagg >mm10_chr6:128103210-128103221(-)::chr6:128103209-128103221(-) ATAAGGAAGTTG >mm10_chr6:128104795-128104806(-)::chr6:128104794-128104806(-) GGCAGGAAGCAG >mm10_chr6:128142624-128142635(+)::chr6:128142623-128142635(+) AGGAGGAAATGC >mm10_chr6:128237727-128237738(+)::chr6:128237726-128237738(+) AAGAGGAAATGG >mm10_chr6:128243816-128243827(+)::chr6:128243815-128243827(+) AGTAGGAAGTTT >mm10_chr6:128263990-128264001(+)::chr6:128263989-128264001(+) CAAAGGAAGTCA >mm10_chr6:128264645-128264656(+)::chr6:128264644-128264656(+) ACCAGGAAGAAC >mm10_chr6:128278751-128278762(+)::chr6:128278750-128278762(+) ggaaggaaggaa >mm10_chr6:128278755-128278766(+)::chr6:128278754-128278766(+) ggaaggaaggaa >mm10_chr6:128278838-128278849(+)::chr6:128278837-128278849(+) agcaggaagatc >mm10_chr6:128279143-128279154(+)::chr6:128279142-128279154(+) AAAAGGAAGAGA >mm10_chr6:128279210-128279221(-)::chr6:128279209-128279221(-) GAAGGGAAGTAT >mm10_chr6:128296338-128296349(+)::chr6:128296337-128296349(+) GCCAGGAAGAAA >mm10_chr6:128297354-128297365(+)::chr6:128297353-128297365(+) AGAAGGAAGAGA >mm10_chr6:128300894-128300905(+)::chr6:128300893-128300905(+) AGCAGGAAGCAG >mm10_chr6:128325243-128325254(+)::chr6:128325242-128325254(+) ACAAGGAagtca >mm10_chr6:128343143-128343154(-)::chr6:128343142-128343154(-) TGCAGGAAGCAG >mm10_chr6:128343180-128343191(-)::chr6:128343179-128343191(-) ACAAGGAAGTAT >mm10_chr6:128347510-128347521(-)::chr6:128347509-128347521(-) GGAAGGAACACG >mm10_chr6:128347514-128347525(-)::chr6:128347513-128347525(-) AGAAGGAAGGAA >mm10_chr6:128347566-128347577(-)::chr6:128347565-128347577(-) ACAAGGAAAATA >mm10_chr6:128357661-128357672(+)::chr6:128357660-128357672(+) ACAAGGAACTGG >mm10_chr6:128359937-128359948(+)::chr6:128359936-128359948(+) gcAAGGAAGAAG >mm10_chr6:128359944-128359955(+)::chr6:128359943-128359955(+) AGAAGGAAAGGA >mm10_chr6:128359949-128359960(+)::chr6:128359948-128359960(+) GAAAGGAAGGAG >mm10_chr6:128359976-128359987(+)::chr6:128359975-128359987(+) AGAAGGAAACAG >mm10_chr6:128424902-128424913(+)::chr6:128424901-128424913(+) AACCGGAAGTCT >mm10_chr6:128433910-128433921(-)::chr6:128433909-128433921(-) GACGGGAAGTAT >mm10_chr6:128465751-128465762(+)::chr6:128465750-128465762(+) ACAAGGAAGAAC >mm10_chr6:128477741-128477752(-)::chr6:128477740-128477752(-) ACCAGGAAATCA >mm10_chr6:128477967-128477978(-)::chr6:128477966-128477978(-) AGCAGGAAGCAA >mm10_chr6:128527344-128527355(-)::chr6:128527343-128527355(-) ATAGGGAAGTTA >mm10_chr6:128527353-128527364(-)::chr6:128527352-128527364(-) ggaAGGAAAATA >mm10_chr6:128527393-128527404(-)::chr6:128527392-128527404(-) aagaggaaggag >mm10_chr6:128527399-128527410(-)::chr6:128527398-128527410(-) aagaggaagagg >mm10_chr6:128550815-128550826(+)::chr6:128550814-128550826(+) AGCAGGAAGCTG >mm10_chr6:128734796-128734807(-)::chr6:128734795-128734807(-) ctaagtaagtaa >mm10_chr6:128798164-128798175(+)::chr6:128798163-128798175(+) ACAAGGAAACTA >mm10_chr6:128840124-128840135(+)::chr6:128840123-128840135(+) ACACGGAAGTAG >mm10_chr6:128843800-128843811(+)::chr6:128843799-128843811(+) ACAAGGAAAACA >mm10_chr6:128914060-128914071(+)::chr6:128914059-128914071(+) ATGAGGAAGTAA >mm10_chr6:128925628-128925639(+)::chr6:128925627-128925639(+) cctaggaagtaa >mm10_chr6:129008776-129008787(+)::chr6:129008775-129008787(+) accaggaagaac >mm10_chr6:129031393-129031404(-)::chr6:129031392-129031404(-) AGCAGGAAGATT >mm10_chr6:129041684-129041695(-)::chr6:129041683-129041695(-) GTAGGGAAGTAG >mm10_chr6:129098206-129098217(-)::chr6:129098205-129098217(-) ATCAGGAAGATG >mm10_chr6:129108146-129108157(-)::chr6:129108145-129108157(-) cagaggaagtta >mm10_chr6:129127557-129127568(+)::chr6:129127556-129127568(+) ACCAGGAAGAGG >mm10_chr6:129127563-129127574(+)::chr6:129127562-129127574(+) AAGAGGAAGAGT >mm10_chr6:129145833-129145844(-)::chr6:129145832-129145844(-) ATGAGGAAGGAG >mm10_chr6:129162127-129162138(-)::chr6:129162126-129162138(-) attaggaagttg >mm10_chr6:129164362-129164373(+)::chr6:129164361-129164373(+) TCAAGGAAGTAA >mm10_chr6:129213735-129213746(-)::chr6:129213734-129213746(-) ATAAGGAAGTAA >mm10_chr6:129214488-129214499(+)::chr6:129214487-129214499(+) ACAAGGAAGAGG >mm10_chr6:129216357-129216368(+)::chr6:129216356-129216368(+) ATAAGGAAATGC >mm10_chr6:129230411-129230422(+)::chr6:129230410-129230422(+) ACAAGGAAATGA >mm10_chr6:129232901-129232912(+)::chr6:129232900-129232912(+) AGGAGGAAGTGT >mm10_chr6:129237909-129237920(-)::chr6:129237908-129237920(-) GGGAGGAAGTGG >mm10_chr6:129276694-129276705(-)::chr6:129276693-129276705(-) TAGAGGAAGTGC >mm10_chr6:129350044-129350055(-)::chr6:129350043-129350055(-) ACAGGGAAATAA >mm10_chr6:129356678-129356689(-)::chr6:129356677-129356689(-) GGCAGGAAGAAT >mm10_chr6:129423319-129423330(-)::chr6:129423318-129423330(-) ACCAGGAAGGAG >mm10_chr6:129513124-129513135(+)::chr6:129513123-129513135(+) AGCAGGAAGAAA >mm10_chr6:129540675-129540686(-)::chr6:129540674-129540686(-) tcaaggaagaag >mm10_chr6:129552476-129552487(+)::chr6:129552475-129552487(+) GAAAGGAAGCCA >mm10_chr6:129560184-129560195(-)::chr6:129560183-129560195(-) AGAAGGAAGAGA >mm10_chr6:130262254-130262265(+)::chr6:130262253-130262265(+) ggaaggaaggaa >mm10_chr6:130262258-130262269(+)::chr6:130262257-130262269(+) ggaaggaaggaa >mm10_chr6:130262262-130262273(+)::chr6:130262261-130262273(+) ggaaggaaggaa >mm10_chr6:130262266-130262277(+)::chr6:130262265-130262277(+) ggaaggaaggaa >mm10_chr6:130262270-130262281(+)::chr6:130262269-130262281(+) ggaaggaaggaa >mm10_chr6:130262274-130262285(+)::chr6:130262273-130262285(+) ggaaggaaggaa >mm10_chr6:130262278-130262289(+)::chr6:130262277-130262289(+) ggaaggaagaaa >mm10_chr6:130262286-130262297(+)::chr6:130262285-130262297(+) gaaaggaaggaa >mm10_chr6:130262290-130262301(+)::chr6:130262289-130262301(+) ggaaggaaggaa >mm10_chr6:130262294-130262305(+)::chr6:130262293-130262305(+) ggaaggaactcc >mm10_chr6:130356434-130356445(+)::chr6:130356433-130356445(+) tgaaggaagccc >mm10_chr6:130356447-130356458(+)::chr6:130356446-130356458(+) ggaaggaagttc >mm10_chr6:130356487-130356498(-)::chr6:130356486-130356498(-) gtaaggaagtat >mm10_chr6:131293301-131293312(+)::chr6:131293300-131293312(+) AAGAGGAAGCAA >mm10_chr6:131322408-131322419(+)::chr6:131322407-131322419(+) AGCAGGAAATGA >mm10_chr6:131353599-131353610(-)::chr6:131353598-131353610(-) TGGAGGAAGTTG >mm10_chr6:131385614-131385625(+)::chr6:131385613-131385625(+) CCAAGGAAGTGC >mm10_chr6:131385886-131385897(+)::chr6:131385885-131385897(+) ACAGGGAAGTCC >mm10_chr6:133105235-133105246(-)::chr6:133105234-133105246(-) CCGAGGAAGGTT >mm10_chr6:133105253-133105264(+)::chr6:133105252-133105264(+) GACAGGAAGAGG >mm10_chr6:133770096-133770107(+)::chr6:133770095-133770107(+) AAGAGGAAGTCC >mm10_chr6:133770108-133770119(+)::chr6:133770107-133770119(+) TACAGGAAGCCA >mm10_chr6:133904694-133904705(+)::chr6:133904693-133904705(+) AGTAGGAAGCAA >mm10_chr6:133994994-133995005(-)::chr6:133994993-133995005(-) gagaggaaggag >mm10_chr6:133995005-133995016(-)::chr6:133995004-133995016(-) aggaggaagagg >mm10_chr6:133995020-133995031(-)::chr6:133995019-133995031(-) aaaaggaagaag >mm10_chr6:133998076-133998087(-)::chr6:133998075-133998087(-) TGGAGGAAGTTC >mm10_chr6:133998105-133998116(-)::chr6:133998104-133998116(-) GGAAGGAAGCAA >mm10_chr6:133998109-133998120(-)::chr6:133998108-133998120(-) AGCAGGAAGGAA >mm10_chr6:134035240-134035251(-)::chr6:134035239-134035251(-) ACCAGGAAATGG >mm10_chr6:134035302-134035313(-)::chr6:134035301-134035313(-) AGGAGGAAGCGA >mm10_chr6:134035320-134035331(-)::chr6:134035319-134035331(-) GGAAGGACGCGG >mm10_chr6:134041805-134041816(-)::chr6:134041804-134041816(-) AGAAGGAAGGGG >mm10_chr6:134041812-134041823(-)::chr6:134041811-134041823(-) AGGAGGAAGAAG >mm10_chr6:134053701-134053712(-)::chr6:134053700-134053712(-) AGCAGGAACTAT >mm10_chr6:134058276-134058287(-)::chr6:134058275-134058287(-) aagaggaagaga >mm10_chr6:134065294-134065305(-)::chr6:134065293-134065305(-) AACAGGAAGTTC >mm10_chr6:134066335-134066346(+)::chr6:134066334-134066346(+) acgaggaagggc >mm10_chr6:134072619-134072630(+)::chr6:134072618-134072630(+) ACCAGGAAGTAG >mm10_chr6:134072626-134072637(+)::chr6:134072625-134072637(+) AGTAGGAAGTGA >mm10_chr6:134074471-134074482(+)::chr6:134074470-134074482(+) ACAGGGAAATAT >mm10_chr6:134075296-134075307(+)::chr6:134075295-134075307(+) ACAAGGAAGCAG >mm10_chr6:134075657-134075668(-)::chr6:134075656-134075668(-) agaaggaaaaga >mm10_chr6:134091490-134091501(+)::chr6:134091489-134091501(+) AAAAGGAAGACA >mm10_chr6:134095803-134095814(-)::chr6:134095802-134095814(-) agaaggaagtgg >mm10_chr6:134095817-134095828(-)::chr6:134095816-134095828(-) gagaggaagaga >mm10_chr6:134095849-134095860(-)::chr6:134095848-134095860(-) ATGAGGAAGAGG >mm10_chr6:134106055-134106066(+)::chr6:134106054-134106066(+) TCCGGGAAGTGA >mm10_chr6:134106100-134106111(+)::chr6:134106099-134106111(+) TGCAGGAAATGC >mm10_chr6:134115196-134115207(-)::chr6:134115195-134115207(-) GGCAGGAAGAGG >mm10_chr6:134115221-134115232(+)::chr6:134115220-134115232(+) AGCAGGAAGTGG >mm10_chr6:134123277-134123288(-)::chr6:134123276-134123288(-) AAGAGGAAGATT >mm10_chr6:134123533-134123544(+)::chr6:134123532-134123544(+) TCCAGGAAGAAC >mm10_chr6:134142050-134142061(-)::chr6:134142049-134142061(-) agaaggaaggag >mm10_chr6:134144902-134144913(+)::chr6:134144901-134144913(+) aggaggaagcag >mm10_chr6:134144911-134144922(+)::chr6:134144910-134144922(+) cagaggaagtca >mm10_chr6:134161572-134161583(+)::chr6:134161571-134161583(+) TGAAGGAAGACG >mm10_chr6:134172478-134172489(-)::chr6:134172477-134172489(-) tgagggaagtca >mm10_chr6:134190833-134190844(-)::chr6:134190832-134190844(-) ACCAGGAAGGAA >mm10_chr6:134190859-134190870(-)::chr6:134190858-134190870(-) TTAAGGAAGTGT >mm10_chr6:134199138-134199149(+)::chr6:134199137-134199149(+) AACAGGAAATAG >mm10_chr6:134200493-134200504(-)::chr6:134200492-134200504(-) ACAATGAAGTGT >mm10_chr6:134200516-134200527(+)::chr6:134200515-134200527(+) ACCAGGAAATCG >mm10_chr6:134201231-134201242(-)::chr6:134201230-134201242(-) GGAAGGAAGGTG >mm10_chr6:134201255-134201266(-)::chr6:134201254-134201266(-) GCAGGGAAGTTC >mm10_chr6:134202462-134202473(+)::chr6:134202461-134202473(+) AGAAGGAAGCGA >mm10_chr6:134222422-134222433(-)::chr6:134222421-134222433(-) TCCAGGAAGAGA >mm10_chr6:134226706-134226717(+)::chr6:134226705-134226717(+) GCCAGGAAGCAT >mm10_chr6:134230368-134230379(-)::chr6:134230367-134230379(-) AGGAGGAAGAAG >mm10_chr6:134253829-134253840(+)::chr6:134253828-134253840(+) TACAGGAAGTAT >mm10_chr6:134253852-134253863(+)::chr6:134253851-134253863(+) GGGAGGAAATGC >mm10_chr6:134263085-134263096(+)::chr6:134263084-134263096(+) ATCAGGAAGGAG >mm10_chr6:134299235-134299246(-)::chr6:134299234-134299246(-) aggaggaagaag >mm10_chr6:134343752-134343763(-)::chr6:134343751-134343763(-) TTAAGGAAGAAA >mm10_chr6:134357839-134357850(-)::chr6:134357838-134357850(-) GTGAGGAAGGAC >mm10_chr6:134367133-134367144(-)::chr6:134367132-134367144(-) TACAGGAAGTGA >mm10_chr6:134377272-134377283(-)::chr6:134377271-134377283(-) AGCAGGAAGCAA >mm10_chr6:134389699-134389710(-)::chr6:134389698-134389710(-) GGAAGGAAACGG >mm10_chr6:134389703-134389714(-)::chr6:134389702-134389714(-) ACAAGGAAGGAA >mm10_chr6:134405138-134405149(+)::chr6:134405137-134405149(+) aaacggaagcag >mm10_chr6:134405145-134405156(+)::chr6:134405144-134405156(+) agcaggaagatc >mm10_chr6:134414423-134414434(+)::chr6:134414422-134414434(+) ATGAGGAAGTGG >mm10_chr6:134414432-134414443(-)::chr6:134414431-134414443(-) GAAAGGAAGCCA >mm10_chr6:134426649-134426660(-)::chr6:134426648-134426660(-) GGAAGGAAGATT >mm10_chr6:134426653-134426664(-)::chr6:134426652-134426664(-) TCAAGGAAGGAA >mm10_chr6:134426683-134426694(-)::chr6:134426682-134426694(-) GCCAGGAAGGGA >mm10_chr6:134443391-134443402(+)::chr6:134443390-134443402(+) AGAAGGAAACAG >mm10_chr6:134443398-134443409(+)::chr6:134443397-134443409(+) AACAGGAAGTGT >mm10_chr6:134443450-134443461(-)::chr6:134443449-134443461(-) GGAAGCAAGTTA >mm10_chr6:134498316-134498327(+)::chr6:134498315-134498327(+) taaaggaagtat >mm10_chr6:134515589-134515600(-)::chr6:134515588-134515600(-) ggcaggaagtcc >mm10_chr6:134515616-134515627(+)::chr6:134515615-134515627(+) tggaggaagagg >mm10_chr6:134515622-134515633(+)::chr6:134515621-134515633(+) aagaggaaatag >mm10_chr6:134517605-134517616(+)::chr6:134517604-134517616(+) tataggaaatga >mm10_chr6:134524245-134524256(-)::chr6:134524244-134524256(-) TGAAGGAAGTGG >mm10_chr6:134537830-134537841(-)::chr6:134537829-134537841(-) TGGAGGAAGAAT >mm10_chr6:134561027-134561038(-)::chr6:134561026-134561038(-) ggaaggaagaac >mm10_chr6:134561031-134561042(-)::chr6:134561030-134561042(-) aagaggaaggaa >mm10_chr6:134561037-134561048(-)::chr6:134561036-134561048(-) acaaggaagagg >mm10_chr6:134594963-134594974(+)::chr6:134594962-134594974(+) tacaggaagccg >mm10_chr6:134594980-134594991(+)::chr6:134594979-134594991(+) gaaaggaaggaa >mm10_chr6:134594984-134594995(+)::chr6:134594983-134594995(+) ggaaggaagtca >mm10_chr6:134606728-134606739(-)::chr6:134606727-134606739(-) gcaaggatgtgc >mm10_chr6:134630578-134630589(+)::chr6:134630577-134630589(+) aaagggaagtaa >mm10_chr6:134630610-134630621(-)::chr6:134630609-134630621(-) ttcaggaagtct >mm10_chr6:134632379-134632390(-)::chr6:134632378-134632390(-) CGACGGAAGCAG >mm10_chr6:134633686-134633697(+)::chr6:134633685-134633697(+) TGCAGGAAGTAT >mm10_chr6:134635433-134635444(+)::chr6:134635432-134635444(+) AGGAGGAAGAGG >mm10_chr6:134635439-134635450(+)::chr6:134635438-134635450(+) AAGAGGAAGAAC >mm10_chr6:134656763-134656774(-)::chr6:134656762-134656774(-) CACAGGAAGTGC >mm10_chr6:134670996-134671007(+)::chr6:134670995-134671007(+) AGAAGGAAGTTA >mm10_chr6:134695472-134695483(+)::chr6:134695471-134695483(+) ATAAGGAAGACT >mm10_chr6:134701046-134701057(+)::chr6:134701045-134701057(+) AACAGGAAGTGG >mm10_chr6:134701095-134701106(+)::chr6:134701094-134701106(+) AGAAGGAAGTGG >mm10_chr6:134771843-134771854(+)::chr6:134771842-134771854(+) CGAAGGAAGGTG >mm10_chr6:134771857-134771868(-)::chr6:134771856-134771868(-) TTAAGGAAGCAC >mm10_chr6:134780171-134780182(+)::chr6:134780170-134780182(+) agaaggaagaag >mm10_chr6:134780183-134780194(+)::chr6:134780182-134780194(+) agaaggaagagg >mm10_chr6:134782945-134782956(+)::chr6:134782944-134782956(+) aaaaggatgtga >mm10_chr6:134783009-134783020(+)::chr6:134783008-134783020(+) ctaaggaagtag >mm10_chr6:134800958-134800969(-)::chr6:134800957-134800969(-) TGTAGGAAGTGG >mm10_chr6:134802866-134802877(-)::chr6:134802865-134802877(-) TGAAGCAAGTAA >mm10_chr6:134807956-134807967(-)::chr6:134807955-134807967(-) CGGAGGAAGGCA >mm10_chr6:134826854-134826865(-)::chr6:134826853-134826865(-) aggaggaagagg >mm10_chr6:134826863-134826874(-)::chr6:134826862-134826874(-) aggaggaagagg >mm10_chr6:134826875-134826886(-)::chr6:134826874-134826886(-) aggaggaagagg >mm10_chr6:134830018-134830029(-)::chr6:134830017-134830029(-) AAAAGGAACTAC >mm10_chr6:134849000-134849011(-)::chr6:134848999-134849011(-) ACAAGGAAGCAA >mm10_chr6:134863417-134863428(-)::chr6:134863416-134863428(-) ACCAGGAAGGGA >mm10_chr6:134897875-134897886(+)::chr6:134897874-134897886(+) AGCAGGAAGTTC >mm10_chr6:134914716-134914727(-)::chr6:134914715-134914727(-) ACAGGGAAGTAA >mm10_chr6:134930085-134930096(+)::chr6:134930084-134930096(+) AGGAGGAAGCTA >mm10_chr6:134943917-134943928(+)::chr6:134943916-134943928(+) GCAAGGAAATAG >mm10_chr6:134943983-134943994(+)::chr6:134943982-134943994(+) TGCAGGAAATTA >mm10_chr6:134994413-134994424(+)::chr6:134994412-134994424(+) ACCAGGAAGTGA >mm10_chr6:135024928-135024939(-)::chr6:135024927-135024939(-) GACAGGAAGCTA >mm10_chr6:135026261-135026272(-)::chr6:135026260-135026272(-) TTAAGGAAATGC >mm10_chr6:135048707-135048718(+)::chr6:135048706-135048718(+) aggaggaaggag >mm10_chr6:135048887-135048898(+)::chr6:135048886-135048898(+) TAAAGGAAATGG >mm10_chr6:135168346-135168357(-)::chr6:135168345-135168357(-) GGTAGGAAGAGG >mm10_chr6:135184077-135184088(-)::chr6:135184076-135184088(-) acaaggaagacg >mm10_chr6:135184111-135184122(-)::chr6:135184110-135184122(-) agcaggaagtct >mm10_chr6:135189264-135189275(+)::chr6:135189263-135189275(+) accaggaagaag >mm10_chr6:135189274-135189285(+)::chr6:135189273-135189285(+) agaaggaagttg >mm10_chr6:135190152-135190163(-)::chr6:135190151-135190163(-) AGAAGGAAATAA >mm10_chr6:135192076-135192087(-)::chr6:135192075-135192087(-) ATAAGGAACTTG >mm10_chr6:135198566-135198577(-)::chr6:135198565-135198577(-) aggaggaaggag >mm10_chr6:135219992-135220003(-)::chr6:135219991-135220003(-) tggaggaagaag >mm10_chr6:135235620-135235631(+)::chr6:135235619-135235631(+) TGCAGGAAGGGG >mm10_chr6:135252979-135252990(-)::chr6:135252978-135252990(-) AAGAGGAAGAAA >mm10_chr6:135296191-135296202(+)::chr6:135296190-135296202(+) ATAAGGAAGGAC >mm10_chr6:135296259-135296270(+)::chr6:135296258-135296270(+) ATAAGGAAGACG >mm10_chr6:135301565-135301576(-)::chr6:135301564-135301576(-) AGAAGGAAGAGG >mm10_chr6:135301614-135301625(-)::chr6:135301613-135301625(-) ACCAGGAAGTGG >mm10_chr6:135304634-135304645(-)::chr6:135304633-135304645(-) ATAAGGCAGTCA >mm10_chr6:135337368-135337379(+)::chr6:135337367-135337379(+) AAAAGGAAGAGC >mm10_chr6:135338482-135338493(-)::chr6:135338481-135338493(-) TGAAGGAAGCAG >mm10_chr6:135341046-135341057(-)::chr6:135341045-135341057(-) AAAAGGAAATGG >mm10_chr6:135341060-135341071(-)::chr6:135341059-135341071(-) GCAAAGAAGTAA >mm10_chr6:135341266-135341277(+)::chr6:135341265-135341277(+) GCCAGGAAATGG >mm10_chr6:135341309-135341320(-)::chr6:135341308-135341320(-) TCCAGGAAGGAC >mm10_chr6:135473234-135473245(-)::chr6:135473233-135473245(-) AGAAGGAAGGCA >mm10_chr6:135700298-135700309(+)::chr6:135700297-135700309(+) TCAAGGAAGGTG >mm10_chr6:135907661-135907672(+)::chr6:135907660-135907672(+) GGAAGGAAACGC >mm10_chr6:135946226-135946237(+)::chr6:135946225-135946237(+) AGAGGGAAGTCA >mm10_chr6:135953266-135953277(-)::chr6:135953265-135953277(-) TGGAGGAAGAAT >mm10_chr6:135971619-135971630(-)::chr6:135971618-135971630(-) TCCAGGAAGACG >mm10_chr6:135976361-135976372(+)::chr6:135976360-135976372(+) aggaggaaggag >mm10_chr6:135976381-135976392(+)::chr6:135976380-135976392(+) gggaggaaggag >mm10_chr6:135981031-135981042(-)::chr6:135981030-135981042(-) ACCAGGAAGTAG >mm10_chr6:135988265-135988276(+)::chr6:135988264-135988276(+) TCAAGGAAGACT >mm10_chr6:136449206-136449217(+)::chr6:136449205-136449217(+) aggaggaagaga >mm10_chr6:136449217-136449228(+)::chr6:136449216-136449228(+) aagaggaagagg >mm10_chr6:136449386-136449397(-)::chr6:136449385-136449397(-) AACAGGAAGTGA >mm10_chr6:136466372-136466383(+)::chr6:136466371-136466383(+) TCCAGGAAGTGA >mm10_chr6:136466986-136466997(+)::chr6:136466985-136466997(+) GAGAGGAAGGGA >mm10_chr6:136466997-136467008(+)::chr6:136466996-136467008(+) AGCAGGAAGGGA >mm10_chr6:136490016-136490027(-)::chr6:136490015-136490027(-) GGCAGGAAGTAA >mm10_chr6:136497969-136497980(+)::chr6:136497968-136497980(+) AGGAGGAAGTGT >mm10_chr6:136553887-136553898(-)::chr6:136553886-136553898(-) CAAAGGAAGCAG >mm10_chr6:136555767-136555778(-)::chr6:136555766-136555778(-) ATAAGGAAGTAG >mm10_chr6:136690414-136690425(-)::chr6:136690413-136690425(-) GGAAGGAAGCTG >mm10_chr6:136693026-136693037(-)::chr6:136693025-136693037(-) TACGGGAAGATA >mm10_chr6:136809242-136809253(+)::chr6:136809241-136809253(+) ATAATGAAGTAA >mm10_chr6:136809262-136809273(+)::chr6:136809261-136809273(+) ATACGGAAGTGA >mm10_chr6:136828163-136828174(-)::chr6:136828162-136828174(-) AACAGGAAGTGA >mm10_chr6:136856023-136856034(+)::chr6:136856022-136856034(+) ACAAGGAAGCTG >mm10_chr6:136904429-136904440(+)::chr6:136904428-136904440(+) TTAAGGAAGTTA >mm10_chr6:136925294-136925305(+)::chr6:136925293-136925305(+) GGGAGGAAGTAG >mm10_chr6:136938505-136938516(-)::chr6:136938504-136938516(-) AGGAGGAAGGGC >mm10_chr6:136938539-136938550(+)::chr6:136938538-136938550(+) CGGAGGAAGGAC >mm10_chr6:136948260-136948271(-)::chr6:136948259-136948271(-) ACCAGGAAGTCT >mm10_chr6:136978701-136978712(+)::chr6:136978700-136978712(+) AGAAGGAAATCA >mm10_chr6:136980411-136980422(+)::chr6:136980410-136980422(+) AGGAGGAAGTCA >mm10_chr6:136980825-136980836(-)::chr6:136980824-136980836(-) agaaggaagagg >mm10_chr6:136980849-136980860(-)::chr6:136980848-136980860(-) gagaggaagaag >mm10_chr6:136980882-136980893(-)::chr6:136980881-136980893(-) aagaggaagagg >mm10_chr6:136980888-136980899(-)::chr6:136980887-136980899(-) agaaggaagagg >mm10_chr6:136990725-136990736(+)::chr6:136990724-136990736(+) AGCAGGAAGGAA >mm10_chr6:136990729-136990740(+)::chr6:136990728-136990740(+) GGAAGGAAGATT >mm10_chr6:136995868-136995879(-)::chr6:136995867-136995879(-) agaaggaagatg >mm10_chr6:136995928-136995939(-)::chr6:136995927-136995939(-) aggaggaagagg >mm10_chr6:137011355-137011366(+)::chr6:137011354-137011366(+) AGAAGGAAGGGT >mm10_chr6:137011422-137011433(+)::chr6:137011421-137011433(+) AGCAGGAAGAGG >mm10_chr6:137156642-137156653(-)::chr6:137156641-137156653(-) aacaggaagtag >mm10_chr6:137193584-137193595(-)::chr6:137193583-137193595(-) AGAAGGAAGGGA >mm10_chr6:137339331-137339342(-)::chr6:137339330-137339342(-) ACAAGGAAGGGA >mm10_chr6:137455791-137455802(-)::chr6:137455790-137455802(-) AGGAGGAAGAAC >mm10_chr6:137457554-137457565(-)::chr6:137457553-137457565(-) taaagcaagtga >mm10_chr6:137457565-137457576(-)::chr6:137457564-137457576(-) ACAAGGAAaaat >mm10_chr6:137541412-137541423(+)::chr6:137541411-137541423(+) GACAGGAAGTCA >mm10_chr6:137560383-137560394(-)::chr6:137560382-137560394(-) AGACGGAAATGT >mm10_chr6:137570891-137570902(-)::chr6:137570890-137570902(-) AGGAGGAAGTCT >mm10_chr6:137570910-137570921(+)::chr6:137570909-137570921(+) ACAAGGAAGTCA >mm10_chr6:137577207-137577218(+)::chr6:137577206-137577218(+) CTGAGGAAGTGG >mm10_chr6:137577219-137577230(+)::chr6:137577218-137577230(+) GAGAGGAAGGAA >mm10_chr6:137577223-137577234(+)::chr6:137577222-137577234(+) GGAAGGAAGAAG >mm10_chr6:137694566-137694577(-)::chr6:137694565-137694577(-) cataggaagtca >mm10_chr6:137694576-137694587(-)::chr6:137694575-137694587(-) aggaggaagtca >mm10_chr6:137694603-137694614(-)::chr6:137694602-137694614(-) acaaggaaatca >mm10_chr6:137696702-137696713(+)::chr6:137696701-137696713(+) AGAAGGAAGTGT >mm10_chr6:137696756-137696767(+)::chr6:137696755-137696767(+) TAGAGGAAGTAG >mm10_chr6:137704561-137704572(-)::chr6:137704560-137704572(-) ACGAGGAAGCTG >mm10_chr6:137735066-137735077(-)::chr6:137735065-137735077(-) GGCGGGAAGGCG >mm10_chr6:137735083-137735094(-)::chr6:137735082-137735094(-) AGCCGGAAGTGA >mm10_chr6:137735116-137735127(-)::chr6:137735115-137735127(-) GGCAGGACGTGA >mm10_chr6:137866366-137866377(+)::chr6:137866365-137866377(+) AGGAGGAAGGAT >mm10_chr6:137886308-137886319(+)::chr6:137886307-137886319(+) GCAAGGAAGTTA >mm10_chr6:137886371-137886382(-)::chr6:137886370-137886382(-) ACAAGGAAGACA >mm10_chr6:137888765-137888776(+)::chr6:137888764-137888776(+) AACAGGAAGTTG >mm10_chr6:137895818-137895829(-)::chr6:137895817-137895829(-) agaaggaagcgg >mm10_chr6:137895830-137895841(-)::chr6:137895829-137895841(-) aagaggaagagg >mm10_chr6:137895836-137895847(-)::chr6:137895835-137895847(-) agaaggaagagg >mm10_chr6:137895859-137895870(-)::chr6:137895858-137895870(-) gagaggaagaag >mm10_chr6:137903315-137903326(-)::chr6:137903314-137903326(-) ATGAGGAAGCAC >mm10_chr6:137919160-137919171(+)::chr6:137919159-137919171(+) ATAAGGAAATCT >mm10_chr6:137935521-137935532(-)::chr6:137935520-137935532(-) ACAAGGAAGAAA >mm10_chr6:138045573-138045584(-)::chr6:138045572-138045584(-) aggaggaagtgg >mm10_chr6:138056525-138056536(-)::chr6:138056524-138056536(-) gggaggaagaAA >mm10_chr6:138086937-138086948(-)::chr6:138086936-138086948(-) tgagggaagttg >mm10_chr6:138140336-138140347(-)::chr6:138140335-138140347(-) GCAAGGAAGGGG >mm10_chr6:138322427-138322438(-)::chr6:138322426-138322438(-) TGAAGGAACTGA >mm10_chr6:138325851-138325862(+)::chr6:138325850-138325862(+) GAAAGGAAGAAT >mm10_chr6:138333892-138333903(+)::chr6:138333891-138333903(+) ATAAGGAAGGAG >mm10_chr6:138383421-138383432(-)::chr6:138383420-138383432(-) AGGAGGAAATGG >mm10_chr6:138716460-138716471(+)::chr6:138716459-138716471(+) accaggaagcag >mm10_chr6:138716499-138716510(+)::chr6:138716498-138716510(+) aaaaggaaggaa >mm10_chr6:138716503-138716514(+)::chr6:138716502-138716514(+) ggaaggaagagg >mm10_chr6:138716518-138716529(+)::chr6:138716517-138716529(+) aggaggaagagt >mm10_chr6:138716530-138716541(+)::chr6:138716529-138716541(+) aaaaggaagaaa >mm10_chr6:139808826-139808837(-)::chr6:139808825-139808837(-) agcaggaagatc >mm10_chr6:139808867-139808878(+)::chr6:139808866-139808878(+) agcAGGAAATGA >mm10_chr6:140050933-140050944(+)::chr6:140050932-140050944(+) ACACGGAAGTCC >mm10_chr6:140050949-140050960(+)::chr6:140050948-140050960(+) GGAAGGAATTGC >mm10_chr6:140208649-140208660(-)::chr6:140208648-140208660(-) ACCAGGAAGAGG >mm10_chr6:140293954-140293965(+)::chr6:140293953-140293965(+) AGCAGGAAGTTC >mm10_chr6:140333624-140333635(+)::chr6:140333623-140333635(+) ATGAGGAAATCG >mm10_chr6:140341985-140341996(+)::chr6:140341984-140341996(+) TTCAGGAAGACG >mm10_chr6:140353817-140353828(+)::chr6:140353816-140353828(+) GAGAGGAAGTGC >mm10_chr6:140464529-140464540(-)::chr6:140464528-140464540(-) GGAAGGAACTGT >mm10_chr6:140489748-140489759(-)::chr6:140489747-140489759(-) ACTAGGAAGTAT >mm10_chr6:140493160-140493171(+)::chr6:140493159-140493171(+) TTGAGGAAGTTC >mm10_chr6:140509230-140509241(-)::chr6:140509229-140509241(-) aggaggaaatgg >mm10_chr6:140514927-140514938(-)::chr6:140514926-140514938(-) CTAAGGAAATGC >mm10_chr6:140591856-140591867(-)::chr6:140591855-140591867(-) ACAAGGAAGTGA >mm10_chr6:140602861-140602872(+)::chr6:140602860-140602872(+) aggaggaaggag >mm10_chr6:140602890-140602901(+)::chr6:140602889-140602901(+) aggaggaagaag >mm10_chr6:140602903-140602914(+)::chr6:140602902-140602914(+) agaaggaagagc >mm10_chr6:140609703-140609714(+)::chr6:140609702-140609714(+) gtaaggaaggga >mm10_chr6:140609777-140609788(+)::chr6:140609776-140609788(+) taacggaagcta >mm10_chr6:140612126-140612137(+)::chr6:140612125-140612137(+) GAAGGGAAGTTG >mm10_chr6:140614861-140614872(+)::chr6:140614860-140614872(+) CTAAGGAAGCAA >mm10_chr6:140614920-140614931(-)::chr6:140614919-140614931(-) AGGAGGAAGTGA >mm10_chr6:141328208-141328219(-)::chr6:141328207-141328219(-) taaaggaagcat >mm10_chr6:141400406-141400417(-)::chr6:141400405-141400417(-) gtaaggaagagg >mm10_chr6:141400427-141400438(-)::chr6:141400426-141400438(-) gggaggaagagg >mm10_chr6:141400459-141400470(-)::chr6:141400458-141400470(-) aggaggaagggg >mm10_chr6:141400474-141400485(-)::chr6:141400473-141400485(-) aaaaggaagaag >mm10_chr6:141400486-141400497(-)::chr6:141400485-141400497(-) aggaggaagagg >mm10_chr6:141502280-141502291(+)::chr6:141502279-141502291(+) AGAAGGAAGTGA >mm10_chr6:141708197-141708208(-)::chr6:141708196-141708208(-) CAAAGGAAGTGT >mm10_chr6:141708253-141708264(-)::chr6:141708252-141708264(-) CAAGGGAAGTGT >mm10_chr6:141782512-141782523(-)::chr6:141782511-141782523(-) ACAAGGAAGGTA >mm10_chr6:141964408-141964419(+)::chr6:141964407-141964419(+) ACCAGGACGTAA >mm10_chr6:142145507-142145518(+)::chr6:142145506-142145518(+) agaaggaagggc >mm10_chr6:142145523-142145534(-)::chr6:142145522-142145534(-) agagggaaatac >mm10_chr6:142189404-142189415(-)::chr6:142189403-142189415(-) agcaggaagaga >mm10_chr6:142192037-142192048(-)::chr6:142192036-142192048(-) agaaggaaacaa >mm10_chr6:142255668-142255679(-)::chr6:142255667-142255679(-) AGAAGGAAGTCC >mm10_chr6:142261441-142261452(+)::chr6:142261440-142261452(+) tggaggaagttg >mm10_chr6:142261490-142261501(-)::chr6:142261489-142261501(-) tacaggaagtgg >mm10_chr6:142290075-142290086(+)::chr6:142290074-142290086(+) ACAAGGAAGTAG >mm10_chr6:142290137-142290148(-)::chr6:142290136-142290148(-) GAAGGGAAGTAT >mm10_chr6:142339819-142339830(+)::chr6:142339818-142339830(+) GGCAGGAAATAC >mm10_chr6:142345640-142345651(+)::chr6:142345639-142345651(+) CGCCGGAAGTGA >mm10_chr6:142366398-142366409(-)::chr6:142366397-142366409(-) TTCAGGAAGATA >mm10_chr6:142394793-142394804(-)::chr6:142394792-142394804(-) TACAGGAAGAGC >mm10_chr6:142504280-142504291(+)::chr6:142504279-142504291(+) TTAAGGAAGCTC >mm10_chr6:142525096-142525107(-)::chr6:142525095-142525107(-) GACAGGAAGAGA >mm10_chr6:142538745-142538756(+)::chr6:142538744-142538756(+) AGGCGGAAGTGG >mm10_chr6:142558967-142558978(+)::chr6:142558966-142558978(+) AAGAGGAAGCAC >mm10_chr6:142572940-142572951(+)::chr6:142572939-142572951(+) aacaggaagttg >mm10_chr6:142609939-142609950(-)::chr6:142609938-142609950(-) ATGCGGAAGTGA >mm10_chr6:142616556-142616567(+)::chr6:142616555-142616567(+) ACCAGGAAGAGG >mm10_chr6:142616609-142616620(+)::chr6:142616608-142616620(+) ACAGGGAAGACG >mm10_chr6:142666150-142666161(-)::chr6:142666149-142666161(-) AAAAGGAAGTTT >mm10_chr6:142683611-142683622(-)::chr6:142683610-142683622(-) aagaggaagagg >mm10_chr6:142683617-142683628(-)::chr6:142683616-142683628(-) aagaggaagagg >mm10_chr6:142683660-142683671(-)::chr6:142683659-142683671(-) aagaggaagagg >mm10_chr6:142683666-142683677(-)::chr6:142683665-142683677(-) aagaggaagagg >mm10_chr6:142708605-142708616(-)::chr6:142708604-142708616(-) AGAAGGAAGTAA >mm10_chr6:142712722-142712733(+)::chr6:142712721-142712733(+) AGAAGGAAGAAC >mm10_chr6:142712754-142712765(-)::chr6:142712753-142712765(-) GGAAGGAAAGCG >mm10_chr6:142746935-142746946(+)::chr6:142746934-142746946(+) AGGAGGAAGTGA >mm10_chr6:142746943-142746954(+)::chr6:142746942-142746954(+) GTGAGGAAGAAC >mm10_chr6:142756424-142756435(+)::chr6:142756423-142756435(+) agaaggaagaaa >mm10_chr6:142756436-142756447(+)::chr6:142756435-142756447(+) tggaggaaggtg >mm10_chr6:142756452-142756463(+)::chr6:142756451-142756463(+) gggaggaagaaa >mm10_chr6:142759967-142759978(-)::chr6:142759966-142759978(-) ATAAGGAAGAAA >mm10_chr6:142824643-142824654(-)::chr6:142824642-142824654(-) GAGAGGAAGAGG >mm10_chr6:142867717-142867728(-)::chr6:142867716-142867728(-) GGCAGGAAGGAT >mm10_chr6:142868018-142868029(+)::chr6:142868017-142868029(+) AGAGGGAAGTTG >mm10_chr6:142932364-142932375(-)::chr6:142932363-142932375(-) TGCAGGAAGTAG >mm10_chr6:142932380-142932391(-)::chr6:142932379-142932391(-) CACAGGAAGCGG >mm10_chr6:142936799-142936810(-)::chr6:142936798-142936810(-) atagggaagtgg >mm10_chr6:142989755-142989766(+)::chr6:142989754-142989766(+) AACAGGAAGTTG >mm10_chr6:142998682-142998693(-)::chr6:142998681-142998693(-) AGAAGGAAGTTG >mm10_chr6:143046926-143046937(+)::chr6:143046925-143046937(+) AACAGGAAGCAG >mm10_chr6:143050291-143050302(+)::chr6:143050290-143050302(+) AGCAGGAAATAT >mm10_chr6:143050349-143050360(-)::chr6:143050348-143050360(-) AGCAGGAAGTGT >mm10_chr6:143072768-143072779(+)::chr6:143072767-143072779(+) ATCAGGAAGAAC >mm10_chr6:143085202-143085213(+)::chr6:143085201-143085213(+) acaaggaaaaag >mm10_chr6:143114638-143114649(+)::chr6:143114637-143114649(+) AAAGGGAAGTAG >mm10_chr6:143132114-143132125(-)::chr6:143132113-143132125(-) aaaaggaagttg >mm10_chr6:143132126-143132137(-)::chr6:143132125-143132137(-) aacaggaagcag >mm10_chr6:143150060-143150071(-)::chr6:143150059-143150071(-) agcaggAAGAGA >mm10_chr6:143150067-143150078(-)::chr6:143150066-143150078(-) ggaaggaagcag >mm10_chr6:143150071-143150082(-)::chr6:143150070-143150082(-) ggcaggaaggaa >mm10_chr6:143150108-143150119(-)::chr6:143150107-143150119(-) Agcaggaaggca >mm10_chr6:143167809-143167820(-)::chr6:143167808-143167820(-) GGAGGGAAGCGC >mm10_chr6:143168650-143168661(-)::chr6:143168649-143168661(-) TAAAGGAAATGC >mm10_chr6:143168659-143168670(+)::chr6:143168658-143168670(+) TTAAGGAAGTGC >mm10_chr6:143170701-143170712(-)::chr6:143170700-143170712(-) GGAAGGAAATGC >mm10_chr6:143170705-143170716(-)::chr6:143170704-143170716(-) CCAAGGAAGGAA >mm10_chr6:143170759-143170770(-)::chr6:143170758-143170770(-) AGAAGGAAGTGC >mm10_chr6:143307496-143307507(-)::chr6:143307495-143307507(-) AACAGGAAGTGC >mm10_chr6:143307545-143307556(-)::chr6:143307544-143307556(-) AACAGGAAGTGC >mm10_chr6:143355189-143355200(+)::chr6:143355188-143355200(+) tacaggaaggtg >mm10_chr6:143438129-143438140(+)::chr6:143438128-143438140(+) GTAATGAAGTGT >mm10_chr6:143521155-143521166(+)::chr6:143521154-143521166(+) AGAAGGAAGTGT >mm10_chr6:143703580-143703591(+)::chr6:143703579-143703591(+) TTCAGGAAATAA >mm10_chr6:143908049-143908060(+)::chr6:143908048-143908060(+) GCaaggaaggaa >mm10_chr6:143908053-143908064(+)::chr6:143908052-143908064(+) ggaaggaaggaa >mm10_chr6:143908057-143908068(+)::chr6:143908056-143908068(+) ggaaggaaggaa >mm10_chr6:143908061-143908072(+)::chr6:143908060-143908072(+) ggaaggaaggaa >mm10_chr6:143908065-143908076(+)::chr6:143908064-143908076(+) ggaaggaaggga >mm10_chr6:143908081-143908092(+)::chr6:143908080-143908092(+) gggaggaaggaa >mm10_chr6:143908085-143908096(+)::chr6:143908084-143908096(+) ggaaggaaggaa >mm10_chr6:144055690-144055701(-)::chr6:144055689-144055701(-) GGAAGGAAGCAA >mm10_chr6:144055694-144055705(-)::chr6:144055693-144055705(-) AAAAGGAAGGAA >mm10_chr6:144056709-144056720(+)::chr6:144056708-144056720(+) ATAAGGAAGGGT >mm10_chr6:144075963-144075974(+)::chr6:144075962-144075974(+) ACAAGGAAATCG >mm10_chr6:144088388-144088399(-)::chr6:144088387-144088399(-) aagaggaagtgg >mm10_chr6:144095341-144095352(-)::chr6:144095340-144095352(-) GGAAGGAAGAAA >mm10_chr6:144113199-144113210(-)::chr6:144113198-144113210(-) ACAAGGAAGTCA >mm10_chr6:144113215-144113226(-)::chr6:144113214-144113226(-) AGCAGGAAGGAC >mm10_chr6:144136114-144136125(+)::chr6:144136113-144136125(+) ATAAGGAAGTCA >mm10_chr6:144136157-144136168(+)::chr6:144136156-144136168(+) GCAAGGAAGAAG >mm10_chr6:144181632-144181643(+)::chr6:144181631-144181643(+) GACAGGAAGCTA >mm10_chr6:144239385-144239396(-)::chr6:144239384-144239396(-) GGAAGGAAATAT >mm10_chr6:144291929-144291940(+)::chr6:144291928-144291940(+) agaaggaagagg >mm10_chr6:144291935-144291946(+)::chr6:144291934-144291946(+) aagaggaagagg >mm10_chr6:144313297-144313308(-)::chr6:144313296-144313308(-) ACCAGGAAGAGT >mm10_chr6:144363500-144363511(-)::chr6:144363499-144363511(-) AAGAGGAAATAA >mm10_chr6:144478351-144478362(+)::chr6:144478350-144478362(+) ACTAGGAAGGAA >mm10_chr6:144619209-144619220(+)::chr6:144619208-144619220(+) ACAAGGAAAAAT >mm10_chr6:144681436-144681447(+)::chr6:144681435-144681447(+) TTGAGGAAGTCT >mm10_chr6:144929122-144929133(-)::chr6:144929121-144929133(-) ACAAGGAAGTTC >mm10_chr6:144987402-144987413(-)::chr6:144987401-144987413(-) CAAAGGAACTAg >mm10_chr6:145052441-145052452(-)::chr6:145052440-145052452(-) accaggaagtaa >mm10_chr6:145052457-145052468(+)::chr6:145052456-145052468(+) gtgaggaaatac >mm10_chr6:145052895-145052906(+)::chr6:145052894-145052906(+) TGCAGGAAGTGA >mm10_chr6:145070123-145070134(-)::chr6:145070122-145070134(-) aggaggaagcca >mm10_chr6:145070146-145070157(-)::chr6:145070145-145070157(-) aggaggaagaag >mm10_chr6:145070161-145070172(-)::chr6:145070160-145070172(-) ggaaggaactgg >mm10_chr6:145272783-145272794(+)::chr6:145272782-145272794(+) AGAATGAAGACG >mm10_chr6:145277064-145277075(+)::chr6:145277063-145277075(+) ACAAGGAAGTGG >mm10_chr6:145329076-145329087(+)::chr6:145329075-145329087(+) GAAAGGAAGGCA >mm10_chr6:145329097-145329108(+)::chr6:145329096-145329108(+) ATAAGGAAGAGG >mm10_chr6:145351551-145351562(-)::chr6:145351550-145351562(-) AGGAGGAAGGGA >mm10_chr6:145351565-145351576(-)::chr6:145351564-145351576(-) GGAAGGAACCGG >mm10_chr6:145351569-145351580(-)::chr6:145351568-145351580(-) AGGAGGAAGGAA >mm10_chr6:145391522-145391533(+)::chr6:145391521-145391533(+) CCGAGGAAGAAG >mm10_chr6:145410136-145410147(-)::chr6:145410135-145410147(-) ctaaggaagtga >mm10_chr6:145410170-145410181(+)::chr6:145410169-145410181(+) tgaaggcagtac >mm10_chr6:145441978-145441989(-)::chr6:145441977-145441989(-) GCACGGAAGACA >mm10_chr6:145479193-145479204(+)::chr6:145479192-145479204(+) CTCAGGAAGTGC >mm10_chr6:145484011-145484022(-)::chr6:145484010-145484022(-) tcagggaagtgg >mm10_chr6:145484020-145484031(+)::chr6:145484019-145484031(+) tgaaggaagcct >mm10_chr6:145573016-145573027(+)::chr6:145573015-145573027(+) agacggaagtct >mm10_chr6:145573783-145573794(-)::chr6:145573782-145573794(-) gccaggaaggag >mm10_chr6:145573823-145573834(-)::chr6:145573822-145573834(-) ggcaggaagagg >mm10_chr6:145581395-145581406(+)::chr6:145581394-145581406(+) AGTAGGAAGACC >mm10_chr6:145581642-145581653(-)::chr6:145581641-145581653(-) ATAAGAAAGTTG >mm10_chr6:145654315-145654326(+)::chr6:145654314-145654326(+) AACAGGAAGTCT >mm10_chr6:145659776-145659787(-)::chr6:145659775-145659787(-) aggaggaagagc >mm10_chr6:145676551-145676562(+)::chr6:145676550-145676562(+) GAAAGGAAGTAA >mm10_chr6:145676602-145676613(+)::chr6:145676601-145676613(+) CTGAGGAAGTAA >mm10_chr6:145708429-145708440(-)::chr6:145708428-145708440(-) aggaggaagaca >mm10_chr6:145708450-145708461(-)::chr6:145708449-145708461(-) aaaaggaagaaa >mm10_chr6:145708456-145708467(-)::chr6:145708455-145708467(-) agaaggaaaagg >mm10_chr6:145709902-145709913(-)::chr6:145709901-145709913(-) ATCAGGAAGTAC >mm10_chr6:145744287-145744298(+)::chr6:145744286-145744298(+) AACGGGAAGTAT >mm10_chr6:145746705-145746716(-)::chr6:145746704-145746716(-) cggaggaaggag >mm10_chr6:145746716-145746727(-)::chr6:145746715-145746727(-) aggaggaagggc >mm10_chr6:145767170-145767181(+)::chr6:145767169-145767181(+) GAAAGGAAGTGG >mm10_chr6:145779164-145779175(-)::chr6:145779163-145779175(-) ATGAGGAAGGAC >mm10_chr6:145779643-145779654(-)::chr6:145779642-145779654(-) AGCAGGAAGTGC >mm10_chr6:145792671-145792682(+)::chr6:145792670-145792682(+) ACAAGGAACTGT >mm10_chr6:145933974-145933985(-)::chr6:145933973-145933985(-) TCCAGGAAGTAC >mm10_chr6:145947270-145947281(-)::chr6:145947269-145947281(-) GACAGGAAGCAT >mm10_chr6:145947280-145947291(-)::chr6:145947279-145947291(-) AACAGGAAGAGA >mm10_chr6:145956826-145956837(+)::chr6:145956825-145956837(+) aggaggaagaag >mm10_chr6:145956835-145956846(+)::chr6:145956834-145956846(+) aagaggaagagg >mm10_chr6:145956844-145956855(+)::chr6:145956843-145956855(+) aggaggaagagg >mm10_chr6:145956850-145956861(+)::chr6:145956849-145956861(+) aagaggaagagg >mm10_chr6:145956856-145956867(+)::chr6:145956855-145956867(+) aagaggaagagg >mm10_chr6:145956874-145956885(+)::chr6:145956873-145956885(+) aggaggaagagg >mm10_chr6:145956883-145956894(+)::chr6:145956882-145956894(+) aggaggaagagg >mm10_chr6:145956895-145956906(+)::chr6:145956894-145956906(+) agaaggaagagg >mm10_chr6:145962021-145962032(-)::chr6:145962020-145962032(-) AACGGGAAGGCG >mm10_chr6:145993711-145993722(-)::chr6:145993710-145993722(-) AGAAGGAAGGAG >mm10_chr6:146010580-146010591(+)::chr6:146010579-146010591(+) AAAAGGAAGGCC >mm10_chr6:146084242-146084253(-)::chr6:146084241-146084253(-) aagaggaagagg >mm10_chr6:146084251-146084262(-)::chr6:146084250-146084262(-) gggaggaagaag >mm10_chr6:146154178-146154189(-)::chr6:146154177-146154189(-) GGAAGCAAGTAT >mm10_chr6:146170828-146170839(+)::chr6:146170827-146170839(+) tggaggaagaaa >mm10_chr6:146207445-146207456(+)::chr6:146207444-146207456(+) ATGAGGAAGGGG >mm10_chr6:146248938-146248949(+)::chr6:146248937-146248949(+) GAGAGGAAGGAA >mm10_chr6:146248959-146248970(+)::chr6:146248958-146248970(+) ACCAGGAAGTGT >mm10_chr6:146271027-146271038(-)::chr6:146271026-146271038(-) ATAATGAAGTGG >mm10_chr6:146438247-146438258(-)::chr6:146438246-146438258(-) GGAAGGAAGTCA >mm10_chr6:146438251-146438262(-)::chr6:146438250-146438262(-) ATGAGGAAGGAA >mm10_chr6:146481596-146481607(-)::chr6:146481595-146481607(-) GCCAGGAAGTGA >mm10_chr6:146481635-146481646(+)::chr6:146481634-146481646(+) TAGAGGAAATAG >mm10_chr6:146483401-146483412(-)::chr6:146483400-146483412(-) AGCAGGAAGAGG >mm10_chr6:146483413-146483424(-)::chr6:146483412-146483424(-) AGCAGGAAGAGC >mm10_chr6:146501516-146501527(+)::chr6:146501515-146501527(+) ATAGGGAAGATG >mm10_chr6:146578268-146578279(-)::chr6:146578267-146578279(-) GGCAGGAAGGGG >mm10_chr6:146630100-146630111(+)::chr6:146630099-146630111(+) TTCAGGAAGTTC >mm10_chr6:146630131-146630142(+)::chr6:146630130-146630142(+) ACAAGGAAGAGC >mm10_chr6:146642337-146642348(+)::chr6:146642336-146642348(+) TGGAGGAAGCAC >mm10_chr6:146742983-146742994(-)::chr6:146742982-146742994(-) ACAAGGAAGCTG >mm10_chr6:146770122-146770133(-)::chr6:146770121-146770133(-) TGTAGGAAGTAA >mm10_chr6:146770326-146770337(-)::chr6:146770325-146770337(-) AGAGGGAAATAG >mm10_chr6:146770359-146770370(-)::chr6:146770358-146770370(-) GGAAGGAAGCAG >mm10_chr6:146770363-146770374(-)::chr6:146770362-146770374(-) AAGAGGAAGGAA >mm10_chr6:146793693-146793704(-)::chr6:146793692-146793704(-) AGCAGGAAGCTG >mm10_chr6:146890875-146890886(+)::chr6:146890874-146890886(+) TGGAGGAAGTGG >mm10_chr6:146899090-146899101(+)::chr6:146899089-146899101(+) AGTAGGAAGCAG >mm10_chr6:146899103-146899114(+)::chr6:146899102-146899114(+) GAAAGGAAATTC >mm10_chr6:146900464-146900475(-)::chr6:146900463-146900475(-) ATAAGGAAGGGG >mm10_chr6:146901596-146901607(-)::chr6:146901595-146901607(-) AGCAGGAAGTTA >mm10_chr6:146907193-146907204(+)::chr6:146907192-146907204(+) AATAGGAAGTAG >mm10_chr6:146913646-146913657(+)::chr6:146913645-146913657(+) ATCAGGAAGTGG >mm10_chr6:146939232-146939243(-)::chr6:146939231-146939243(-) AGAAGGAAAAGG >mm10_chr6:146947404-146947415(+)::chr6:146947403-146947415(+) GAAAGGAAGTGA >mm10_chr6:146959173-146959184(-)::chr6:146959172-146959184(-) TGAAGGAAGGTG >mm10_chr6:146959218-146959229(-)::chr6:146959217-146959229(-) AGCAGGAAGTGG >mm10_chr6:146978858-146978869(+)::chr6:146978857-146978869(+) GTGAGGAAGAGA >mm10_chr6:146984869-146984880(-)::chr6:146984868-146984880(-) tccaggaaggaa >mm10_chr6:147023693-147023704(+)::chr6:147023692-147023704(+) AACAGGAAGTAA >mm10_chr6:147042734-147042745(-)::chr6:147042733-147042745(-) GACCGGAAGTGT >mm10_chr6:147074598-147074609(+)::chr6:147074597-147074609(+) AGCAGGAAGTGC >mm10_chr6:147104439-147104450(+)::chr6:147104438-147104450(+) aagaggaagaag >mm10_chr6:147104469-147104480(+)::chr6:147104468-147104480(+) aggaggaagagg >mm10_chr6:147115011-147115022(-)::chr6:147115010-147115022(-) TCCAGGAAGAAT >mm10_chr6:147149124-147149135(+)::chr6:147149123-147149135(+) GTGAGGAAGATG >mm10_chr6:147151274-147151285(-)::chr6:147151273-147151285(-) ACAAGGAAGTGC >mm10_chr6:147162730-147162741(+)::chr6:147162729-147162741(+) ACAAGGAGGTTA >mm10_chr6:147167575-147167586(+)::chr6:147167574-147167586(+) AACAGGAAGTGA >mm10_chr6:147167649-147167660(+)::chr6:147167648-147167660(+) AGAAGGAAGGAC >mm10_chr6:147223397-147223408(-)::chr6:147223396-147223408(-) ACCAGGAAGTGC >mm10_chr6:147223413-147223424(-)::chr6:147223412-147223424(-) AAAAGGAAGTGT >mm10_chr6:147223429-147223440(-)::chr6:147223428-147223440(-) GACAGGAAGTAG >mm10_chr6:147247478-147247489(+)::chr6:147247477-147247489(+) TGCAGGAAGCAG >mm10_chr6:147247486-147247497(+)::chr6:147247485-147247497(+) GCAGGGAAGTGA >mm10_chr6:147249125-147249136(+)::chr6:147249124-147249136(+) GAAAGGAAGGGA >mm10_chr6:147249137-147249148(+)::chr6:147249136-147249148(+) AGCAGGAAGGAT >mm10_chr6:147249178-147249189(+)::chr6:147249177-147249189(+) TTCAGGAAGTGT >mm10_chr6:147278405-147278416(+)::chr6:147278404-147278416(+) TACAGGAAGCAG >mm10_chr6:147289684-147289695(-)::chr6:147289683-147289695(-) AAGAGGAAGAAA >mm10_chr6:147292195-147292206(-)::chr6:147292194-147292206(-) AAGAGGAAATTA >mm10_chr6:147334876-147334887(-)::chr6:147334875-147334887(-) GACAGGAAGATA >mm10_chr6:147334906-147334917(-)::chr6:147334905-147334917(-) ACAAGGAAACAA >mm10_chr6:147343510-147343521(-)::chr6:147343509-147343521(-) TTAAGGAACTAG >mm10_chr6:147344292-147344303(+)::chr6:147344291-147344303(+) GACAGGAAGTTT >mm10_chr6:147476710-147476721(-)::chr6:147476709-147476721(-) GCCGGGAAGTTT >mm10_chr6:147482087-147482098(-)::chr6:147482086-147482098(-) ATAAGGAAATGA >mm10_chr6:147482139-147482150(-)::chr6:147482138-147482150(-) ACAAGGATGTGG >mm10_chr6:147502775-147502786(-)::chr6:147502774-147502786(-) CAAAGGAAGACA >mm10_chr6:147539242-147539253(+)::chr6:147539241-147539253(+) TGCAGGAAGTAC >mm10_chr6:147590418-147590429(+)::chr6:147590417-147590429(+) AGAAGGAACTGC >mm10_chr6:147594142-147594153(+)::chr6:147594141-147594153(+) AAAAGGAATTAG >mm10_chr6:147594173-147594184(+)::chr6:147594172-147594184(+) GAAAGGAAGACC >mm10_chr6:147640277-147640288(+)::chr6:147640276-147640288(+) aagaggaagaga >mm10_chr6:147640290-147640301(+)::chr6:147640289-147640301(+) GAgaggaagaga >mm10_chr6:147640306-147640317(+)::chr6:147640305-147640317(+) aggaggaagaga >mm10_chr6:147680550-147680561(-)::chr6:147680549-147680561(-) tagaggaagtag >mm10_chr6:147798144-147798155(+)::chr6:147798143-147798155(+) ACAAGGAAATGA >mm10_chr6:147798171-147798182(+)::chr6:147798170-147798182(+) AAAAGGAAGAGA >mm10_chr6:147801125-147801136(-)::chr6:147801124-147801136(-) AAAAGGAAGGTT >mm10_chr6:147801146-147801157(-)::chr6:147801145-147801157(-) AGAAGGAAGAAC >mm10_chr6:147810744-147810755(+)::chr6:147810743-147810755(+) AGAAGGAAGGTT >mm10_chr6:147810761-147810772(+)::chr6:147810760-147810772(+) AGAAGGAAGAGA >mm10_chr6:147815941-147815952(-)::chr6:147815940-147815952(-) TACAGGAAGGAG >mm10_chr6:147885997-147886008(-)::chr6:147885996-147886008(-) AAGAGGAAATAG >mm10_chr6:147909640-147909651(+)::chr6:147909639-147909651(+) agaaggaggtgc >mm10_chr6:147948670-147948681(-)::chr6:147948669-147948681(-) AGGAGGAAGCAG >mm10_chr6:148212214-148212225(+)::chr6:148212213-148212225(+) TCCAGGAAGGGG >mm10_chr6:148212220-148212231(+)::chr6:148212219-148212231(+) AAGGGGAAGTTA >mm10_chr6:148212283-148212294(+)::chr6:148212282-148212294(+) GACCGGAAGTGA >mm10_chr6:148353756-148353767(-)::chr6:148353755-148353767(-) AGAAGGAACTTG >mm10_chr6:148371387-148371398(-)::chr6:148371386-148371398(-) aggaggaagtaa >mm10_chr6:148575649-148575660(-)::chr6:148575648-148575660(-) ggaaggaaggtt >mm10_chr6:148575943-148575954(+)::chr6:148575942-148575954(+) TAAAGGAAGGTG >mm10_chr6:148584249-148584260(+)::chr6:148584248-148584260(+) AAGAGGAAGAGA >mm10_chr6:148609176-148609187(-)::chr6:148609175-148609187(-) TCCAGGAAGTGA >mm10_chr6:148609212-148609223(+)::chr6:148609211-148609223(+) AGGAGGAAGAGA >mm10_chr6:148615637-148615648(+)::chr6:148615636-148615648(+) AAGAGGAAGGAA >mm10_chr6:148615641-148615652(+)::chr6:148615640-148615652(+) GGAAGGAAGAGA >mm10_chr6:148728683-148728694(-)::chr6:148728682-148728694(-) AACAGGAAGCAT >mm10_chr6:148732805-148732816(+)::chr6:148732804-148732816(+) CTAAGGAAATCA >mm10_chr6:148740694-148740705(-)::chr6:148740693-148740705(-) TAGAGGAAATGG >mm10_chr6:148741697-148741708(+)::chr6:148741696-148741708(+) CCAAGGAAGACA >mm10_chr6:148747011-148747022(+)::chr6:148747010-148747022(+) TGGAGGAAGAAA >mm10_chr6:148747082-148747093(+)::chr6:148747081-148747093(+) AGAAGGAAATTG >mm10_chr6:148762918-148762929(-)::chr6:148762917-148762929(-) gccaggaagaaa >mm10_chr6:148913861-148913872(-)::chr6:148913860-148913872(-) AGGAGGAAGACA >mm10_chr6:148913880-148913891(-)::chr6:148913879-148913891(-) ACAAGGAAAGGA >mm10_chr6:148913897-148913908(-)::chr6:148913896-148913908(-) TGAAGGAAATGG >mm10_chr6:148913909-148913920(-)::chr6:148913908-148913920(-) aagaggaagagA >mm10_chr6:148913924-148913935(-)::chr6:148913923-148913935(-) aggaggaagagg >mm10_chr6:148946157-148946168(-)::chr6:148946156-148946168(-) CACAGGAAGTTT >mm10_chr6:148960467-148960478(+)::chr6:148960466-148960478(+) AGAAGGAAAGAA >mm10_chr6:149043592-149043603(-)::chr6:149043591-149043603(-) CACAGGAAGTCT >mm10_chr6:149054903-149054914(+)::chr6:149054902-149054914(+) TTGAGGAAGTTC >mm10_chr6:149054928-149054939(-)::chr6:149054927-149054939(-) AGAAGGAACTGA >mm10_chr6:149174926-149174937(-)::chr6:149174925-149174937(-) ACCAGGAAGTGC >mm10_chr6:149175534-149175545(+)::chr6:149175533-149175545(+) ACAAGGAAGTCC >mm10_chr6:149175804-149175815(-)::chr6:149175803-149175815(-) AAGAGGAAATGG >mm10_chr6:149188770-149188781(+)::chr6:149188769-149188781(+) AAGAGGAAGTTC >mm10_chr6:149238534-149238545(-)::chr6:149238533-149238545(-) ggaaggaagcca >mm10_chr6:149238538-149238549(-)::chr6:149238537-149238549(-) aggaggaaggaa >mm10_chr6:149306910-149306921(+)::chr6:149306909-149306921(+) acaagaaagtca >mm10_chr6:149306922-149306933(+)::chr6:149306921-149306933(+) ataaggaagtct >mm10_chr6:149311997-149312008(-)::chr6:149311996-149312008(-) gccagGAAGTTC >mm10_chr6:149315053-149315064(+)::chr6:149315052-149315064(+) ACAAGGAAATCC >mm10_chr6:149343931-149343942(-)::chr6:149343930-149343942(-) AGGAGGAAGTGA >mm10_chr6:149355288-149355299(-)::chr6:149355287-149355299(-) AACAGGAAgaag >mm10_chr6:149357370-149357381(-)::chr6:149357369-149357381(-) aaaaagaagtaa >mm10_chr6:149357396-149357407(-)::chr6:149357395-149357407(-) acaaagaagtaa >mm10_chr6:149465796-149465807(-)::chr6:149465795-149465807(-) aagaggaagaaa >mm10_chr6:149465812-149465823(-)::chr6:149465811-149465823(-) aagaggaagagg >mm10_chr6:149465818-149465829(-)::chr6:149465817-149465829(-) aggaggaagagg >mm10_chr6:149465825-149465836(-)::chr6:149465824-149465836(-) ATGAGGAaggag >mm10_chr6:149565812-149565823(+)::chr6:149565811-149565823(+) AGCAGGAACTGA >mm10_chr7:3201472-3201483(-)::chr7:3201471-3201483(-) TCCAGGAAATAA >mm10_chr7:3206659-3206670(-)::chr7:3206658-3206670(-) tcaaggaaatgg >mm10_chr7:3281081-3281092(+)::chr7:3281080-3281092(+) AGGAGGAAGGAA >mm10_chr7:3281085-3281096(+)::chr7:3281084-3281096(+) GGAAGGAAATCT >mm10_chr7:3297784-3297795(-)::chr7:3297783-3297795(-) AAGAGGAAATGG >mm10_chr7:3297813-3297824(-)::chr7:3297812-3297824(-) ATAAGGAAGACT >mm10_chr7:3348156-3348167(-)::chr7:3348155-3348167(-) ATAATGAAGTGT >mm10_chr7:3348183-3348194(-)::chr7:3348182-3348194(-) GTCAGGAAGAGA >mm10_chr7:3630615-3630626(+)::chr7:3630614-3630626(+) AAGAGGAAGGAG >mm10_chr7:3630622-3630633(+)::chr7:3630621-3630633(+) AGGAGGAAGCTA >mm10_chr7:3644644-3644655(+)::chr7:3644643-3644655(+) CGAGGGAAGGGG >mm10_chr7:3666002-3666013(-)::chr7:3666001-3666013(-) CGCAGGAAGTGC >mm10_chr7:3676503-3676514(+)::chr7:3676502-3676514(+) GCAAGGAAGTAA >mm10_chr7:3707165-3707176(-)::chr7:3707164-3707176(-) ATAGGGAAGTTC >mm10_chr7:3707212-3707223(-)::chr7:3707211-3707223(-) ATGAGGAAGCCA >mm10_chr7:3713069-3713080(+)::chr7:3713068-3713080(+) GCAAGGAAGTAG >mm10_chr7:3713095-3713106(-)::chr7:3713094-3713106(-) AACAGGAAGACA >mm10_chr7:4082921-4082932(+)::chr7:4082920-4082932(+) ACAAGGAAGGAA >mm10_chr7:4082925-4082936(+)::chr7:4082924-4082936(+) GGAAGGAAGTTG >mm10_chr7:4123598-4123609(-)::chr7:4123597-4123609(-) ATGAGGAAGAGT >mm10_chr7:4129872-4129883(+)::chr7:4129871-4129883(+) ACAGGGAAGTGG >mm10_chr7:4129924-4129935(+)::chr7:4129923-4129935(+) ACAAGGAAGCAT >mm10_chr7:4149317-4149328(+)::chr7:4149316-4149328(+) AAGCGGAAGTAG >mm10_chr7:4150977-4150988(+)::chr7:4150976-4150988(+) GGAGGGAAGTGG >mm10_chr7:4164590-4164601(-)::chr7:4164589-4164601(-) CTAAGGAAGGCT >mm10_chr7:4450913-4450924(-)::chr7:4450912-4450924(-) ggaaggaaggag >mm10_chr7:4450917-4450928(-)::chr7:4450916-4450928(-) agcaggaaggaa >mm10_chr7:4478512-4478523(-)::chr7:4478511-4478523(-) GCAAGGAAGTTG >mm10_chr7:4491495-4491506(-)::chr7:4491494-4491506(-) TGGAGGAAGAGG >mm10_chr7:4491512-4491523(-)::chr7:4491511-4491523(-) TTAAGGAAGCAG >mm10_chr7:4500916-4500927(+)::chr7:4500915-4500927(+) AAGAGGAAGGCA >mm10_chr7:4509975-4509986(-)::chr7:4509974-4509986(-) AGGAGGAAGAGC >mm10_chr7:4605109-4605120(+)::chr7:4605108-4605120(+) agtaggaagtga >mm10_chr7:4651816-4651827(-)::chr7:4651815-4651827(-) AGCAGGAAGTGA >mm10_chr7:4652938-4652949(+)::chr7:4652937-4652949(+) ACCAGGAAGCAT >mm10_chr7:4652950-4652961(+)::chr7:4652949-4652961(+) GGAAGGAAGAGT >mm10_chr7:4674303-4674314(-)::chr7:4674302-4674314(-) GTAGGGAAGTGG >mm10_chr7:4684991-4685002(+)::chr7:4684990-4685002(+) ACACGGAAGTGC >mm10_chr7:4739628-4739639(-)::chr7:4739627-4739639(-) ACCAGGAAGGAA >mm10_chr7:4741194-4741205(-)::chr7:4741193-4741205(-) AACAGGAAGAAG >mm10_chr7:4748399-4748410(+)::chr7:4748398-4748410(+) CCCAGGAAGTAA >mm10_chr7:4812968-4812979(+)::chr7:4812967-4812979(+) GGGCGGAAGTGT >mm10_chr7:4851751-4851762(-)::chr7:4851750-4851762(-) atgaggaaggga >mm10_chr7:4899722-4899733(-)::chr7:4899721-4899733(-) TCAAGGAAATGA >mm10_chr7:4940310-4940321(-)::chr7:4940309-4940321(-) ACAAGGAACGGG >mm10_chr7:4971828-4971839(-)::chr7:4971827-4971839(-) AGAAGGAAGTGA >mm10_chr7:5064243-5064254(-)::chr7:5064242-5064254(-) AGCAGGAACTTA >mm10_chr7:5064280-5064291(-)::chr7:5064279-5064291(-) ACAAGGAACTGG >mm10_chr7:5080167-5080178(+)::chr7:5080166-5080178(+) TCGCGGAAGTGG >mm10_chr7:5112807-5112818(+)::chr7:5112806-5112818(+) accaggaagtgt >mm10_chr7:5112831-5112842(-)::chr7:5112830-5112842(-) ggaaggaaatac >mm10_chr7:6156114-6156125(-)::chr7:6156113-6156125(-) TTCCGGAAGTAG >mm10_chr7:6172170-6172181(+)::chr7:6172169-6172181(+) TAGAGGAAGGAA >mm10_chr7:6172174-6172185(+)::chr7:6172173-6172185(+) GGAAGGAAATCC >mm10_chr7:6184054-6184065(+)::chr7:6184053-6184065(+) AGCCGGAAGTGC >mm10_chr7:6194907-6194918(-)::chr7:6194906-6194918(-) aggaggaagagg >mm10_chr7:6265900-6265911(+)::chr7:6265899-6265911(+) aacaggaagttg >mm10_chr7:6287955-6287966(-)::chr7:6287954-6287966(-) ATAAGGAAGACA >mm10_chr7:6298113-6298124(-)::chr7:6298112-6298124(-) agcaggaaataa >mm10_chr7:6369879-6369890(+)::chr7:6369878-6369890(+) GGAAGGAAGAAA >mm10_chr7:6384220-6384231(+)::chr7:6384219-6384231(+) AACAGGAAGCTG >mm10_chr7:6384274-6384285(-)::chr7:6384273-6384285(-) AAGAGGAAATGT >mm10_chr7:6716161-6716172(+)::chr7:6716160-6716172(+) GGAAGGAAGAAA >mm10_chr7:6749233-6749244(-)::chr7:6749232-6749244(-) GGAAGGAAGTTT >mm10_chr7:6770325-6770336(-)::chr7:6770324-6770336(-) GCCAGGAAGAAG >mm10_chr7:6787260-6787271(+)::chr7:6787259-6787271(+) AAGAGGAAGAGG >mm10_chr7:6812784-6812795(-)::chr7:6812783-6812795(-) GAAGGGAAGTCA >mm10_chr7:6866666-6866677(+)::chr7:6866665-6866677(+) GTGAGGAAATAA >mm10_chr7:6933448-6933459(-)::chr7:6933447-6933459(-) GCAAGGAAGTGA >mm10_chr7:7171345-7171356(-)::chr7:7171344-7171356(-) TGCAGGAAGTAC >mm10_chr7:12422432-12422443(+)::chr7:12422431-12422443(+) TAGAGGAAGCAA >mm10_chr7:12775202-12775213(-)::chr7:12775201-12775213(-) AGCAGGAAGAAC >mm10_chr7:12775220-12775231(-)::chr7:12775219-12775231(-) AAAAGGATGTAC >mm10_chr7:12775274-12775285(-)::chr7:12775273-12775285(-) AAGAGGAAGAGT >mm10_chr7:12803741-12803752(-)::chr7:12803740-12803752(-) AAAAGGAAGCGT >mm10_chr7:12833613-12833624(-)::chr7:12833612-12833624(-) acacggaagtag >mm10_chr7:12834712-12834723(-)::chr7:12834711-12834723(-) GGCCGGAAGTGC >mm10_chr7:12834742-12834753(-)::chr7:12834741-12834753(-) AAACGGAAGCTA >mm10_chr7:12903756-12903767(+)::chr7:12903755-12903767(+) AGGAGGAAGAAG >mm10_chr7:12904772-12904783(+)::chr7:12904771-12904783(+) CCAAGGAAGTGT >mm10_chr7:12910340-12910351(+)::chr7:12910339-12910351(+) AACCGGAAGTGT >mm10_chr7:12921822-12921833(+)::chr7:12921821-12921833(+) AAAAGGAAGTGA >mm10_chr7:12922269-12922280(+)::chr7:12922268-12922280(+) ACCCGGAAGTTT >mm10_chr7:12922317-12922328(-)::chr7:12922316-12922328(-) GACAGGAAGAGG >mm10_chr7:12949415-12949426(-)::chr7:12949414-12949426(-) AGAAGGAACGGA >mm10_chr7:12965722-12965733(+)::chr7:12965721-12965733(+) ACCAGGAAGGCA >mm10_chr7:12965757-12965768(+)::chr7:12965756-12965768(+) AGCAGGAACTAC >mm10_chr7:12979964-12979975(+)::chr7:12979963-12979975(+) AACAGGAAGGAT >mm10_chr7:12987304-12987315(+)::chr7:12987303-12987315(+) aagaggaaggaa >mm10_chr7:12987308-12987319(+)::chr7:12987307-12987319(+) ggaaggaaggaa >mm10_chr7:12987312-12987323(+)::chr7:12987311-12987323(+) ggaaggaaggaa >mm10_chr7:12987316-12987327(+)::chr7:12987315-12987327(+) ggaaggaaggaa >mm10_chr7:12987320-12987331(+)::chr7:12987319-12987331(+) ggaaggaagcaa >mm10_chr7:13009823-13009834(-)::chr7:13009822-13009834(-) GCCCGGAAGTGC >mm10_chr7:13014421-13014432(+)::chr7:13014420-13014432(+) TGAAGGAAGGGC >mm10_chr7:13014431-13014442(-)::chr7:13014430-13014442(-) TTAAGGAAGGGC >mm10_chr7:13038423-13038434(-)::chr7:13038422-13038434(-) CCCAGGAAGTAC >mm10_chr7:13038450-13038461(+)::chr7:13038449-13038461(+) AGTAGGAAGCAG >mm10_chr7:13038976-13038987(+)::chr7:13038975-13038987(+) AGAAGGAAATAG >mm10_chr7:13079009-13079020(-)::chr7:13079008-13079020(-) gagaggaagaat >mm10_chr7:13079021-13079032(-)::chr7:13079020-13079032(-) agaaggaagcac >mm10_chr7:13297666-13297677(+)::chr7:13297665-13297677(+) atagggaagtta >mm10_chr7:13339388-13339399(+)::chr7:13339387-13339399(+) AAGAGGAAGAAA >mm10_chr7:13398223-13398234(+)::chr7:13398222-13398234(+) ATCAGGAAGCTG >mm10_chr7:13398246-13398257(+)::chr7:13398245-13398257(+) GCAAGGAAGTAG >mm10_chr7:13575571-13575582(-)::chr7:13575570-13575582(-) agaaggaagcag >mm10_chr7:15896051-15896062(-)::chr7:15896050-15896062(-) AGGAGGAAATGG >mm10_chr7:15944584-15944595(-)::chr7:15944583-15944595(-) AGGAGGAAGGAA >mm10_chr7:15974876-15974887(+)::chr7:15974875-15974887(+) AGGAGGAAGGAG >mm10_chr7:16001749-16001760(+)::chr7:16001748-16001760(+) aAGAGGAAGCTA >mm10_chr7:16007950-16007961(+)::chr7:16007949-16007961(+) aacaggaagtag >mm10_chr7:16011907-16011918(-)::chr7:16011906-16011918(-) TGCAGGAAGTAG >mm10_chr7:16011931-16011942(-)::chr7:16011930-16011942(-) GGGAGGAAGGGA >mm10_chr7:16018261-16018272(-)::chr7:16018260-16018272(-) aacaggaaggga >mm10_chr7:16019385-16019396(+)::chr7:16019384-16019396(+) gcaaggaagagg >mm10_chr7:16019391-16019402(+)::chr7:16019390-16019402(+) aagaggaaggag >mm10_chr7:16031049-16031060(-)::chr7:16031048-16031060(-) aggaggaagtaa >mm10_chr7:16048226-16048237(-)::chr7:16048225-16048237(-) gggaggaagagg >mm10_chr7:16081621-16081632(-)::chr7:16081620-16081632(-) ACAAGgaagaca >mm10_chr7:16109534-16109545(-)::chr7:16109533-16109545(-) GCAGGGAAGCGA >mm10_chr7:16109579-16109590(-)::chr7:16109578-16109590(-) TTAAGGAACTGG >mm10_chr7:16155636-16155647(-)::chr7:16155635-16155647(-) GGCAGGAAGACA >mm10_chr7:16155662-16155673(-)::chr7:16155661-16155673(-) GTAAGGAAGGTG >mm10_chr7:16223913-16223924(+)::chr7:16223912-16223924(+) acAAGGAACACG >mm10_chr7:16223920-16223931(+)::chr7:16223919-16223931(+) ACACGGAAGACG >mm10_chr7:16223935-16223946(+)::chr7:16223934-16223946(+) GGGAGGAAGCAG >mm10_chr7:16239959-16239970(+)::chr7:16239958-16239970(+) AACAGGAAGGAC >mm10_chr7:16242055-16242066(-)::chr7:16242054-16242066(-) GGGAGGAAGAAA >mm10_chr7:16246148-16246159(+)::chr7:16246147-16246159(+) GGGAGGAAGAGG >mm10_chr7:16286818-16286829(-)::chr7:16286817-16286829(-) GACCGGAAGTGC >mm10_chr7:16296953-16296964(-)::chr7:16296952-16296964(-) TGCAGGAAGGGG >mm10_chr7:16296962-16296973(-)::chr7:16296961-16296973(-) CCCAGGAAGTGC >mm10_chr7:16312817-16312828(+)::chr7:16312816-16312828(+) AGGAGGAAGGAG >mm10_chr7:16359304-16359315(+)::chr7:16359303-16359315(+) TGCAGGAAGAGG >mm10_chr7:16362015-16362026(+)::chr7:16362014-16362026(+) AAGAGGAAGGAC >mm10_chr7:16399119-16399130(-)::chr7:16399118-16399130(-) ACAAGGAAGAAG >mm10_chr7:16399877-16399888(-)::chr7:16399876-16399888(-) AGGCGGAAGTAG >mm10_chr7:16400269-16400280(-)::chr7:16400268-16400280(-) CGGAGGAAGGGC >mm10_chr7:16405197-16405208(+)::chr7:16405196-16405208(+) AACAGGAAGGTT >mm10_chr7:16405280-16405291(+)::chr7:16405279-16405291(+) TGGAGGAAATGG >mm10_chr7:16406012-16406023(+)::chr7:16406011-16406023(+) TGACGGAAGTAG >mm10_chr7:16406238-16406249(+)::chr7:16406237-16406249(+) ACCAGGAAGTTA >mm10_chr7:16416946-16416957(+)::chr7:16416945-16416957(+) AGAAGGAAAAGA >mm10_chr7:16426226-16426237(-)::chr7:16426225-16426237(-) AAAAGGAAGCAT >mm10_chr7:16440146-16440157(-)::chr7:16440145-16440157(-) agcaggaattat >mm10_chr7:16463272-16463283(+)::chr7:16463271-16463283(+) AGGAGGAAGACG >mm10_chr7:16463284-16463295(+)::chr7:16463283-16463295(+) ATGAGGAAGAGG >mm10_chr7:16463299-16463310(+)::chr7:16463298-16463310(+) ACACGGAAGGTG >mm10_chr7:16577424-16577435(+)::chr7:16577423-16577435(+) GTAAGGAAGTGT >mm10_chr7:16581495-16581506(+)::chr7:16581494-16581506(+) CACAGGAAGTGC >mm10_chr7:16597629-16597640(+)::chr7:16597628-16597640(+) GGTAGGAAGAAG >mm10_chr7:16597636-16597647(+)::chr7:16597635-16597647(+) AGAAGGAAAATA >mm10_chr7:16603330-16603341(+)::chr7:16603329-16603341(+) ATCAGGAAGAAA >mm10_chr7:16605206-16605217(+)::chr7:16605205-16605217(+) ttaaggaaattt >mm10_chr7:16610670-16610681(+)::chr7:16610669-16610681(+) AGAAGGAAAAAC >mm10_chr7:16610705-16610716(-)::chr7:16610704-16610716(-) AATAGGAAGAGG >mm10_chr7:16619184-16619195(-)::chr7:16619183-16619195(-) GGAAGGAAGATC >mm10_chr7:16619188-16619199(-)::chr7:16619187-16619199(-) TGGAGGAAGGAA >mm10_chr7:16619222-16619233(+)::chr7:16619221-16619233(+) ATGAGGAAGGGA >mm10_chr7:16639122-16639133(-)::chr7:16639121-16639133(-) AACAGGAAGTGA >mm10_chr7:16699282-16699293(+)::chr7:16699281-16699293(+) accaggaaatga >mm10_chr7:16731986-16731997(+)::chr7:16731985-16731997(+) aataggaagtgt >mm10_chr7:16733877-16733888(-)::chr7:16733876-16733888(-) CCAAGGAAGGGG >mm10_chr7:16738413-16738424(+)::chr7:16738412-16738424(+) AGAAGGAAGGCG >mm10_chr7:16738433-16738444(+)::chr7:16738432-16738444(+) TGCCGGAAGTCA >mm10_chr7:16761082-16761093(+)::chr7:16761081-16761093(+) AGGAGGAAGGCG >mm10_chr7:16761093-16761104(+)::chr7:16761092-16761104(+) GGGAGGAAGAAA >mm10_chr7:16761676-16761687(+)::chr7:16761675-16761687(+) GACAGGAAGAGG >mm10_chr7:16761718-16761729(-)::chr7:16761717-16761729(-) GCACGGAAGAAG >mm10_chr7:16781348-16781359(-)::chr7:16781347-16781359(-) AGACGGAAGCGA >mm10_chr7:16792540-16792551(+)::chr7:16792539-16792551(+) ACAAGGAACTGA >mm10_chr7:16806754-16806765(-)::chr7:16806753-16806765(-) tccaggaagtct >mm10_chr7:16820586-16820597(-)::chr7:16820585-16820597(-) ACCAGGAAGACA >mm10_chr7:16820599-16820610(-)::chr7:16820598-16820610(-) AAGAGGAAGGAA >mm10_chr7:16842929-16842940(-)::chr7:16842928-16842940(-) AGAAGGAAGTCT >mm10_chr7:16845192-16845203(-)::chr7:16845191-16845203(-) GGAAGGAAGGCA >mm10_chr7:16845247-16845258(-)::chr7:16845246-16845258(-) GGCAGGAAATGA >mm10_chr7:16845443-16845454(+)::chr7:16845442-16845454(+) GGAAGGAAGCTG >mm10_chr7:16914100-16914111(+)::chr7:16914099-16914111(+) ACAAGGATGTCA >mm10_chr7:17023013-17023024(+)::chr7:17023012-17023024(+) GGGAGGAAGCGC >mm10_chr7:17023033-17023044(+)::chr7:17023032-17023044(+) ACAAGGAAGAGG >mm10_chr7:17024011-17024022(+)::chr7:17024010-17024022(+) TAGAGGAAGGAA >mm10_chr7:17024015-17024026(+)::chr7:17024014-17024026(+) GGAAGGAAGAAT >mm10_chr7:17024026-17024037(+)::chr7:17024025-17024037(+) TAAAGGAAGGGG >mm10_chr7:17024943-17024954(+)::chr7:17024942-17024954(+) GGGAGGAAGGGG >mm10_chr7:17063128-17063139(+)::chr7:17063127-17063139(+) GTAAGGAAGAGG >mm10_chr7:17063134-17063145(+)::chr7:17063133-17063145(+) AAGAGGAAGGAA >mm10_chr7:17063138-17063149(+)::chr7:17063137-17063149(+) GGAAGGAAGTTC >mm10_chr7:17162469-17162480(-)::chr7:17162468-17162480(-) AGAAGGAAGTGC >mm10_chr7:17808722-17808733(+)::chr7:17808721-17808733(+) ACCAGGAAGTGC >mm10_chr7:18524878-18524889(-)::chr7:18524877-18524889(-) AGGGGGAAGTGT >mm10_chr7:18533578-18533589(-)::chr7:18533577-18533589(-) gacaggaagtgc >mm10_chr7:18858654-18858665(+)::chr7:18858653-18858665(+) GTAAGGAACTCC >mm10_chr7:18858713-18858724(-)::chr7:18858712-18858724(-) AGAAGGAAAAAT >mm10_chr7:18873462-18873473(+)::chr7:18873461-18873473(+) GACAGGAAGGAG >mm10_chr7:18910734-18910745(+)::chr7:18910733-18910745(+) AGAAGGAAGGGG >mm10_chr7:18919836-18919847(+)::chr7:18919835-18919847(+) aggaggaagaag >mm10_chr7:18919854-18919865(+)::chr7:18919853-18919865(+) agaaggaagagg >mm10_chr7:18919869-18919880(+)::chr7:18919868-18919880(+) aggaggaagagg >mm10_chr7:19024216-19024227(-)::chr7:19024215-19024227(-) GGACGGAAATGG >mm10_chr7:19072248-19072259(+)::chr7:19072247-19072259(+) atcaggaagtca >mm10_chr7:19072261-19072272(+)::chr7:19072260-19072272(+) tgcaggaagaag >mm10_chr7:19148609-19148620(-)::chr7:19148608-19148620(-) ACCAGGAAGAGC >mm10_chr7:19149442-19149453(+)::chr7:19149441-19149453(+) TCACGGAAGTCG >mm10_chr7:19181319-19181330(-)::chr7:19181318-19181330(-) GCCAGGAAGACG >mm10_chr7:19211465-19211476(+)::chr7:19211464-19211476(+) TGCAGGAAGTGA >mm10_chr7:19211523-19211534(-)::chr7:19211522-19211534(-) TTCAGGAAGTGT >mm10_chr7:19213639-19213650(-)::chr7:19213638-19213650(-) GGAAGGAAGTCC >mm10_chr7:19213643-19213654(-)::chr7:19213642-19213654(-) GGGAGGAAGGAA >mm10_chr7:19218241-19218252(+)::chr7:19218240-19218252(+) ATCAGGAAGCAG >mm10_chr7:19233203-19233214(-)::chr7:19233202-19233214(-) GGCAGGAACTAC >mm10_chr7:19233238-19233249(+)::chr7:19233237-19233249(+) GGGAGGAAGAGA >mm10_chr7:19233266-19233277(-)::chr7:19233265-19233277(-) TCAAGGAACTGG >mm10_chr7:19258380-19258391(-)::chr7:19258379-19258391(-) AGAAGgaaggga >mm10_chr7:19269015-19269026(-)::chr7:19269014-19269026(-) tacaggaagacc >mm10_chr7:19272295-19272306(+)::chr7:19272294-19272306(+) TGGAGGAAGTGA >mm10_chr7:19291700-19291711(+)::chr7:19291699-19291711(+) ATAAGGAAGGGA >mm10_chr7:19291715-19291726(+)::chr7:19291714-19291726(+) AGAAGGAAACGG >mm10_chr7:19296017-19296028(-)::chr7:19296016-19296028(-) TCACGGAAGTGC >mm10_chr7:19344524-19344535(-)::chr7:19344523-19344535(-) tgaaggaaggga >mm10_chr7:19345166-19345177(-)::chr7:19345165-19345177(-) AGAAGGAAATAC >mm10_chr7:19357304-19357315(-)::chr7:19357303-19357315(-) CAGAGGAAGTGG >mm10_chr7:19357322-19357333(-)::chr7:19357321-19357333(-) AGAAGGAAGCTG >mm10_chr7:19357335-19357346(-)::chr7:19357334-19357346(-) AAGAGGAAGAGG >mm10_chr7:19357357-19357368(+)::chr7:19357356-19357368(+) AACAGGAAGGTC >mm10_chr7:19385925-19385936(-)::chr7:19385924-19385936(-) GCCAGGAAGTAG >mm10_chr7:19388948-19388959(-)::chr7:19388947-19388959(-) TTAAGGAAGCAG >mm10_chr7:19472650-19472661(+)::chr7:19472649-19472661(+) GGGAGGAAGTGA >mm10_chr7:19508289-19508300(+)::chr7:19508288-19508300(+) GGAAGGAAGGCC >mm10_chr7:19508343-19508354(+)::chr7:19508342-19508354(+) TGGCGGAAGTGA >mm10_chr7:19525036-19525047(+)::chr7:19525035-19525047(+) TAAAGGAAGCTG >mm10_chr7:19541348-19541359(-)::chr7:19541347-19541359(-) AGATGGAAGTGT >mm10_chr7:19541363-19541374(+)::chr7:19541362-19541374(+) ACAAGGAAGCCT >mm10_chr7:19557435-19557446(+)::chr7:19557434-19557446(+) TGGAGGAAGCGC >mm10_chr7:19560644-19560655(-)::chr7:19560643-19560655(-) AGCAGGAAGGGG >mm10_chr7:19560702-19560713(-)::chr7:19560701-19560713(-) GACAGGAAGAGT >mm10_chr7:19604517-19604528(-)::chr7:19604516-19604528(-) CCGCGGAAGTGC >mm10_chr7:19667647-19667658(-)::chr7:19667646-19667658(-) ATGAGGAAGTGA >mm10_chr7:19667684-19667695(+)::chr7:19667683-19667695(+) AACAGGAAGAGC >mm10_chr7:19673356-19673367(-)::chr7:19673355-19673367(-) ACCAGGAAGATG >mm10_chr7:19695319-19695330(+)::chr7:19695318-19695330(+) AACAGGAAGCCA >mm10_chr7:19695332-19695343(+)::chr7:19695331-19695343(+) AAAAGGAAGCCT >mm10_chr7:19715994-19716005(+)::chr7:19715993-19716005(+) AGCCGGAAGTGC >mm10_chr7:19723088-19723099(+)::chr7:19723087-19723099(+) TTGAGGAAGTGC >mm10_chr7:19736446-19736457(+)::chr7:19736445-19736457(+) GAAAGGAAGCTC >mm10_chr7:19744823-19744834(-)::chr7:19744822-19744834(-) AGGAGGAAGTGT >mm10_chr7:19747517-19747528(+)::chr7:19747516-19747528(+) AATAGGAAGCAC >mm10_chr7:19748222-19748233(+)::chr7:19748221-19748233(+) ACAAGGAAAGGG >mm10_chr7:19756097-19756108(-)::chr7:19756096-19756108(-) GGGAGGAAGATA >mm10_chr7:19771262-19771273(-)::chr7:19771261-19771273(-) GGGGGGAAGTGA >mm10_chr7:19771307-19771318(-)::chr7:19771306-19771318(-) AGAAGGAAGGCG >mm10_chr7:19777476-19777487(+)::chr7:19777475-19777487(+) atgaggaagtgg >mm10_chr7:19784188-19784199(+)::chr7:19784187-19784199(+) aggaggaaggaa >mm10_chr7:19784221-19784232(+)::chr7:19784220-19784232(+) agaaggaagggg >mm10_chr7:19793116-19793127(+)::chr7:19793115-19793127(+) TGAAGGAAGTGT >mm10_chr7:19796467-19796478(-)::chr7:19796466-19796478(-) AAAAGGACGTGA >mm10_chr7:19797316-19797327(+)::chr7:19797315-19797327(+) TGCAGGAAGTGG >mm10_chr7:19813307-19813318(-)::chr7:19813306-19813318(-) agaaggaagaga >mm10_chr7:19813362-19813373(-)::chr7:19813361-19813373(-) ggaagtaagtgt >mm10_chr7:19813366-19813377(-)::chr7:19813365-19813377(-) cccaggaagtaa >mm10_chr7:19816551-19816562(-)::chr7:19816550-19816562(-) AGCAGGAAGCCC >mm10_chr7:19823616-19823627(-)::chr7:19823615-19823627(-) aagaggaagtag >mm10_chr7:19823661-19823672(-)::chr7:19823660-19823672(-) aaaaggaaacgg >mm10_chr7:19847462-19847473(-)::chr7:19847461-19847473(-) AGGAGGAAATGC >mm10_chr7:19871180-19871191(-)::chr7:19871179-19871191(-) GCCAGGAAGTGA >mm10_chr7:19939999-19940010(-)::chr7:19939998-19940010(-) agcaggaagtgg >mm10_chr7:19940381-19940392(+)::chr7:19940380-19940392(+) AACAGGAAGTCC >mm10_chr7:19965355-19965366(-)::chr7:19965354-19965366(-) GGAAGGAACTTT >mm10_chr7:19966386-19966397(+)::chr7:19966385-19966397(+) acacggaaggat >mm10_chr7:19966846-19966857(-)::chr7:19966845-19966857(-) AGAAGGAAGCAA >mm10_chr7:19966882-19966893(+)::chr7:19966881-19966893(+) AGAAGGAAGCAG >mm10_chr7:24081906-24081917(-)::chr7:24081905-24081917(-) ACCCGGAAGTAG >mm10_chr7:24082146-24082157(+)::chr7:24082145-24082157(+) GGCAGGAAGCGG >mm10_chr7:24112525-24112536(+)::chr7:24112524-24112536(+) GTAAGGAAGTCT >mm10_chr7:24156570-24156581(-)::chr7:24156569-24156581(-) agcaggaagcaa >mm10_chr7:24254705-24254716(-)::chr7:24254704-24254716(-) CGGCGGAAGTTC >mm10_chr7:24257647-24257658(+)::chr7:24257646-24257658(+) accaggaagcaa >mm10_chr7:24257663-24257674(+)::chr7:24257662-24257674(+) gggaggaaggag >mm10_chr7:24257690-24257701(-)::chr7:24257689-24257701(-) atacggaagtgt >mm10_chr7:24365145-24365156(-)::chr7:24365144-24365156(-) GGAGGGAAGTAG >mm10_chr7:24372459-24372470(-)::chr7:24372458-24372470(-) TGAAGGAAGCTG >mm10_chr7:24373040-24373051(+)::chr7:24373039-24373051(+) CAAAGGAAGGCA >mm10_chr7:24373062-24373073(+)::chr7:24373061-24373073(+) AGGAGGAAGGGC >mm10_chr7:24373086-24373097(+)::chr7:24373085-24373097(+) TATAGGAAGGAA >mm10_chr7:24373090-24373101(+)::chr7:24373089-24373101(+) GGAAGGAAGAGA >mm10_chr7:24374715-24374726(-)::chr7:24374714-24374726(-) GGAAGGCAGTGG >mm10_chr7:24374719-24374730(-)::chr7:24374718-24374730(-) AGGAGGAAGGCA >mm10_chr7:24379602-24379613(-)::chr7:24379601-24379613(-) AGAAGGAAGAAG >mm10_chr7:24379609-24379620(-)::chr7:24379608-24379620(-) GAGAGGAAGAAG >mm10_chr7:24379625-24379636(-)::chr7:24379624-24379636(-) aggaggaagaGG >mm10_chr7:24399351-24399362(+)::chr7:24399350-24399362(+) ATAAGGACGTTA >mm10_chr7:24399683-24399694(-)::chr7:24399682-24399694(-) AGGAGGAAGAAG >mm10_chr7:24431101-24431112(+)::chr7:24431100-24431112(+) gggaggaagtgg >mm10_chr7:24439540-24439551(+)::chr7:24439539-24439551(+) tgaaggaagtaa >mm10_chr7:24439569-24439580(+)::chr7:24439568-24439580(+) Gggaggaagaga >mm10_chr7:24439581-24439592(+)::chr7:24439580-24439592(+) aggaggaagcag >mm10_chr7:24459583-24459594(+)::chr7:24459582-24459594(+) AGAAGGAAGGAG >mm10_chr7:24462982-24462993(-)::chr7:24462981-24462993(-) TGGAGGAAGAAG >mm10_chr7:24539833-24539844(-)::chr7:24539832-24539844(-) AAAGGGAAGTGC >mm10_chr7:24546996-24547007(+)::chr7:24546995-24547007(+) CCCAGGAAGCCG >mm10_chr7:24588533-24588544(-)::chr7:24588532-24588544(-) GGCAGGAAGTTG >mm10_chr7:24627275-24627286(+)::chr7:24627274-24627286(+) ACAAGGAAGAGA >mm10_chr7:24628821-24628832(-)::chr7:24628820-24628832(-) AGGAGGAAGGGT >mm10_chr7:24736175-24736186(+)::chr7:24736174-24736186(+) AGAAGGAAAAAA >mm10_chr7:24743374-24743385(+)::chr7:24743373-24743385(+) ATGAGGAAGAAG >mm10_chr7:24743431-24743442(-)::chr7:24743430-24743442(-) AGCAGGAAGTGC >mm10_chr7:24748442-24748453(+)::chr7:24748441-24748453(+) AGAAGGATGTGC >mm10_chr7:24748487-24748498(+)::chr7:24748486-24748498(+) aagaggaagagg >mm10_chr7:24750893-24750904(+)::chr7:24750892-24750904(+) TGCAGGAAGAGC >mm10_chr7:24753617-24753628(+)::chr7:24753616-24753628(+) GACAGGAAGGAA >mm10_chr7:24753639-24753650(+)::chr7:24753638-24753650(+) ACAAGGAAATGC >mm10_chr7:24763200-24763211(-)::chr7:24763199-24763211(-) GTAAGGAAGTCT >mm10_chr7:24763219-24763230(+)::chr7:24763218-24763230(+) AAGAGGAAGTGC >mm10_chr7:24853156-24853167(+)::chr7:24853155-24853167(+) AGGAGGAAGTTC >mm10_chr7:24884106-24884117(-)::chr7:24884105-24884117(-) GGGCGGAAGTTG >mm10_chr7:24884318-24884329(-)::chr7:24884317-24884329(-) GGGCGGAAGTGC >mm10_chr7:24907676-24907687(-)::chr7:24907675-24907687(-) GGGAGGAAGTGC >mm10_chr7:24912693-24912704(+)::chr7:24912692-24912704(+) AGCAGGAACTGA >mm10_chr7:25037944-25037955(+)::chr7:25037943-25037955(+) aagaggaagagg >mm10_chr7:25037953-25037964(+)::chr7:25037952-25037964(+) aggaggaagagg >mm10_chr7:25037977-25037988(+)::chr7:25037976-25037988(+) aggaggaagagg >mm10_chr7:25076641-25076652(-)::chr7:25076640-25076652(-) TCGAGGAAGAGT >mm10_chr7:25076650-25076661(-)::chr7:25076649-25076661(-) ACGGGGAAATCG >mm10_chr7:25077006-25077017(+)::chr7:25077005-25077017(+) AAGAGGAAGAAC >mm10_chr7:25084841-25084852(+)::chr7:25084840-25084852(+) TTAAGGAAGGCT >mm10_chr7:25170741-25170752(+)::chr7:25170740-25170752(+) ACAAGGAAAGAA >mm10_chr7:25170805-25170816(+)::chr7:25170804-25170816(+) AGCAGGAAGGCA >mm10_chr7:25217493-25217504(-)::chr7:25217492-25217504(-) TCAAGGAAATGG >mm10_chr7:25217508-25217519(+)::chr7:25217507-25217519(+) ACCAGGATGTAG >mm10_chr7:25218983-25218994(+)::chr7:25218982-25218994(+) AGCAGGAAGGCC >mm10_chr7:25238171-25238182(-)::chr7:25238170-25238182(-) TGCAGGAAGTCA >mm10_chr7:25238312-25238323(+)::chr7:25238311-25238323(+) TATAGGAAATGA >mm10_chr7:25238365-25238376(+)::chr7:25238364-25238376(+) GGAAGGAACTGA >mm10_chr7:25239273-25239284(-)::chr7:25239272-25239284(-) ATAGGGAAATGA >mm10_chr7:25248965-25248976(+)::chr7:25248964-25248976(+) AACAGGAAGTGG >mm10_chr7:25249983-25249994(-)::chr7:25249982-25249994(-) AAAAGGAACTTT >mm10_chr7:25316751-25316762(-)::chr7:25316750-25316762(-) TCGAGGAAGACT >mm10_chr7:25375989-25376000(-)::chr7:25375988-25376000(-) CCAAGGAAGGCA >mm10_chr7:25394068-25394079(+)::chr7:25394067-25394079(+) ACTAGGAAGGGC >mm10_chr7:25413906-25413917(+)::chr7:25413905-25413917(+) ATCAGGAAGTTG >mm10_chr7:25430674-25430685(-)::chr7:25430673-25430685(-) ATAAGGAAGTAG >mm10_chr7:25433055-25433066(-)::chr7:25433054-25433066(-) ACAAGGAACTAA >mm10_chr7:25466322-25466333(-)::chr7:25466321-25466333(-) TCCAGGAAGTCT >mm10_chr7:25466335-25466346(+)::chr7:25466334-25466346(+) TAGAGGAAATAT >mm10_chr7:25559979-25559990(+)::chr7:25559978-25559990(+) ggcaggaaggaT >mm10_chr7:25560047-25560058(+)::chr7:25560046-25560058(+) CGAAGGCAGTAG >mm10_chr7:25578729-25578740(+)::chr7:25578728-25578740(+) tggaggaagtat >mm10_chr7:25598364-25598375(+)::chr7:25598363-25598375(+) gccaggaagaac >mm10_chr7:25626637-25626648(-)::chr7:25626636-25626648(-) GGGAGGAAATGG >mm10_chr7:25626652-25626663(-)::chr7:25626651-25626663(-) TGGAGGAAGAGA >mm10_chr7:25656241-25656252(+)::chr7:25656240-25656252(+) cctaggaagtgc >mm10_chr7:25676730-25676741(-)::chr7:25676729-25676741(-) AAGAGGAAGGAG >mm10_chr7:25697415-25697426(-)::chr7:25697414-25697426(-) TCCAGGAAGAAA >mm10_chr7:25701370-25701381(-)::chr7:25701369-25701381(-) TCAAggaactgg >mm10_chr7:25701428-25701439(-)::chr7:25701427-25701439(-) TCAAGGAAGGAA >mm10_chr7:25705916-25705927(+)::chr7:25705915-25705927(+) GACCGGAAGTGA >mm10_chr7:25706949-25706960(-)::chr7:25706948-25706960(-) AAGAGGAAGCAG >mm10_chr7:25718925-25718936(-)::chr7:25718924-25718936(-) GAGAGGAAGCCG >mm10_chr7:25726178-25726189(+)::chr7:25726177-25726189(+) TCCAGGAAGTCC >mm10_chr7:25811559-25811570(-)::chr7:25811558-25811570(-) AAGAGGAAGAGG >mm10_chr7:25844870-25844881(-)::chr7:25844869-25844881(-) gtgaggaagaaa >mm10_chr7:25861864-25861875(-)::chr7:25861863-25861875(-) ACAAGGATGTCT >mm10_chr7:25865018-25865029(-)::chr7:25865017-25865029(-) AGGAGGAAGCAG >mm10_chr7:26210506-26210517(-)::chr7:26210505-26210517(-) AGAGGGAAGTGA >mm10_chr7:26210513-26210524(-)::chr7:26210512-26210524(-) GGGAGGAAGAGG >mm10_chr7:26402323-26402334(+)::chr7:26402322-26402334(+) aagaggaaggat >mm10_chr7:26770608-26770619(-)::chr7:26770607-26770619(-) aagaggaagggg >mm10_chr7:26770614-26770625(-)::chr7:26770613-26770625(-) agaaggaagagg >mm10_chr7:26770629-26770640(-)::chr7:26770628-26770640(-) agaaggaagggg >mm10_chr7:27110747-27110758(+)::chr7:27110746-27110758(+) agaaggaagagg >mm10_chr7:27110820-27110831(+)::chr7:27110819-27110831(+) aggaggaagaag >mm10_chr7:27118344-27118355(-)::chr7:27118343-27118355(-) GAGAGGAAGTAA >mm10_chr7:27121382-27121393(-)::chr7:27121381-27121393(-) AGAAGGAAGAGT >mm10_chr7:27121396-27121407(-)::chr7:27121395-27121407(-) AGAAGGAAAAGG >mm10_chr7:27153372-27153383(+)::chr7:27153371-27153383(+) GGCAGGAAGGTG >mm10_chr7:27160085-27160096(+)::chr7:27160084-27160096(+) GGCAGGAAGCTA >mm10_chr7:27161148-27161159(+)::chr7:27161147-27161159(+) ACCAGGAAGCTA >mm10_chr7:27178863-27178874(-)::chr7:27178862-27178874(-) AGGCGGAAGTGG >mm10_chr7:27181555-27181566(-)::chr7:27181554-27181566(-) GTGAGGAAGTAG >mm10_chr7:27207525-27207536(-)::chr7:27207524-27207536(-) AGAATGAAGTGC >mm10_chr7:27207700-27207711(+)::chr7:27207699-27207711(+) CCAAGGAAGGAA >mm10_chr7:27207704-27207715(+)::chr7:27207703-27207715(+) GGAAGGAAGATG >mm10_chr7:27275089-27275100(-)::chr7:27275088-27275100(-) AACAGGAAATGA >mm10_chr7:27311933-27311944(+)::chr7:27311932-27311944(+) agaaggaaaaac >mm10_chr7:27326083-27326094(+)::chr7:27326082-27326094(+) CAAAGGAAGAAA >mm10_chr7:27326092-27326103(+)::chr7:27326091-27326103(+) AAAAGGAAGACA >mm10_chr7:27332789-27332800(-)::chr7:27332788-27332800(-) GGGAGGAAGGGA >mm10_chr7:27354223-27354234(-)::chr7:27354222-27354234(-) AATAGGAAGCAG >mm10_chr7:27354230-27354241(-)::chr7:27354229-27354241(-) GAAAGGAAATAG >mm10_chr7:27356017-27356028(-)::chr7:27356016-27356028(-) AAGAGGAAGAGG >mm10_chr7:27357843-27357854(-)::chr7:27357842-27357854(-) gggaggaagcac >mm10_chr7:27357857-27357868(+)::chr7:27357856-27357868(+) aggaggaaggag >mm10_chr7:27481082-27481093(+)::chr7:27481081-27481093(+) ctaaggaaggag >mm10_chr7:27487018-27487029(-)::chr7:27487017-27487029(-) ATCAGGAAGCGG >mm10_chr7:27490702-27490713(-)::chr7:27490701-27490713(-) GCCAGGAAGGAC >mm10_chr7:27493104-27493115(+)::chr7:27493103-27493115(+) ATGAGGAAGGGA >mm10_chr7:27493134-27493145(+)::chr7:27493133-27493145(+) ACTAGGAAGAGA >mm10_chr7:27501741-27501752(-)::chr7:27501740-27501752(-) GTAAGGAAGTGG >mm10_chr7:27520824-27520835(-)::chr7:27520823-27520835(-) AGAAGGAAGCAG >mm10_chr7:27564239-27564250(+)::chr7:27564238-27564250(+) AGGAGGAAGCCA >mm10_chr7:27564510-27564521(+)::chr7:27564509-27564521(+) TCAAGGAAGCCG >mm10_chr7:27581048-27581059(-)::chr7:27581047-27581059(-) GAAGGGAAGTCC >mm10_chr7:27591370-27591381(+)::chr7:27591369-27591381(+) GCCGGGAAGTAA >mm10_chr7:27591558-27591569(-)::chr7:27591557-27591569(-) CGCAGGAACTAG >mm10_chr7:27666765-27666776(-)::chr7:27666764-27666776(-) TGCAGGAAGTTC >mm10_chr7:27689069-27689080(-)::chr7:27689068-27689080(-) GCTAGGAAGTAA >mm10_chr7:27689399-27689410(+)::chr7:27689398-27689410(+) GGTCGGAAGTAG >mm10_chr7:27689399-27689410(+)::chr7:27689398-27689410(+) GGTCGGAAGTAG >mm10_chr7:27691566-27691577(+)::chr7:27691565-27691577(+) accaggAAGTTT >mm10_chr7:27732748-27732759(+)::chr7:27732747-27732759(+) aCAAGGAAGGTG >mm10_chr7:27804811-27804822(+)::chr7:27804810-27804822(+) CGCCGGAAGTAA >mm10_chr7:27840803-27840814(-)::chr7:27840802-27840814(-) ggaaggaagggg >mm10_chr7:27932243-27932254(-)::chr7:27932242-27932254(-) GGAAGGAAGGGG >mm10_chr7:27932247-27932258(-)::chr7:27932246-27932258(-) TGGAGGAAGGAA >mm10_chr7:27979374-27979385(-)::chr7:27979373-27979385(-) AAGCGGAAGTAC >mm10_chr7:27979433-27979444(+)::chr7:27979432-27979444(+) AACAGGAAGTAA >mm10_chr7:28050241-28050252(+)::chr7:28050240-28050252(+) GCAAGGATGTTG >mm10_chr7:28059660-28059671(-)::chr7:28059659-28059671(-) ctgaggaagtat >mm10_chr7:28070782-28070793(+)::chr7:28070781-28070793(+) GCAAGGAAGTAG >mm10_chr7:28132658-28132669(-)::chr7:28132657-28132669(-) TCAAGGAAATCC >mm10_chr7:28151813-28151824(+)::chr7:28151812-28151824(+) ATAGGGAAGTGA >mm10_chr7:28215051-28215062(+)::chr7:28215050-28215062(+) AGCAGGAAGTTA >mm10_chr7:28322181-28322192(-)::chr7:28322180-28322192(-) AGGAGGACGTGG >mm10_chr7:28323770-28323781(-)::chr7:28323769-28323781(-) AAAAGGAAGAAG >mm10_chr7:28323985-28323996(+)::chr7:28323984-28323996(+) GGAGGGAAGCGG >mm10_chr7:28324020-28324031(+)::chr7:28324019-28324031(+) TGCAGGAAGTAC >mm10_chr7:28336280-28336291(-)::chr7:28336279-28336291(-) GGAAGGAACTGG >mm10_chr7:28336284-28336295(-)::chr7:28336283-28336295(-) GTGAGGAAGGAA >mm10_chr7:28341858-28341869(+)::chr7:28341857-28341869(+) atcaggaaatta >mm10_chr7:28375270-28375281(-)::chr7:28375269-28375281(-) GAGAGGAAGAAA >mm10_chr7:28375770-28375781(-)::chr7:28375769-28375781(-) AAAAGGACGCGA >mm10_chr7:28375777-28375788(-)::chr7:28375776-28375788(-) ACAAGGAAAAAG >mm10_chr7:28382183-28382194(-)::chr7:28382182-28382194(-) GGCCGGAAGTGC >mm10_chr7:28411454-28411465(-)::chr7:28411453-28411465(-) TGCAGGAAGCAG >mm10_chr7:28418460-28418471(+)::chr7:28418459-28418471(+) GGTAGGAAGGGC >mm10_chr7:28419770-28419781(+)::chr7:28419769-28419781(+) ATGAGGAAGAAG >mm10_chr7:28430767-28430778(-)::chr7:28430766-28430778(-) ACCAGGAAGTGA >mm10_chr7:28442900-28442911(+)::chr7:28442899-28442911(+) ACCAGGAAGAGC >mm10_chr7:28442932-28442943(+)::chr7:28442931-28442943(+) AAAAGGAAGTAT >mm10_chr7:28590117-28590128(+)::chr7:28590116-28590128(+) AAGAGGAAGGAG >mm10_chr7:28590157-28590168(+)::chr7:28590156-28590168(+) CCAAGGAAGACT >mm10_chr7:28590190-28590201(+)::chr7:28590189-28590201(+) GAAAGGTAGTGA >mm10_chr7:28630918-28630929(-)::chr7:28630917-28630929(-) AGCAGGAAGGAG >mm10_chr7:28633363-28633374(+)::chr7:28633362-28633374(+) taaaggaaggaa >mm10_chr7:28633367-28633378(+)::chr7:28633366-28633378(+) ggaaggaaggaa >mm10_chr7:28633371-28633382(+)::chr7:28633370-28633382(+) ggaaggaaggaa >mm10_chr7:28633375-28633386(+)::chr7:28633374-28633386(+) ggaaggaaggaa >mm10_chr7:28633379-28633390(+)::chr7:28633378-28633390(+) ggaaggaaggaa >mm10_chr7:28633383-28633394(+)::chr7:28633382-28633394(+) ggaaggaaggaa >mm10_chr7:28633387-28633398(+)::chr7:28633386-28633398(+) ggaaggaaggac >mm10_chr7:28633399-28633410(+)::chr7:28633398-28633410(+) ggacggaaggaa >mm10_chr7:28633403-28633414(+)::chr7:28633402-28633414(+) ggaaggaagctg >mm10_chr7:28634289-28634300(-)::chr7:28634288-28634300(-) AGAAGGAAGCTG >mm10_chr7:28634337-28634348(+)::chr7:28634336-28634348(+) CAAAGGAAGTCA >mm10_chr7:28665948-28665959(+)::chr7:28665947-28665959(+) ttaaggaagcta >mm10_chr7:28671374-28671385(-)::chr7:28671373-28671385(-) tggaggaagtac >mm10_chr7:28677265-28677276(-)::chr7:28677264-28677276(-) TTAAGGAAGTGA >mm10_chr7:28709658-28709669(+)::chr7:28709657-28709669(+) aagaggaagcag >mm10_chr7:28709689-28709700(+)::chr7:28709688-28709700(+) accaggaagtca >mm10_chr7:28752270-28752281(-)::chr7:28752269-28752281(-) AGCAGGAAGGCC >mm10_chr7:28766118-28766129(+)::chr7:28766117-28766129(+) GCCAGGAAGTCC >mm10_chr7:28796041-28796052(+)::chr7:28796040-28796052(+) AGGAGGAAGTGA >mm10_chr7:28796077-28796088(+)::chr7:28796076-28796088(+) ACGAGGAAGGTT >mm10_chr7:28820523-28820534(-)::chr7:28820522-28820534(-) GAAAGGAAGTCT >mm10_chr7:28820531-28820542(-)::chr7:28820530-28820542(-) GAGAGGAAGAAA >mm10_chr7:28832344-28832355(+)::chr7:28832343-28832355(+) AAGAGGAAGTGT >mm10_chr7:28832368-28832379(+)::chr7:28832367-28832379(+) AACAGGAAGGCT >mm10_chr7:28847382-28847393(+)::chr7:28847381-28847393(+) tgaaggaaaata >mm10_chr7:28881387-28881398(+)::chr7:28881386-28881398(+) AACAGGAAGAAG >mm10_chr7:28907435-28907446(+)::chr7:28907434-28907446(+) AATAGGAAGTGC >mm10_chr7:28918361-28918372(-)::chr7:28918360-28918372(-) AGCAGGAAGCAC >mm10_chr7:28918413-28918424(-)::chr7:28918412-28918424(-) AGAAGGAAATAC >mm10_chr7:28926443-28926454(+)::chr7:28926442-28926454(+) aagaggaaggag >mm10_chr7:28941258-28941269(+)::chr7:28941257-28941269(+) ACACGGAAGTGG >mm10_chr7:28945886-28945897(+)::chr7:28945885-28945897(+) ATCAGGAAGTGA >mm10_chr7:28945920-28945931(+)::chr7:28945919-28945931(+) AGGAGGAAGGGA >mm10_chr7:28949847-28949858(-)::chr7:28949846-28949858(-) AGAAGGAGGTAG >mm10_chr7:28955023-28955034(-)::chr7:28955022-28955034(-) ATAGGGAAGTAG >mm10_chr7:28959943-28959954(+)::chr7:28959942-28959954(+) GGAAGGAAGGGA >mm10_chr7:28959955-28959966(+)::chr7:28959954-28959966(+) ACCAGGAAGGAG >mm10_chr7:28981854-28981865(+)::chr7:28981853-28981865(+) ACAAGGAAGGCA >mm10_chr7:28981931-28981942(-)::chr7:28981930-28981942(-) GGAAGGAAATCA >mm10_chr7:29043576-29043587(+)::chr7:29043575-29043587(+) CAGAGGAAGTGA >mm10_chr7:29179187-29179198(+)::chr7:29179186-29179198(+) GGCAGGAAATTG >mm10_chr7:29191285-29191296(-)::chr7:29191284-29191296(-) agcaggaagata >mm10_chr7:29211491-29211502(-)::chr7:29211490-29211502(-) AACAGGAAGTGC >mm10_chr7:29214494-29214505(+)::chr7:29214493-29214505(+) ataaggaagtaa >mm10_chr7:29214543-29214554(+)::chr7:29214542-29214554(+) atagggaagtgg >mm10_chr7:29228408-29228419(-)::chr7:29228407-29228419(-) ACAGGGAAGTAC >mm10_chr7:29229234-29229245(-)::chr7:29229233-29229245(-) ACAAGGAACTGG >mm10_chr7:29231662-29231673(+)::chr7:29231661-29231673(+) AGGAGGAAGTTA >mm10_chr7:29232588-29232599(+)::chr7:29232587-29232599(+) AAGAGGAAGAGG >mm10_chr7:29239073-29239084(+)::chr7:29239072-29239084(+) aggaggaagata >mm10_chr7:29239093-29239104(+)::chr7:29239092-29239104(+) CCAAGGAAGGAA >mm10_chr7:29272141-29272152(+)::chr7:29272140-29272152(+) AGAAGGAAGAGG >mm10_chr7:29272182-29272193(+)::chr7:29272181-29272193(+) ACAAGGAAAAGG >mm10_chr7:29281263-29281274(-)::chr7:29281262-29281274(-) CCCAGGAAGGCG >mm10_chr7:29281294-29281305(-)::chr7:29281293-29281305(-) AGGAGGAAGGGA >mm10_chr7:29281301-29281312(-)::chr7:29281300-29281312(-) AGAAGGAAGGAG >mm10_chr7:29282259-29282270(-)::chr7:29282258-29282270(-) ACGAGGAATTTA >mm10_chr7:29298436-29298447(+)::chr7:29298435-29298447(+) agcaggaagatg >mm10_chr7:29298445-29298456(+)::chr7:29298444-29298456(+) atgaggaagaag >mm10_chr7:29298460-29298471(+)::chr7:29298459-29298471(+) aggaggaagaag >mm10_chr7:29298478-29298489(+)::chr7:29298477-29298489(+) aggaggaagagg >mm10_chr7:29389006-29389017(+)::chr7:29389005-29389017(+) ATCAGGAAGCAG >mm10_chr7:29389043-29389054(+)::chr7:29389042-29389054(+) ACAAGGAAGGGG >mm10_chr7:29397076-29397087(+)::chr7:29397075-29397087(+) ATACGGAAGCGG >mm10_chr7:29418406-29418417(-)::chr7:29418405-29418417(-) ACCAGGAAGTGA >mm10_chr7:29430830-29430841(-)::chr7:29430829-29430841(-) AACAGGAAGTGG >mm10_chr7:29447632-29447643(-)::chr7:29447631-29447643(-) AGGAGGAAGAGA >mm10_chr7:29462200-29462211(-)::chr7:29462199-29462211(-) AGGAGGAAGCTG >mm10_chr7:29462255-29462266(+)::chr7:29462254-29462266(+) AGAAGGAAGTTT >mm10_chr7:29491992-29492003(-)::chr7:29491991-29492003(-) ATATGGAAGTGA >mm10_chr7:29492024-29492035(-)::chr7:29492023-29492035(-) AAGAGGAAGCAC >mm10_chr7:29501185-29501196(-)::chr7:29501184-29501196(-) GCAAGGAAGTGT >mm10_chr7:29511245-29511256(+)::chr7:29511244-29511256(+) TGCAGGAAGCAA >mm10_chr7:29520955-29520966(+)::chr7:29520954-29520966(+) ctagggaagtgg >mm10_chr7:29532847-29532858(+)::chr7:29532846-29532858(+) agaaggaAGTTG >mm10_chr7:29532885-29532896(+)::chr7:29532884-29532896(+) AAAGGGAAGCGG >mm10_chr7:29534991-29535002(+)::chr7:29534990-29535002(+) GAAAGGAAATTA >mm10_chr7:29574643-29574654(+)::chr7:29574642-29574654(+) TCCAGGAAGAAA >mm10_chr7:29768563-29768574(+)::chr7:29768562-29768574(+) TGGCGGAAGTGG >mm10_chr7:29769909-29769920(-)::chr7:29769908-29769920(-) AAAAGGAACTTG >mm10_chr7:29771233-29771244(+)::chr7:29771232-29771244(+) AATAGGAAATGC >mm10_chr7:29771315-29771326(-)::chr7:29771314-29771326(-) AGAAGGAAGGTG >mm10_chr7:29906147-29906158(-)::chr7:29906146-29906158(-) GACCGGAAGTGA >mm10_chr7:29956404-29956415(+)::chr7:29956403-29956415(+) ACAGGGAAGTGG >mm10_chr7:29956469-29956480(+)::chr7:29956468-29956480(+) AGTAGGAAATGG >mm10_chr7:29964394-29964405(-)::chr7:29964393-29964405(-) acagggaagtag >mm10_chr7:29983900-29983911(+)::chr7:29983899-29983911(+) GACCGGAAGTTG >mm10_chr7:30072256-30072267(+)::chr7:30072255-30072267(+) GCCAGGAAGTTT >mm10_chr7:30079670-30079681(+)::chr7:30079669-30079681(+) AGAAGGAagggg >mm10_chr7:30079677-30079688(+)::chr7:30079676-30079688(+) agggggaagtgg >mm10_chr7:30079689-30079700(+)::chr7:30079688-30079700(+) aggaggaagggg >mm10_chr7:30079847-30079858(+)::chr7:30079846-30079858(+) atggggaagtgg >mm10_chr7:30079859-30079870(+)::chr7:30079858-30079870(+) aggaggaAGGGG >mm10_chr7:30079878-30079889(+)::chr7:30079877-30079889(+) AGGAGGATGTAT >mm10_chr7:30090456-30090467(-)::chr7:30090455-30090467(-) GCAAGGAAGGCC >mm10_chr7:30176667-30176678(-)::chr7:30176666-30176678(-) AGCAGGAAGCAG >mm10_chr7:30247275-30247286(-)::chr7:30247274-30247286(-) ACTAGGAAGGAA >mm10_chr7:30247332-30247343(-)::chr7:30247331-30247343(-) TTAAGGAAGTAA >mm10_chr7:30247546-30247557(-)::chr7:30247545-30247557(-) TTCAGGAAGTCC >mm10_chr7:30252558-30252569(-)::chr7:30252557-30252569(-) GAAAGGGAGTCG >mm10_chr7:30252607-30252618(+)::chr7:30252606-30252618(+) GCCAGGAAGGAA >mm10_chr7:30252611-30252622(+)::chr7:30252610-30252622(+) GGAAGGAAGCAG >mm10_chr7:30265832-30265843(+)::chr7:30265831-30265843(+) aggaggaaggag >mm10_chr7:30265842-30265853(+)::chr7:30265841-30265853(+) agaaggaaggag >mm10_chr7:30265880-30265891(+)::chr7:30265879-30265891(+) aggaggaagagg >mm10_chr7:30265886-30265897(+)::chr7:30265885-30265897(+) aagaggaagagg >mm10_chr7:30265906-30265917(+)::chr7:30265905-30265917(+) aggaggaaggag >mm10_chr7:30269652-30269663(-)::chr7:30269651-30269663(-) ACACGGAAGTTA >mm10_chr7:30279419-30279430(+)::chr7:30279418-30279430(+) AAAAGGAAGGAA >mm10_chr7:30279423-30279434(+)::chr7:30279422-30279434(+) GGAAGGAAGACC >mm10_chr7:30287140-30287151(+)::chr7:30287139-30287151(+) TCCAGGAAGTAT >mm10_chr7:30289584-30289595(+)::chr7:30289583-30289595(+) GACAGGAAGTTT >mm10_chr7:30308739-30308750(-)::chr7:30308738-30308750(-) CGCAGGAAGGTT >mm10_chr7:30308762-30308773(+)::chr7:30308761-30308773(+) TGGCGGAAGTGG >mm10_chr7:30316298-30316309(-)::chr7:30316297-30316309(-) AGCCGGAAGTTC >mm10_chr7:30341454-30341465(+)::chr7:30341453-30341465(+) AGGAGGAAGTGC >mm10_chr7:30341476-30341487(+)::chr7:30341475-30341487(+) TTAAGGAAGAGA >mm10_chr7:30373743-30373754(+)::chr7:30373742-30373754(+) TGGAGGAAGAAC >mm10_chr7:30394657-30394668(-)::chr7:30394656-30394668(-) ATGAGGAAGTGG >mm10_chr7:30423216-30423227(+)::chr7:30423215-30423227(+) AAAAGGAAGGAA >mm10_chr7:30423220-30423231(+)::chr7:30423219-30423231(+) GGAAGGAAATGG >mm10_chr7:30450513-30450524(+)::chr7:30450512-30450524(+) AGGGGGAAGTGA >mm10_chr7:30455036-30455047(+)::chr7:30455035-30455047(+) gccaggaagtct >mm10_chr7:30526223-30526234(-)::chr7:30526222-30526234(-) CAAAGGAAGCCA >mm10_chr7:30551438-30551449(+)::chr7:30551437-30551449(+) aggaggaagagg >mm10_chr7:30586295-30586306(-)::chr7:30586294-30586306(-) AAGAGGAAGAGG >mm10_chr7:30586334-30586345(-)::chr7:30586333-30586345(-) agaaggaagaAA >mm10_chr7:30600279-30600290(-)::chr7:30600278-30600290(-) GATAGGAAGCGT >mm10_chr7:30602832-30602843(+)::chr7:30602831-30602843(+) GACAGGAAGGGG >mm10_chr7:30737694-30737705(+)::chr7:30737693-30737705(+) AGAAGGAAGGAA >mm10_chr7:30737698-30737709(+)::chr7:30737697-30737709(+) GGAAGGAAGATG >mm10_chr7:30747948-30747959(+)::chr7:30747947-30747959(+) TTCAGGAAGTGT >mm10_chr7:30747968-30747979(+)::chr7:30747967-30747979(+) AAAAGCAAGTGA >mm10_chr7:30760192-30760203(-)::chr7:30760191-30760203(-) AGCAGGAAGATA >mm10_chr7:30761949-30761960(+)::chr7:30761948-30761960(+) ACCAGGAAGTGA >mm10_chr7:30776211-30776222(-)::chr7:30776210-30776222(-) GACAGGAAGAAA >mm10_chr7:30776243-30776254(-)::chr7:30776242-30776254(-) AGAGGGAAGGGA >mm10_chr7:30782948-30782959(+)::chr7:30782947-30782959(+) ATCAGGAAGTCT >mm10_chr7:30792432-30792443(-)::chr7:30792431-30792443(-) GGGAGGAAGTTT >mm10_chr7:30811073-30811084(-)::chr7:30811072-30811084(-) AGAAGGAAATGC >mm10_chr7:30820086-30820097(+)::chr7:30820085-30820097(+) TCAAGGAACTGT >mm10_chr7:30858219-30858230(+)::chr7:30858218-30858230(+) aggaggaagaag >mm10_chr7:30869365-30869376(+)::chr7:30869364-30869376(+) AGGAGGAAGGCA >mm10_chr7:30869437-30869448(+)::chr7:30869436-30869448(+) AGAAGGAAGAGG >mm10_chr7:30869444-30869455(+)::chr7:30869443-30869455(+) AGAGGGAAGGGA >mm10_chr7:30872751-30872762(-)::chr7:30872750-30872762(-) ACCAGGAAGCTA >mm10_chr7:30873199-30873210(-)::chr7:30873198-30873210(-) AGAGGGAAATAC >mm10_chr7:30880323-30880334(-)::chr7:30880322-30880334(-) GAGAGGAAGGGG >mm10_chr7:30892753-30892764(-)::chr7:30892752-30892764(-) ataaggaaacag >mm10_chr7:30892970-30892981(-)::chr7:30892969-30892981(-) gggaggaaggat >mm10_chr7:31037046-31037057(-)::chr7:31037045-31037057(-) GGAAGGAAGTAG >mm10_chr7:31037050-31037061(-)::chr7:31037049-31037061(-) ATCAGGAAGGAA >mm10_chr7:31073081-31073092(+)::chr7:31073080-31073092(+) GTGAGGAAGGAG >mm10_chr7:31073111-31073122(+)::chr7:31073110-31073122(+) AGGAGGAAGAAC >mm10_chr7:31085150-31085161(+)::chr7:31085149-31085161(+) GGAAGGAAGGGA >mm10_chr7:31085320-31085331(-)::chr7:31085319-31085331(-) TCCAGGAAGGGA >mm10_chr7:31087644-31087655(-)::chr7:31087643-31087655(-) TGGAGGAAGAGG >mm10_chr7:31156000-31156011(+)::chr7:31155999-31156011(+) GGAAGAAAGTAT >mm10_chr7:31156665-31156676(-)::chr7:31156664-31156676(-) ttaaggaagaaa >mm10_chr7:31174677-31174688(+)::chr7:31174676-31174688(+) gagaggaagtta >mm10_chr7:31178978-31178989(-)::chr7:31178977-31178989(-) tggaggaagtgt >mm10_chr7:31212772-31212783(-)::chr7:31212771-31212783(-) ctcaggaagtcc >mm10_chr7:31450697-31450708(+)::chr7:31450696-31450708(+) aaaaggaagtag >mm10_chr7:33897359-33897370(+)::chr7:33897358-33897370(+) aacaggaaattc >mm10_chr7:34087145-34087156(-)::chr7:34087144-34087156(-) ACCAGGAAGCAG >mm10_chr7:34104424-34104435(-)::chr7:34104423-34104435(-) tggaggaagttg >mm10_chr7:34104456-34104467(+)::chr7:34104455-34104467(+) tgcaggaagttc >mm10_chr7:34104472-34104483(+)::chr7:34104471-34104483(+) agcaggaaggta >mm10_chr7:34119137-34119148(+)::chr7:34119136-34119148(+) ACCAGGAAGTCC >mm10_chr7:34123304-34123315(+)::chr7:34123303-34123315(+) atGAGGAAGAAG >mm10_chr7:34123311-34123322(+)::chr7:34123310-34123322(+) AGAAGGAACTCT >mm10_chr7:34145635-34145646(-)::chr7:34145634-34145646(-) agcaggaaggtc >mm10_chr7:34145642-34145653(-)::chr7:34145641-34145653(-) gaaaggaagcag >mm10_chr7:34168564-34168575(+)::chr7:34168563-34168575(+) AGCCGGAAGTCG >mm10_chr7:34183577-34183588(-)::chr7:34183576-34183588(-) GCCAGGAAGTCT >mm10_chr7:34189523-34189534(-)::chr7:34189522-34189534(-) AAGAGGAAGTTT >mm10_chr7:34207008-34207019(-)::chr7:34207007-34207019(-) agcaggaagcac >mm10_chr7:34207417-34207428(+)::chr7:34207416-34207428(+) AAGAGGAAGACA >mm10_chr7:34220631-34220642(+)::chr7:34220630-34220642(+) AGAGGGAAGTCT >mm10_chr7:34234108-34234119(-)::chr7:34234107-34234119(-) AGCAGGAAGGCG >mm10_chr7:34265146-34265157(+)::chr7:34265145-34265157(+) ATGAGGAAGAGC >mm10_chr7:34265165-34265176(-)::chr7:34265164-34265176(-) aaaCGGAAGGAG >mm10_chr7:34266671-34266682(+)::chr7:34266670-34266682(+) CCAAGGAAGAAA >mm10_chr7:34266686-34266697(-)::chr7:34266685-34266697(-) AGTAGGAAGTGA >mm10_chr7:34272691-34272702(-)::chr7:34272690-34272702(-) GACAGGAAGTGC >mm10_chr7:34290602-34290613(-)::chr7:34290601-34290613(-) AGAGGGAAGTGT >mm10_chr7:34291443-34291454(-)::chr7:34291442-34291454(-) TCTAGGAAGTGG >mm10_chr7:34327776-34327787(+)::chr7:34327775-34327787(+) gtaaggaagggt >mm10_chr7:34335457-34335468(-)::chr7:34335456-34335468(-) TACAGGAAGCta >mm10_chr7:34355055-34355066(-)::chr7:34355054-34355066(-) AGCAGGAAGTTC >mm10_chr7:34522398-34522409(+)::chr7:34522397-34522409(+) AACAGGAAGGGA >mm10_chr7:34522435-34522446(+)::chr7:34522434-34522446(+) TGGCGGAAGTGA >mm10_chr7:34571509-34571520(+)::chr7:34571508-34571520(+) GTAAGGAAGCTG >mm10_chr7:34638433-34638444(+)::chr7:34638432-34638444(+) aggaggaagagg >mm10_chr7:34660817-34660828(-)::chr7:34660816-34660828(-) agaaggaagaag >mm10_chr7:34660829-34660840(-)::chr7:34660828-34660840(-) aggaggaagagg >mm10_chr7:34660838-34660849(-)::chr7:34660837-34660849(-) agaaggaagagg >mm10_chr7:34660859-34660870(-)::chr7:34660858-34660870(-) aggaggaagaag >mm10_chr7:34663237-34663248(+)::chr7:34663236-34663248(+) AAAGGGAAGTTT >mm10_chr7:34669386-34669397(-)::chr7:34669385-34669397(-) AGGGGGAAGTCG >mm10_chr7:34721397-34721408(-)::chr7:34721396-34721408(-) GGAAGGAAGGTA >mm10_chr7:34721401-34721412(-)::chr7:34721400-34721412(-) GGGAGGAAGGAA >mm10_chr7:34737626-34737637(+)::chr7:34737625-34737637(+) ACAAGGATGTGT >mm10_chr7:34737653-34737664(+)::chr7:34737652-34737664(+) TAGAGGAAATAT >mm10_chr7:34783694-34783705(+)::chr7:34783693-34783705(+) Tggaggaagagg >mm10_chr7:34783736-34783747(+)::chr7:34783735-34783747(+) aggaggaagaca >mm10_chr7:34806859-34806870(+)::chr7:34806858-34806870(+) ACTAGGAAGTTA >mm10_chr7:34912827-34912838(-)::chr7:34912826-34912838(-) TTGAGGAAGCGC >mm10_chr7:35001295-35001306(-)::chr7:35001294-35001306(-) AGAAGGAAGGAC >mm10_chr7:35025212-35025223(-)::chr7:35025211-35025223(-) AACAGGAAGAGA >mm10_chr7:35043266-35043277(-)::chr7:35043265-35043277(-) TAGAGGAAGGGA >mm10_chr7:35064754-35064765(+)::chr7:35064753-35064765(+) CACAGGAAGTCC >mm10_chr7:35069131-35069142(+)::chr7:35069130-35069142(+) ACAAGGAAAACA >mm10_chr7:35107561-35107572(+)::chr7:35107560-35107572(+) agaaggaggtgc >mm10_chr7:35107591-35107602(+)::chr7:35107590-35107602(+) atgaggaaatga >mm10_chr7:35107762-35107773(+)::chr7:35107761-35107773(+) GGCAGGAAGTGC >mm10_chr7:35116789-35116800(-)::chr7:35116788-35116800(-) ATAAGGAAGAAA >mm10_chr7:35116809-35116820(-)::chr7:35116808-35116820(-) GGCAGGAAGTCT >mm10_chr7:35116824-35116835(+)::chr7:35116823-35116835(+) TTCAGGAAATAT >mm10_chr7:35117331-35117342(-)::chr7:35117330-35117342(-) TGAAGGAAGCTG >mm10_chr7:35144547-35144558(+)::chr7:35144546-35144558(+) AAGAGGAAGTGA >mm10_chr7:35145302-35145313(+)::chr7:35145301-35145313(+) ACCAGGAAATCC >mm10_chr7:35169566-35169577(-)::chr7:35169565-35169577(-) AGGAGGAAATCC >mm10_chr7:35301122-35301133(-)::chr7:35301121-35301133(-) ATAAGGAAGAAA >mm10_chr7:35313991-35314002(+)::chr7:35313990-35314002(+) CTAAGGAAGAGT >mm10_chr7:35314019-35314030(-)::chr7:35314018-35314030(-) GGAAGGAAGAAG >mm10_chr7:35335335-35335346(+)::chr7:35335334-35335346(+) ATAGGGAAGTGA >mm10_chr7:35341556-35341567(-)::chr7:35341555-35341567(-) AGGAGGAAGCGT >mm10_chr7:35346367-35346378(+)::chr7:35346366-35346378(+) TATAGGAAGAGG >mm10_chr7:35374276-35374287(+)::chr7:35374275-35374287(+) TGGAGGAAGGAA >mm10_chr7:35374280-35374291(+)::chr7:35374279-35374291(+) GGAAGGAAGAGG >mm10_chr7:35374313-35374324(-)::chr7:35374312-35374324(-) AGAAGGATGTGT >mm10_chr7:35386765-35386776(+)::chr7:35386764-35386776(+) TACAGGAAGTGG >mm10_chr7:35396802-35396813(-)::chr7:35396801-35396813(-) ACCCGGAAGTGG >mm10_chr7:35448655-35448666(+)::chr7:35448654-35448666(+) TGAAGGAAATTC >mm10_chr7:35448690-35448701(+)::chr7:35448689-35448701(+) GAAGGGAAGTTG >mm10_chr7:35509193-35509204(+)::chr7:35509192-35509204(+) TGGAGGAAGTGG >mm10_chr7:35514857-35514868(+)::chr7:35514856-35514868(+) ATGAGGAAGGTT >mm10_chr7:35514875-35514886(+)::chr7:35514874-35514886(+) TGGAGGAAGGAC >mm10_chr7:35554878-35554889(+)::chr7:35554877-35554889(+) cgaaggaaacgg >mm10_chr7:35554899-35554910(+)::chr7:35554898-35554910(+) aacaggaagaga >mm10_chr7:35554914-35554925(+)::chr7:35554913-35554925(+) agaaggaagaag >mm10_chr7:35554921-35554932(+)::chr7:35554920-35554932(+) agaagaaaGTTA >mm10_chr7:35560671-35560682(+)::chr7:35560670-35560682(+) AGCAGGAAGTGC >mm10_chr7:35560732-35560743(+)::chr7:35560731-35560743(+) ACCAGGAAGCAG >mm10_chr7:35680573-35680584(-)::chr7:35680572-35680584(-) agaaggaaagga >mm10_chr7:35754561-35754572(+)::chr7:35754560-35754572(+) GGAAGGAAGAGG >mm10_chr7:35802756-35802767(+)::chr7:35802755-35802767(+) AGGAGGAAGCGG >mm10_chr7:35907200-35907211(+)::chr7:35907199-35907211(+) ATAAGGAAAGAG >mm10_chr7:35907229-35907240(+)::chr7:35907228-35907240(+) AGAGGGAAGGCG >mm10_chr7:35907243-35907254(+)::chr7:35907242-35907254(+) AGTAGGAAGGAG >mm10_chr7:35907250-35907261(+)::chr7:35907249-35907261(+) AGGAGGAAGGAG >mm10_chr7:36699020-36699031(-)::chr7:36699019-36699031(-) AGAAGGAAAAAA >mm10_chr7:37011231-37011242(-)::chr7:37011230-37011242(-) GCAAGGAAATGA >mm10_chr7:37011258-37011269(-)::chr7:37011257-37011269(-) AACAGGAAGAAC >mm10_chr7:37046865-37046876(-)::chr7:37046864-37046876(-) GAAAGGAAGTTG >mm10_chr7:37046873-37046884(-)::chr7:37046872-37046884(-) TAAAGGAAGAAA >mm10_chr7:37293098-37293109(+)::chr7:37293097-37293109(+) AAAATGAAGTCA >mm10_chr7:37293119-37293130(-)::chr7:37293118-37293130(-) TGAAGGAAGACC >mm10_chr7:37319359-37319370(+)::chr7:37319358-37319370(+) CAAAGGAAGTAT >mm10_chr7:37601701-37601712(+)::chr7:37601700-37601712(+) AGGAGGAAATGA >mm10_chr7:37690228-37690239(+)::chr7:37690227-37690239(+) AACAGGAAGCCC >mm10_chr7:37988058-37988069(-)::chr7:37988057-37988069(-) TGAAGGAAGCTG >mm10_chr7:38009116-38009127(+)::chr7:38009115-38009127(+) TGCAGGAAGAAC >mm10_chr7:38087138-38087149(+)::chr7:38087137-38087149(+) AGAGGGAAGTTC >mm10_chr7:38159663-38159674(-)::chr7:38159662-38159674(-) CAGAGGAAGTGC >mm10_chr7:38186161-38186172(+)::chr7:38186160-38186172(+) ACTAGGAAGTGC >mm10_chr7:38186213-38186224(+)::chr7:38186212-38186224(+) GAAAGGAAGGTA >mm10_chr7:38188048-38188059(-)::chr7:38188047-38188059(-) GGAAGGAAGCAG >mm10_chr7:38189236-38189247(-)::chr7:38189235-38189247(-) GGAAGGAAGTTG >mm10_chr7:38189240-38189251(-)::chr7:38189239-38189251(-) TCAAGGAAGGAA >mm10_chr7:38189648-38189659(-)::chr7:38189647-38189659(-) GAAGGGAAGTAC >mm10_chr7:38189715-38189726(+)::chr7:38189714-38189726(+) AAACGGAAGAAC >mm10_chr7:38212769-38212780(+)::chr7:38212768-38212780(+) TGCAGGAAGGAC >mm10_chr7:38219697-38219708(-)::chr7:38219696-38219708(-) GTGAGGAAGGAG >mm10_chr7:38227221-38227232(-)::chr7:38227220-38227232(-) CCAAGGAAGGAG >mm10_chr7:38230475-38230486(+)::chr7:38230474-38230486(+) CCAAGGAAGAGA >mm10_chr7:38233093-38233104(-)::chr7:38233092-38233104(-) GGAAGGATGTGC >mm10_chr7:38248375-38248386(+)::chr7:38248374-38248386(+) atgaggaagggg >mm10_chr7:38251747-38251758(+)::chr7:38251746-38251758(+) ATGAGGAAGGGA >mm10_chr7:39479138-39479149(+)::chr7:39479137-39479149(+) GGGAGGAAATGG >mm10_chr7:39552378-39552389(-)::chr7:39552377-39552389(-) GGAAGGAAGCCC >mm10_chr7:39655985-39655996(+)::chr7:39655984-39655996(+) AGGAGGAAGAAG >mm10_chr7:39658808-39658819(+)::chr7:39658807-39658819(+) gccaggaagagg >mm10_chr7:39857882-39857893(+)::chr7:39857881-39857893(+) GTAAGGATGTTT >mm10_chr7:41388195-41388206(-)::chr7:41388194-41388206(-) acaaggaaacaa >mm10_chr7:41393748-41393759(-)::chr7:41393747-41393759(-) AAAGGGAAGTGC >mm10_chr7:41393757-41393768(-)::chr7:41393756-41393768(-) CGGAGGAAGAAA >mm10_chr7:41609937-41609948(-)::chr7:41609936-41609948(-) tgaaggaagaaa >mm10_chr7:43346163-43346174(+)::chr7:43346162-43346174(+) ttgaggaagttt >mm10_chr7:43346211-43346222(+)::chr7:43346210-43346222(+) tgaaggaaggtc >mm10_chr7:43346247-43346258(-)::chr7:43346246-43346258(-) TGGAGGAAGTAA >mm10_chr7:43443750-43443761(+)::chr7:43443749-43443761(+) TGAAGGAAGGCC >mm10_chr7:43562248-43562259(+)::chr7:43562247-43562259(+) AAACGGAAGTGG >mm10_chr7:43671159-43671170(+)::chr7:43671158-43671170(+) ttaaggaagtag >mm10_chr7:43671214-43671225(+)::chr7:43671213-43671225(+) accaggaagccg >mm10_chr7:43671718-43671729(+)::chr7:43671717-43671729(+) ACTAGGAAATTA >mm10_chr7:43671766-43671777(-)::chr7:43671765-43671777(-) GAAGGGAAGTTG >mm10_chr7:43715933-43715944(-)::chr7:43715932-43715944(-) AGAAGGAAGCAA >mm10_chr7:43747926-43747937(-)::chr7:43747925-43747937(-) AACAGGAAGTGG >mm10_chr7:43814918-43814929(+)::chr7:43814917-43814929(+) ACCAGGAAGTTC >mm10_chr7:44012287-44012298(-)::chr7:44012286-44012298(-) CCAAGGAAGTAG >mm10_chr7:44012323-44012334(-)::chr7:44012322-44012334(-) CTAAGGAAGTTC >mm10_chr7:44246513-44246524(+)::chr7:44246512-44246524(+) TGACGGAAGTAA >mm10_chr7:44246522-44246533(+)::chr7:44246521-44246533(+) TAACGGAAGAAC >mm10_chr7:44301886-44301897(+)::chr7:44301885-44301897(+) ATGAGGAAGTCT >mm10_chr7:44326690-44326701(+)::chr7:44326689-44326701(+) CCAAGGAAGTGG >mm10_chr7:44326743-44326754(+)::chr7:44326742-44326754(+) AGGAGGAAGCTA >mm10_chr7:44374602-44374613(-)::chr7:44374601-44374613(-) AGACGGAAGTAG >mm10_chr7:44374617-44374628(-)::chr7:44374616-44374628(-) GACAGGAAGAGA >mm10_chr7:44404996-44405007(+)::chr7:44404995-44405007(+) gcagggaagtct >mm10_chr7:44405070-44405081(-)::chr7:44405069-44405081(-) ccaaggaaataa >mm10_chr7:44424036-44424047(+)::chr7:44424035-44424047(+) gtcaggaagtag >mm10_chr7:44453509-44453520(-)::chr7:44453508-44453520(-) ACTAGGAAGTAC >mm10_chr7:44453570-44453581(+)::chr7:44453569-44453581(+) agaaggaaggaa >mm10_chr7:44453574-44453585(+)::chr7:44453573-44453585(+) ggaaggaaggga >mm10_chr7:44475306-44475317(-)::chr7:44475305-44475317(-) AGAGGGAAGTGT >mm10_chr7:44475334-44475345(-)::chr7:44475333-44475345(-) AGTAGGAAGCAA >mm10_chr7:44475903-44475914(-)::chr7:44475902-44475914(-) agaaggaaatgg >mm10_chr7:44475936-44475947(-)::chr7:44475935-44475947(-) aggaggaaggag >mm10_chr7:44475951-44475962(-)::chr7:44475950-44475962(-) aggaggaaataa >mm10_chr7:44475973-44475984(-)::chr7:44475972-44475984(-) aggaggaagaga >mm10_chr7:44553954-44553965(+)::chr7:44553953-44553965(+) TTCCGGAAGTAG >mm10_chr7:44662588-44662599(+)::chr7:44662587-44662599(+) AAAGGGAAGCGG >mm10_chr7:44671066-44671077(+)::chr7:44671065-44671077(+) AGCAGGAAGCAC >mm10_chr7:44675395-44675406(+)::chr7:44675394-44675406(+) accaggaagtgg >mm10_chr7:44721944-44721955(+)::chr7:44721943-44721955(+) AACAGGAAATGG >mm10_chr7:44721959-44721970(+)::chr7:44721958-44721970(+) AGGAGGAAGTTG >mm10_chr7:44722259-44722270(-)::chr7:44722258-44722270(-) acaaggaaatcg >mm10_chr7:44748540-44748551(+)::chr7:44748539-44748551(+) TAGAGGAAATAG >mm10_chr7:44836127-44836138(+)::chr7:44836126-44836138(+) AGCAGGAAGAAG >mm10_chr7:44836153-44836164(-)::chr7:44836152-44836164(-) AGGAGGAAGTAA >mm10_chr7:44849928-44849939(+)::chr7:44849927-44849939(+) ACACGGAAATGA >mm10_chr7:44916426-44916437(-)::chr7:44916425-44916437(-) ACAAGGAACTGG >mm10_chr7:44929876-44929887(-)::chr7:44929875-44929887(-) GGGCGGAAGTAT >mm10_chr7:44929935-44929946(+)::chr7:44929934-44929946(+) AGAAGGAAGGAG >mm10_chr7:44949925-44949936(+)::chr7:44949924-44949936(+) aaaaggaagcag >mm10_chr7:44949949-44949960(+)::chr7:44949948-44949960(+) aggaggaagagg >mm10_chr7:44949955-44949966(+)::chr7:44949954-44949966(+) aagaggaagggg >mm10_chr7:44952844-44952855(+)::chr7:44952843-44952855(+) TGAAGGAAATTG >mm10_chr7:44958548-44958559(+)::chr7:44958547-44958559(+) aggaggaaggag >mm10_chr7:44958566-44958577(+)::chr7:44958565-44958577(+) aagaggaagAGA >mm10_chr7:44986829-44986840(+)::chr7:44986828-44986840(+) ATAAGGAAGCAG >mm10_chr7:44997916-44997927(+)::chr7:44997915-44997927(+) ACGCGGAAGAGC >mm10_chr7:45017423-45017434(-)::chr7:45017422-45017434(-) AAACGGAAGATA >mm10_chr7:45019457-45019468(+)::chr7:45019456-45019468(+) gagaggaaggga >mm10_chr7:45062437-45062448(+)::chr7:45062436-45062448(+) GGGCGGAAGTAG >mm10_chr7:45081316-45081327(-)::chr7:45081315-45081327(-) tccgggaagttg >mm10_chr7:45094566-45094577(+)::chr7:45094565-45094577(+) aggaggaagggg >mm10_chr7:45094583-45094594(+)::chr7:45094582-45094594(+) gggaggaagtag >mm10_chr7:45150503-45150514(-)::chr7:45150502-45150514(-) AGGAGGAAGAGA >mm10_chr7:45150516-45150527(-)::chr7:45150515-45150527(-) AGCAGGAAATGT >mm10_chr7:45158675-45158686(+)::chr7:45158674-45158686(+) AACAGGAAGGAA >mm10_chr7:45182246-45182257(+)::chr7:45182245-45182257(+) ATAAGGAAACTA >mm10_chr7:45210096-45210107(+)::chr7:45210095-45210107(+) GCAAGGAAGGTC >mm10_chr7:45219940-45219951(-)::chr7:45219939-45219951(-) GACAGGAAGGGG >mm10_chr7:45262574-45262585(+)::chr7:45262573-45262585(+) acagggaagtga >mm10_chr7:45277362-45277373(+)::chr7:45277361-45277373(+) AGAGGGAAGTAG >mm10_chr7:45277374-45277385(-)::chr7:45277373-45277385(-) CGCGGGAAGCGG >mm10_chr7:45277539-45277550(-)::chr7:45277538-45277550(-) GGAAGGAAACGG >mm10_chr7:45277543-45277554(-)::chr7:45277542-45277554(-) GCCAGGAAGGAA >mm10_chr7:45305008-45305019(+)::chr7:45305007-45305019(+) AACAGGAAGTTC >mm10_chr7:45305057-45305068(-)::chr7:45305056-45305068(-) CTAAGGAAGCAG >mm10_chr7:45313374-45313385(-)::chr7:45313373-45313385(-) CCAAGGAAATTA >mm10_chr7:45333739-45333750(-)::chr7:45333738-45333750(-) TGGAGGAAATAC >mm10_chr7:45395955-45395966(-)::chr7:45395954-45395966(-) TCCGGGAAGTGT >mm10_chr7:45434590-45434601(-)::chr7:45434589-45434601(-) AGAGGGAAGGGA >mm10_chr7:45458287-45458298(+)::chr7:45458286-45458298(+) TAAAGGAAGGAA >mm10_chr7:45458291-45458302(+)::chr7:45458290-45458302(+) GGAAGGAAGAGA >mm10_chr7:45466556-45466567(+)::chr7:45466555-45466567(+) AGCAGGAAGTGG >mm10_chr7:45505849-45505860(+)::chr7:45505848-45505860(+) aagaggaagaca >mm10_chr7:45507768-45507779(+)::chr7:45507767-45507779(+) ataaggaagtta >mm10_chr7:45510558-45510569(-)::chr7:45510557-45510569(-) CGCAGGAAATGT >mm10_chr7:45567947-45567958(-)::chr7:45567946-45567958(-) AAGCGGAAGTGT >mm10_chr7:45572108-45572119(-)::chr7:45572107-45572119(-) TACAGGAAGTAG >mm10_chr7:45572142-45572153(-)::chr7:45572141-45572153(-) AGAAGGAAGCTA >mm10_chr7:45682832-45682843(-)::chr7:45682831-45682843(-) ACCAGGAAGTGT >mm10_chr7:45707722-45707733(-)::chr7:45707721-45707733(-) GCTAGGAAGGCG >mm10_chr7:45715343-45715354(+)::chr7:45715342-45715354(+) CGACGGAAATCG >mm10_chr7:45715416-45715427(+)::chr7:45715415-45715427(+) GAAAGGACGTGG >mm10_chr7:45741994-45742005(-)::chr7:45741993-45742005(-) aggaggaagggg >mm10_chr7:45742017-45742028(-)::chr7:45742016-45742028(-) gggaggaaggga >mm10_chr7:45788366-45788377(+)::chr7:45788365-45788377(+) TGAAGGTAGTGA >mm10_chr7:45830819-45830830(-)::chr7:45830818-45830830(-) ACCCGGAAGTGA >mm10_chr7:45874135-45874146(-)::chr7:45874134-45874146(-) ACGAGGAACTCC >mm10_chr7:45893081-45893092(+)::chr7:45893080-45893092(+) ACCAGGAAGTGG >mm10_chr7:45893122-45893133(+)::chr7:45893121-45893133(+) ACAAGGAAGAAA >mm10_chr7:45916280-45916291(-)::chr7:45916279-45916291(-) AGCAGGAAGGGC >mm10_chr7:45923170-45923181(-)::chr7:45923169-45923181(-) AGCCGGAAGTGG >mm10_chr7:45955936-45955947(+)::chr7:45955935-45955947(+) tgaaggaattag >mm10_chr7:46013489-46013500(-)::chr7:46013488-46013500(-) GAAAGGAACTAT >mm10_chr7:46033752-46033763(+)::chr7:46033751-46033763(+) AGAAGGAAGGAG >mm10_chr7:46271814-46271825(+)::chr7:46271813-46271825(+) accaggaAATAG >mm10_chr7:46390698-46390709(+)::chr7:46390697-46390709(+) AAGGGGAAGTAC >mm10_chr7:46445858-46445869(-)::chr7:46445857-46445869(-) TCAAGGAAGGGG >mm10_chr7:46504401-46504412(+)::chr7:46504400-46504412(+) GTCAGGAAGTCA >mm10_chr7:46523502-46523513(-)::chr7:46523501-46523513(-) aagaggaagcag >mm10_chr7:46523508-46523519(-)::chr7:46523507-46523519(-) ggcaggaagagg >mm10_chr7:46531520-46531531(+)::chr7:46531519-46531531(+) ACGAGGAAATCC >mm10_chr7:46546680-46546691(-)::chr7:46546679-46546691(-) atgaggaactat >mm10_chr7:46553809-46553820(+)::chr7:46553808-46553820(+) TAGAGGAAGTGC >mm10_chr7:46603320-46603331(+)::chr7:46603319-46603331(+) ATAATGAAGTTT >mm10_chr7:46606650-46606661(-)::chr7:46606649-46606661(-) TGAAGGAAGAGC >mm10_chr7:46615711-46615722(+)::chr7:46615710-46615722(+) agcaggaagcaa >mm10_chr7:46619851-46619862(+)::chr7:46619850-46619862(+) aagaggaagagg >mm10_chr7:46619857-46619868(+)::chr7:46619856-46619868(+) aagaggaaggaa >mm10_chr7:46631756-46631767(-)::chr7:46631755-46631767(-) aaaaggaagtct >mm10_chr7:46717202-46717213(-)::chr7:46717201-46717213(-) CCTAGGAAGTCA >mm10_chr7:46743252-46743263(+)::chr7:46743251-46743263(+) AAGAGGAAGACA >mm10_chr7:46795783-46795794(-)::chr7:46795782-46795794(-) ACGAGGAAGTGC >mm10_chr7:46796529-46796540(+)::chr7:46796528-46796540(+) CGAAGGAAGTGA >mm10_chr7:46827494-46827505(+)::chr7:46827493-46827505(+) CCCGGGAAGTAA >mm10_chr7:46827503-46827514(-)::chr7:46827502-46827514(-) CGCAGGAAGTTA >mm10_chr7:46838142-46838153(+)::chr7:46838141-46838153(+) AAGAGGAAGAGA >mm10_chr7:46838165-46838176(+)::chr7:46838164-46838176(+) GGAAGGAAGCAG >mm10_chr7:46838906-46838917(+)::chr7:46838905-46838917(+) ACAAGGAAGCCC >mm10_chr7:46838942-46838953(+)::chr7:46838941-46838953(+) AGCAGGAAGGCA >mm10_chr7:46846351-46846362(+)::chr7:46846350-46846362(+) GAGAGGAAGCGG >mm10_chr7:46846392-46846403(+)::chr7:46846391-46846403(+) AGACGGAAGGAG >mm10_chr7:46881188-46881199(+)::chr7:46881187-46881199(+) TTAAGGAAGTGG >mm10_chr7:46900317-46900328(+)::chr7:46900316-46900328(+) ACAAGGAAGTTT >mm10_chr7:46900340-46900351(+)::chr7:46900339-46900351(+) ACGAGGTAGTGC >mm10_chr7:46917972-46917983(-)::chr7:46917971-46917983(-) agaaggaaaaac >mm10_chr7:46919958-46919969(+)::chr7:46919957-46919969(+) AGCAGGAAGCCG >mm10_chr7:46919983-46919994(-)::chr7:46919982-46919994(-) CGCGGGAAGCGG >mm10_chr7:46935045-46935056(+)::chr7:46935044-46935056(+) GGAAGGAAGCAG >mm10_chr7:46935052-46935063(+)::chr7:46935051-46935063(+) AGCAGGAAATAG >mm10_chr7:46961068-46961079(-)::chr7:46961067-46961079(-) acagggaagtta >mm10_chr7:46961111-46961122(+)::chr7:46961110-46961122(+) aaaaggaaggct >mm10_chr7:47008411-47008422(-)::chr7:47008410-47008422(-) AAGCGGAAGTTG >mm10_chr7:47014797-47014808(+)::chr7:47014796-47014808(+) AAGAGGAAGTCT >mm10_chr7:47023674-47023685(+)::chr7:47023673-47023685(+) ATCAGGAAATGA >mm10_chr7:47023707-47023718(-)::chr7:47023706-47023718(-) GCCAGGAAGTTC >mm10_chr7:47310108-47310119(+)::chr7:47310107-47310119(+) AGGAGGAAGAGG >mm10_chr7:48137840-48137851(-)::chr7:48137839-48137851(-) ccAGGGAAGTAA >mm10_chr7:48762414-48762425(-)::chr7:48762413-48762425(-) ACCAGGAAGGAT >mm10_chr7:48775610-48775621(+)::chr7:48775609-48775621(+) GAGAGGAAGTTC >mm10_chr7:48789018-48789029(+)::chr7:48789017-48789029(+) AGCCGGAAGTGG >mm10_chr7:48951250-48951261(+)::chr7:48951249-48951261(+) GACAGGAAGAAA >mm10_chr7:49093707-49093718(+)::chr7:49093706-49093718(+) ACCAGGAAGGAA >mm10_chr7:49093711-49093722(+)::chr7:49093710-49093722(+) GGAAGGAAGCAG >mm10_chr7:49178354-49178365(+)::chr7:49178353-49178365(+) GACAGGAAGTGA >mm10_chr7:49213136-49213147(-)::chr7:49213135-49213147(-) GGCAGGAAGAGG >mm10_chr7:49248009-49248020(+)::chr7:49248008-49248020(+) TTAAGGAAATCC >mm10_chr7:49294123-49294134(-)::chr7:49294122-49294134(-) TCAAGGAAATAC >mm10_chr7:49297317-49297328(+)::chr7:49297316-49297328(+) AGGAGGAAGTTG >mm10_chr7:49318447-49318458(-)::chr7:49318446-49318458(-) AGAAGGAAGTTA >mm10_chr7:49407293-49407304(-)::chr7:49407292-49407304(-) GGAAGGAAGGGC >mm10_chr7:49407297-49407308(-)::chr7:49407296-49407308(-) ACAAGGAAGGAA >mm10_chr7:49430734-49430745(-)::chr7:49430733-49430745(-) TCAAGGAAGCTG >mm10_chr7:49430767-49430778(-)::chr7:49430766-49430778(-) AAAAGCAAGTGT >mm10_chr7:49446536-49446547(-)::chr7:49446535-49446547(-) CCAAGGAAGAGA >mm10_chr7:49446610-49446621(+)::chr7:49446609-49446621(+) AACAGGAAGATC >mm10_chr7:49487543-49487554(-)::chr7:49487542-49487554(-) GGAAGGAAGGGG >mm10_chr7:49487576-49487587(-)::chr7:49487575-49487587(-) AGCAGGAAGGGG >mm10_chr7:49496964-49496975(-)::chr7:49496963-49496975(-) agaaggaagaag >mm10_chr7:49522079-49522090(-)::chr7:49522078-49522090(-) AGAAGGAAACAA >mm10_chr7:49533833-49533844(-)::chr7:49533832-49533844(-) TCAGGGAAGTGC >mm10_chr7:49549485-49549496(+)::chr7:49549484-49549496(+) TCAAGGTAGTAA >mm10_chr7:49755145-49755156(-)::chr7:49755144-49755156(-) AGAAGGAAATTT >mm10_chr7:49759606-49759617(+)::chr7:49759605-49759617(+) ACAAGGAAGCAC >mm10_chr7:49759702-49759713(+)::chr7:49759701-49759713(+) TAAAGGAAATCC >mm10_chr7:49795596-49795607(-)::chr7:49795595-49795607(-) tacaggaagata >mm10_chr7:49809869-49809880(+)::chr7:49809868-49809880(+) CAGAGGAAGTAC >mm10_chr7:49809881-49809892(+)::chr7:49809880-49809892(+) ACAAGGAATTTA >mm10_chr7:49843143-49843154(-)::chr7:49843142-49843154(-) AGAAGGAAATTA >mm10_chr7:49843150-49843161(-)::chr7:49843149-49843161(-) ATGAGGAAGAAG >mm10_chr7:49867140-49867151(-)::chr7:49867139-49867151(-) ACAAGGAAGTCC >mm10_chr7:49957279-49957290(-)::chr7:49957278-49957290(-) gccaggaagtag >mm10_chr7:50598872-50598883(+)::chr7:50598871-50598883(+) ggaaggaagttt >mm10_chr7:50721518-50721529(+)::chr7:50721517-50721529(+) cgtaggaagacc >mm10_chr7:51659400-51659411(+)::chr7:51659399-51659411(+) AGATGGAAGTAG >mm10_chr7:52006788-52006799(-)::chr7:52006787-52006799(-) AAGAGGAAGGAA >mm10_chr7:52006794-52006805(-)::chr7:52006793-52006805(-) AGAAGGAAGAGG >mm10_chr7:52460828-52460839(-)::chr7:52460827-52460839(-) GTAAGTAAGTGT >mm10_chr7:52505761-52505772(-)::chr7:52505760-52505772(-) CTAAGGAAGCAT >mm10_chr7:53817758-53817769(-)::chr7:53817757-53817769(-) TGCAGGAAGAGC >mm10_chr7:54814055-54814066(-)::chr7:54814054-54814066(-) TGCAGGAAGAGG >mm10_chr7:55190071-55190082(-)::chr7:55190070-55190082(-) AAAAGGAGGTGG >mm10_chr7:55842157-55842168(+)::chr7:55842156-55842168(+) GGGAGGAAGAGG >mm10_chr7:55842190-55842201(+)::chr7:55842189-55842201(+) CGGAGGAAGGGG >mm10_chr7:55842891-55842902(+)::chr7:55842890-55842902(+) TTAAGGAAACGG >mm10_chr7:55928852-55928863(-)::chr7:55928851-55928863(-) agtaggaaatgg >mm10_chr7:55946302-55946313(+)::chr7:55946301-55946313(+) tcaaggaaatgc >mm10_chr7:55962184-55962195(+)::chr7:55962183-55962195(+) TACAGGAAGTAG >mm10_chr7:55962317-55962328(-)::chr7:55962316-55962328(-) CGCGGGACGTCG >mm10_chr7:55962325-55962336(+)::chr7:55962324-55962336(+) CGCGGGAAGTTC >mm10_chr7:55962629-55962640(-)::chr7:55962628-55962640(-) CCCAGGAAATCG >mm10_chr7:55968736-55968747(+)::chr7:55968735-55968747(+) agcaggaagtgg >mm10_chr7:56050053-56050064(+)::chr7:56050052-56050064(+) TGAAGGAAGCGG >mm10_chr7:56050059-56050070(+)::chr7:56050058-56050070(+) AAGCGGAAGTTG >mm10_chr7:56519916-56519927(+)::chr7:56519915-56519927(+) AACAGGAAGTCA >mm10_chr7:56711853-56711864(-)::chr7:56711852-56711864(-) AAAAGGAAGCAT >mm10_chr7:56731482-56731493(-)::chr7:56731481-56731493(-) AAGAGGAAGACG >mm10_chr7:57027854-57027865(+)::chr7:57027853-57027865(+) GTGAGGAAGTGT >mm10_chr7:57027870-57027881(-)::chr7:57027869-57027881(-) GAAGGGAAGTTT >mm10_chr7:57234571-57234582(-)::chr7:57234570-57234582(-) ggaaggaagact >mm10_chr7:57234575-57234586(-)::chr7:57234574-57234586(-) tcaaggaaggaa >mm10_chr7:57287244-57287255(-)::chr7:57287243-57287255(-) CTGAGGAAGTGT >mm10_chr7:57287294-57287305(+)::chr7:57287293-57287305(+) ATGAGGAAGCAG >mm10_chr7:58399257-58399268(+)::chr7:58399256-58399268(+) AGACGGAAGCAG >mm10_chr7:58609598-58609609(+)::chr7:58609597-58609609(+) tgtaggaagtct >mm10_chr7:58640719-58640730(+)::chr7:58640718-58640730(+) AAAAGCAAGTGT >mm10_chr7:58661938-58661949(-)::chr7:58661937-58661949(-) ACAAGGAAGAGA >mm10_chr7:58692909-58692920(+)::chr7:58692908-58692920(+) GAGAGGAAGACA >mm10_chr7:58709485-58709496(+)::chr7:58709484-58709496(+) ACAAGGAAATAG >mm10_chr7:58718937-58718948(+)::chr7:58718936-58718948(+) ACCAGGAAGTGT >mm10_chr7:58737064-58737075(-)::chr7:58737063-58737075(-) GAAAGGAAGTGT >mm10_chr7:58880213-58880224(+)::chr7:58880212-58880224(+) accagtaagtag >mm10_chr7:59014801-59014812(-)::chr7:59014800-59014812(-) AGAAGGAAGTCA >mm10_chr7:59232116-59232127(-)::chr7:59232115-59232127(-) ACTAGGAagtgg >mm10_chr7:59268410-59268421(-)::chr7:59268409-59268421(-) GGAAGGAACATA >mm10_chr7:59268414-59268425(-)::chr7:59268413-59268425(-) AGTAGGAAGGAA >mm10_chr7:59268454-59268465(+)::chr7:59268453-59268465(+) AGTAGGAAGAAA >mm10_chr7:59281625-59281636(-)::chr7:59281624-59281636(-) ACAAGGAAAGAa >mm10_chr7:59281637-59281648(-)::chr7:59281636-59281648(-) GAAAGGAAGGAA >mm10_chr7:60013465-60013476(+)::chr7:60013464-60013476(+) tgaaggaaaata >mm10_chr7:62751483-62751494(-)::chr7:62751482-62751494(-) tcaagaaagtat >mm10_chr7:63166632-63166643(+)::chr7:63166631-63166643(+) ATAAGGAAAAAT >mm10_chr7:63685076-63685087(-)::chr7:63685075-63685087(-) GAAAGGAAGTGG >mm10_chr7:63755734-63755745(-)::chr7:63755733-63755745(-) AAGCGGAAGTGA >mm10_chr7:63764802-63764813(-)::chr7:63764801-63764813(-) ACCAGGAAGTAA >mm10_chr7:63774367-63774378(-)::chr7:63774366-63774378(-) accaggaagtca >mm10_chr7:63795617-63795628(+)::chr7:63795616-63795628(+) acaaggaaggag >mm10_chr7:63795630-63795641(+)::chr7:63795629-63795641(+) ccaaggaagtca >mm10_chr7:63816191-63816202(+)::chr7:63816190-63816202(+) GGCAGGAAGTCA >mm10_chr7:63816544-63816555(+)::chr7:63816543-63816555(+) GGAAGGAAGTGG >mm10_chr7:63816589-63816600(+)::chr7:63816588-63816600(+) TCCAGGAAGGAA >mm10_chr7:63821561-63821572(-)::chr7:63821560-63821572(-) AGGAGGAAGGAG >mm10_chr7:63821577-63821588(-)::chr7:63821576-63821588(-) GTAAGGAAGACT >mm10_chr7:63821958-63821969(-)::chr7:63821957-63821969(-) aagaggaagagg >mm10_chr7:63821964-63821975(-)::chr7:63821963-63821975(-) aggaggaagagg >mm10_chr7:63824304-63824315(+)::chr7:63824303-63824315(+) acagggaagttt >mm10_chr7:63824530-63824541(-)::chr7:63824529-63824541(-) TGCCGgaagtcg >mm10_chr7:63849172-63849183(-)::chr7:63849171-63849183(-) ttaaggaaggaa >mm10_chr7:63850118-63850129(-)::chr7:63850117-63850129(-) ACAAGGAAGAGC >mm10_chr7:63850137-63850148(+)::chr7:63850136-63850148(+) CTGAGGAAGTTG >mm10_chr7:63851941-63851952(+)::chr7:63851940-63851952(+) aaaaggaaggca >mm10_chr7:63859040-63859051(-)::chr7:63859039-63859051(-) GAAAGGAAGTAC >mm10_chr7:63863068-63863079(+)::chr7:63863067-63863079(+) GAAAGGAAGGGA >mm10_chr7:63908907-63908918(+)::chr7:63908906-63908918(+) AAGAGGAAGTGC >mm10_chr7:63908953-63908964(+)::chr7:63908952-63908964(+) GGAAGAAAGTGG >mm10_chr7:63914317-63914328(-)::chr7:63914316-63914328(-) AGAAGGAAGTCG >mm10_chr7:63925557-63925568(+)::chr7:63925556-63925568(+) AGGAGGAAGCCA >mm10_chr7:63925569-63925580(-)::chr7:63925568-63925580(-) TGAAGGAAGCTG >mm10_chr7:63941672-63941683(-)::chr7:63941671-63941683(-) GGAAGGAAGCTG >mm10_chr7:63941682-63941693(-)::chr7:63941681-63941693(-) AAGAGGAAGGGG >mm10_chr7:63956583-63956594(+)::chr7:63956582-63956594(+) AGAAGGAAGTAA >mm10_chr7:63999859-63999870(-)::chr7:63999858-63999870(-) ATAAGGAAGCTA >mm10_chr7:64007938-64007949(+)::chr7:64007937-64007949(+) tcaaggaagaag >mm10_chr7:64048508-64048519(-)::chr7:64048507-64048519(-) aggaggaaggag >mm10_chr7:64049888-64049899(+)::chr7:64049887-64049899(+) ACAGGGAAGCGG >mm10_chr7:64060211-64060222(-)::chr7:64060210-64060222(-) ACAAGGAAATTC >mm10_chr7:64060231-64060242(-)::chr7:64060230-64060242(-) GACAGGAAGGTA >mm10_chr7:64106514-64106525(-)::chr7:64106513-64106525(-) TCCAGGAAGAAC >mm10_chr7:64141792-64141803(-)::chr7:64141791-64141803(-) AGAGGGAAGTAG >mm10_chr7:64274416-64274427(+)::chr7:64274415-64274427(+) GGCAGGAAATGC >mm10_chr7:64274467-64274478(+)::chr7:64274466-64274478(+) ACAAGGAAGTCC >mm10_chr7:64292292-64292303(+)::chr7:64292291-64292303(+) ttaaggaagctg >mm10_chr7:64393224-64393235(-)::chr7:64393223-64393235(-) TCAAGGAACTTA >mm10_chr7:64425881-64425892(+)::chr7:64425880-64425892(+) attaggaaatag >mm10_chr7:64425888-64425899(+)::chr7:64425887-64425899(+) aataggaaatag >mm10_chr7:64438763-64438774(+)::chr7:64438762-64438774(+) AGCAGGAAGTCA >mm10_chr7:64461322-64461333(-)::chr7:64461321-64461333(-) aaaagcaagtga >mm10_chr7:64512204-64512215(+)::chr7:64512203-64512215(+) ctgaggaagtaa >mm10_chr7:64647225-64647236(+)::chr7:64647224-64647236(+) gaaaggaggtaa >mm10_chr7:64647233-64647244(+)::chr7:64647232-64647244(+) gtaaagaagtgt >mm10_chr7:64881578-64881589(-)::chr7:64881577-64881589(-) ATAAGGAAGTAG >mm10_chr7:64881634-64881645(-)::chr7:64881633-64881645(-) GATAGGAAGGAA >mm10_chr7:65073829-65073840(+)::chr7:65073828-65073840(+) TGCAGGAAGGGA >mm10_chr7:65224459-65224470(+)::chr7:65224458-65224470(+) agaaggaagagg >mm10_chr7:65224465-65224476(+)::chr7:65224464-65224476(+) aagaggaaggag >mm10_chr7:65224477-65224488(+)::chr7:65224476-65224488(+) aggaggaagaag >mm10_chr7:65224498-65224509(+)::chr7:65224497-65224509(+) aggaggaagagg >mm10_chr7:65231302-65231313(-)::chr7:65231301-65231313(-) GGAAGGATGTGG >mm10_chr7:65231306-65231317(-)::chr7:65231305-65231317(-) ATGAGGAAGGAT >mm10_chr7:65298091-65298102(-)::chr7:65298090-65298102(-) TTCAGGAAGTAG >mm10_chr7:65358924-65358935(+)::chr7:65358923-65358935(+) aggaggaagaga >mm10_chr7:65358936-65358947(+)::chr7:65358935-65358947(+) aggaggaaggag >mm10_chr7:65358949-65358960(+)::chr7:65358948-65358960(+) gggaggaaggag >mm10_chr7:65358958-65358969(+)::chr7:65358957-65358969(+) gagaggaagaaa >mm10_chr7:65358980-65358991(+)::chr7:65358979-65358991(+) aagaggaagagg >mm10_chr7:65359001-65359012(+)::chr7:65359000-65359012(+) aggaggaagagg >mm10_chr7:65360005-65360016(-)::chr7:65360004-65360016(-) gtaaggatgttt >mm10_chr7:65378885-65378896(+)::chr7:65378884-65378896(+) ACCAGGAAGCTG >mm10_chr7:65378925-65378936(+)::chr7:65378924-65378936(+) gataggaagaaa >mm10_chr7:65378941-65378952(+)::chr7:65378940-65378952(+) aggaggaagaag >mm10_chr7:65438256-65438267(+)::chr7:65438255-65438267(+) AGCAGGAAGGTG >mm10_chr7:65441835-65441846(-)::chr7:65441834-65441846(-) AGAAGGAAAAGA >mm10_chr7:65458755-65458766(+)::chr7:65458754-65458766(+) AGCAGGAAGGGC >mm10_chr7:65469695-65469706(-)::chr7:65469694-65469706(-) AAAAGGAAGTTC >mm10_chr7:65470042-65470053(+)::chr7:65470041-65470053(+) ACCAGGAAGAGT >mm10_chr7:65486578-65486589(-)::chr7:65486577-65486589(-) AGAAGGAACTCA >mm10_chr7:65594814-65594825(+)::chr7:65594813-65594825(+) GAAAGGAAGTTG >mm10_chr7:65668159-65668170(-)::chr7:65668158-65668170(-) GGCAGGAAGCAA >mm10_chr7:65668185-65668196(+)::chr7:65668184-65668196(+) ATGAGGAAGATA >mm10_chr7:65774616-65774627(-)::chr7:65774615-65774627(-) AAAAGGAATTGA >mm10_chr7:65835649-65835660(+)::chr7:65835648-65835660(+) accaggaagagg >mm10_chr7:65858889-65858900(-)::chr7:65858888-65858900(-) GCAAGGAAGTGA >mm10_chr7:65869712-65869723(-)::chr7:65869711-65869723(-) GCACGGAAGTTC >mm10_chr7:65869740-65869751(-)::chr7:65869739-65869751(-) AGAAGGAAGACA >mm10_chr7:65876474-65876485(-)::chr7:65876473-65876485(-) CAAAGGAAGCCA >mm10_chr7:65881505-65881516(-)::chr7:65881504-65881516(-) TTCAGGAAGTCA >mm10_chr7:66038886-66038897(-)::chr7:66038885-66038897(-) AGGAGGAAATGA >mm10_chr7:66106044-66106055(-)::chr7:66106043-66106055(-) GGCAGGAAATGA >mm10_chr7:66106627-66106638(+)::chr7:66106626-66106638(+) TTAAGGAAGCTT >mm10_chr7:66120919-66120930(-)::chr7:66120918-66120930(-) AGCAGGAAGAAA >mm10_chr7:66125604-66125615(+)::chr7:66125603-66125615(+) GCCGGGAAGTGC >mm10_chr7:66140318-66140329(-)::chr7:66140317-66140329(-) acaaggaagcct >mm10_chr7:66140362-66140373(-)::chr7:66140361-66140373(-) atgaggaaatgt >mm10_chr7:66174863-66174874(-)::chr7:66174862-66174874(-) AGGGGGAAGTGG >mm10_chr7:66199420-66199431(-)::chr7:66199419-66199431(-) GGAAGGAAGCTA >mm10_chr7:66200643-66200654(+)::chr7:66200642-66200654(+) gtcaggaaggaa >mm10_chr7:66200647-66200658(+)::chr7:66200646-66200658(+) ggaaggaaggtt >mm10_chr7:66244221-66244232(+)::chr7:66244220-66244232(+) TTAAGGAAGCCA >mm10_chr7:66305221-66305232(-)::chr7:66305220-66305232(-) TAGAGGAAGGAG >mm10_chr7:66314887-66314898(+)::chr7:66314886-66314898(+) ATAAGGAAGTCC >mm10_chr7:66351297-66351308(-)::chr7:66351296-66351308(-) TGCAGGAAGTAA >mm10_chr7:66388327-66388338(-)::chr7:66388326-66388338(-) TTGAGGAAGTGC >mm10_chr7:66434826-66434837(-)::chr7:66434825-66434837(-) CAGAGGAAGTGC >mm10_chr7:66516377-66516388(+)::chr7:66516376-66516388(+) TGGAGGAAGTAC >mm10_chr7:66522329-66522340(+)::chr7:66522328-66522340(+) TGAAGGAAGACG >mm10_chr7:66558777-66558788(+)::chr7:66558776-66558788(+) accaggaaggaa >mm10_chr7:66565739-66565750(-)::chr7:66565738-66565750(-) gggaggaagaga >mm10_chr7:66565756-66565767(-)::chr7:66565755-66565767(-) agaaggaaggga >mm10_chr7:66567528-66567539(-)::chr7:66567527-66567539(-) TCCAGGAAGTCT >mm10_chr7:66593805-66593816(+)::chr7:66593804-66593816(+) ATAAGGAAAACC >mm10_chr7:66593805-66593816(+)::chr7:66593804-66593816(+) ATAAGGAAAACC >mm10_chr7:66601357-66601368(-)::chr7:66601356-66601368(-) GGAAGGAAACGG >mm10_chr7:66601361-66601372(-)::chr7:66601360-66601372(-) AACAGGAAGGAA >mm10_chr7:66621744-66621755(-)::chr7:66621743-66621755(-) CCAAGGAAGAGT >mm10_chr7:66651044-66651055(+)::chr7:66651043-66651055(+) GCGAGGAAGTGC >mm10_chr7:66715367-66715378(-)::chr7:66715366-66715378(-) GAAAGGAAGCAG >mm10_chr7:66740318-66740329(-)::chr7:66740317-66740329(-) AGGAGGAAGCCG >mm10_chr7:66741180-66741191(+)::chr7:66741179-66741191(+) CCAAGGAAGACA >mm10_chr7:66743501-66743512(+)::chr7:66743500-66743512(+) AACAGGAAGCGG >mm10_chr7:66753832-66753843(-)::chr7:66753831-66753843(-) ACCAGGAAGTCC >mm10_chr7:66755369-66755380(+)::chr7:66755368-66755380(+) ACCAGGAAGAGG >mm10_chr7:66755403-66755414(-)::chr7:66755402-66755414(-) AAATGGAAGTAA >mm10_chr7:66757847-66757858(+)::chr7:66757846-66757858(+) AGGAGGAAATCC >mm10_chr7:66790508-66790519(-)::chr7:66790507-66790519(-) ACCAGGAAGTTC >mm10_chr7:66821718-66821729(+)::chr7:66821717-66821729(+) aagaggaagagg >mm10_chr7:66821724-66821735(+)::chr7:66821723-66821735(+) aagaggaagagg >mm10_chr7:66821736-66821747(+)::chr7:66821735-66821747(+) aggaggaagagg >mm10_chr7:66821742-66821753(+)::chr7:66821741-66821753(+) aagaggaagCAG >mm10_chr7:67106570-67106581(+)::chr7:67106569-67106581(+) agaaggaagaag >mm10_chr7:67106577-67106588(+)::chr7:67106576-67106588(+) agaaggaaggaa >mm10_chr7:67106581-67106592(+)::chr7:67106580-67106592(+) ggaaggaaggaa >mm10_chr7:67106585-67106596(+)::chr7:67106584-67106596(+) ggaaggaaggca >mm10_chr7:67113832-67113843(+)::chr7:67113831-67113843(+) TGGAGGAAGTCC >mm10_chr7:67178100-67178111(-)::chr7:67178099-67178111(-) tggaggaagtat >mm10_chr7:67178121-67178132(+)::chr7:67178120-67178132(+) aggaggaagtcc >mm10_chr7:67191732-67191743(-)::chr7:67191731-67191743(-) aacaggaagttg >mm10_chr7:67191995-67192006(-)::chr7:67191994-67192006(-) attaggaagtga >mm10_chr7:67205823-67205834(-)::chr7:67205822-67205834(-) AGTAGGAAGTGG >mm10_chr7:67205830-67205841(-)::chr7:67205829-67205841(-) GCAAGGAAGTAG >mm10_chr7:67212225-67212236(+)::chr7:67212224-67212236(+) agaaggaagtta >mm10_chr7:67234582-67234593(+)::chr7:67234581-67234593(+) CCAAGGAAGTGC >mm10_chr7:67242223-67242234(-)::chr7:67242222-67242234(-) TTCAGGAAGTTA >mm10_chr7:67359998-67360009(+)::chr7:67359997-67360009(+) ataaggaagaat >mm10_chr7:67372952-67372963(-)::chr7:67372951-67372963(-) GAGAGGAAGGGA >mm10_chr7:67462016-67462027(+)::chr7:67462015-67462027(+) GGCAGGAAGTGG >mm10_chr7:67472117-67472128(-)::chr7:67472116-67472128(-) TGCAGGAAGTGG >mm10_chr7:67483856-67483867(+)::chr7:67483855-67483867(+) AGCAGGAAGTTC >mm10_chr7:67486890-67486901(+)::chr7:67486889-67486901(+) aggaggaagggg >mm10_chr7:67486916-67486927(+)::chr7:67486915-67486927(+) aggaggaagagg >mm10_chr7:67486956-67486967(+)::chr7:67486955-67486967(+) aggaggaagggg >mm10_chr7:67528167-67528178(-)::chr7:67528166-67528178(-) ATGAGGAAGGCA >mm10_chr7:67562327-67562338(+)::chr7:67562326-67562338(+) CAAAGGAAGGAG >mm10_chr7:67566768-67566779(-)::chr7:67566767-67566779(-) TTAAGGAAATGG >mm10_chr7:67596588-67596599(-)::chr7:67596587-67596599(-) GGAAGGAAGTAG >mm10_chr7:67629876-67629887(+)::chr7:67629875-67629887(+) AACAGGAAGTAA >mm10_chr7:67643658-67643669(-)::chr7:67643657-67643669(-) TCAAGGAAGCTT >mm10_chr7:67645424-67645435(-)::chr7:67645423-67645435(-) TAGAGGAAGTCG >mm10_chr7:67648962-67648973(+)::chr7:67648961-67648973(+) ACCAGGAAGTTT >mm10_chr7:67666151-67666162(+)::chr7:67666150-67666162(+) ACCAGGAAGAAA >mm10_chr7:67666216-67666227(-)::chr7:67666215-67666227(-) CGGAGGAAGGGA >mm10_chr7:67669949-67669960(+)::chr7:67669948-67669960(+) ATAAGGAAGTAT >mm10_chr7:67669964-67669975(+)::chr7:67669963-67669975(+) ATGAGGAAGAAG >mm10_chr7:67775764-67775775(-)::chr7:67775763-67775775(-) ggaaggaagttg >mm10_chr7:67775768-67775779(-)::chr7:67775767-67775779(-) aggaggaaggaa >mm10_chr7:67788951-67788962(+)::chr7:67788950-67788962(+) AGCAGGAAGCTA >mm10_chr7:67836402-67836413(+)::chr7:67836401-67836413(+) AACAGGAAGAAT >mm10_chr7:67836448-67836459(+)::chr7:67836447-67836459(+) GGGAGGAAGAGA >mm10_chr7:67837731-67837742(+)::chr7:67837730-67837742(+) TCAAGGAAGAGA >mm10_chr7:67958278-67958289(+)::chr7:67958277-67958289(+) AAGAGGAAGTTT >mm10_chr7:67958291-67958302(-)::chr7:67958290-67958302(-) ATAAGGAACTTG >mm10_chr7:67973586-67973597(-)::chr7:67973585-67973597(-) AGCAGGAAGTGA >mm10_chr7:67987465-67987476(-)::chr7:67987464-67987476(-) CAAAGGAAGACG >mm10_chr7:68006572-68006583(-)::chr7:68006571-68006583(-) ATGAGGAAGACA >mm10_chr7:68012228-68012239(-)::chr7:68012227-68012239(-) GGAAGGAAGAGC >mm10_chr7:68012232-68012243(-)::chr7:68012231-68012243(-) GAACGGAAGGAA >mm10_chr7:68030320-68030331(+)::chr7:68030319-68030331(+) GGGAGGAAGTAC >mm10_chr7:68062221-68062232(+)::chr7:68062220-68062232(+) AGGAGGAAGAGG >mm10_chr7:68070883-68070894(+)::chr7:68070882-68070894(+) gtcaggaagttt >mm10_chr7:68102984-68102995(+)::chr7:68102983-68102995(+) AAGCGGAAGTAG >mm10_chr7:68112747-68112758(+)::chr7:68112746-68112758(+) TTAAGGAAGTTA >mm10_chr7:68119475-68119486(+)::chr7:68119474-68119486(+) AGAAGGAAAACA >mm10_chr7:68119497-68119508(-)::chr7:68119496-68119508(-) TCAAGGAAGTTG >mm10_chr7:68130165-68130176(+)::chr7:68130164-68130176(+) AGCAGGAAGCGC >mm10_chr7:68131346-68131357(+)::chr7:68131345-68131357(+) GCCAGGAAGTCA >mm10_chr7:68144949-68144960(-)::chr7:68144948-68144960(-) TCGAGGAAGATG >mm10_chr7:68182238-68182249(+)::chr7:68182237-68182249(+) TCCAGGAAGTGT >mm10_chr7:68221771-68221782(-)::chr7:68221770-68221782(-) AACAGGAAGTCC >mm10_chr7:68266970-68266981(-)::chr7:68266969-68266981(-) GGAAGGAATTTA >mm10_chr7:68266974-68266985(-)::chr7:68266973-68266985(-) AACAGGAAGGAA >mm10_chr7:68266992-68267003(-)::chr7:68266991-68267003(-) AGCAGGAAGTCA >mm10_chr7:68353595-68353606(-)::chr7:68353594-68353606(-) GGGAGGAAGAAG >mm10_chr7:68391582-68391593(+)::chr7:68391581-68391593(+) AGCAGGAAGCCC >mm10_chr7:68391664-68391675(-)::chr7:68391663-68391675(-) GACAGGAAGTGA >mm10_chr7:68409059-68409070(+)::chr7:68409058-68409070(+) AAGAGGAAGAGG >mm10_chr7:68410138-68410149(-)::chr7:68410137-68410149(-) TCAAGGAAATCC >mm10_chr7:68410160-68410171(+)::chr7:68410159-68410171(+) ACCAGGAAATAT >mm10_chr7:68410203-68410214(-)::chr7:68410202-68410214(-) AGAAGGAAAGAC >mm10_chr7:68510662-68510673(-)::chr7:68510661-68510673(-) TAGAGGAAGTCC >mm10_chr7:68519037-68519048(+)::chr7:68519036-68519048(+) ACAAGGAAATGT >mm10_chr7:68520764-68520775(+)::chr7:68520763-68520775(+) tggaggaagtgt >mm10_chr7:68520813-68520824(-)::chr7:68520812-68520824(-) aacaggaagtaa >mm10_chr7:68545389-68545400(-)::chr7:68545388-68545400(-) agaaggaagcca >mm10_chr7:68545416-68545427(-)::chr7:68545415-68545427(-) gggaggaaggtt >mm10_chr7:68665629-68665640(+)::chr7:68665628-68665640(+) aagaggaagagg >mm10_chr7:68665650-68665661(+)::chr7:68665649-68665661(+) aggaggaagagg >mm10_chr7:68665656-68665667(+)::chr7:68665655-68665667(+) aagaggaagaag >mm10_chr7:68665663-68665674(+)::chr7:68665662-68665674(+) agaagGAAAGAA >mm10_chr7:68683347-68683358(+)::chr7:68683346-68683358(+) tataggaagttt >mm10_chr7:68683373-68683384(+)::chr7:68683372-68683384(+) ttgaggaagtat >mm10_chr7:68689242-68689253(+)::chr7:68689241-68689253(+) tagaggaagtgt >mm10_chr7:68689271-68689282(-)::chr7:68689270-68689282(-) aacaggaaatag >mm10_chr7:68709956-68709967(+)::chr7:68709955-68709967(+) AGAAGGAAAATA >mm10_chr7:68717712-68717723(+)::chr7:68717711-68717723(+) AGAAGGAAGCTG >mm10_chr7:68726537-68726548(+)::chr7:68726536-68726548(+) ataaggaggttg >mm10_chr7:68745114-68745125(+)::chr7:68745113-68745125(+) GGGAGGAAATGG >mm10_chr7:68745147-68745158(+)::chr7:68745146-68745158(+) AGACGGAAGCTG >mm10_chr7:68818901-68818912(+)::chr7:68818900-68818912(+) ATGAGGAAGCAT >mm10_chr7:68843676-68843687(-)::chr7:68843675-68843687(-) AGGAGGAAGAGA >mm10_chr7:68874377-68874388(-)::chr7:68874376-68874388(-) aggaggaaatag >mm10_chr7:68880821-68880832(+)::chr7:68880820-68880832(+) gtagggaagtca >mm10_chr7:68880842-68880853(+)::chr7:68880841-68880853(+) atgaggaagaca >mm10_chr7:68880863-68880874(+)::chr7:68880862-68880874(+) atcaggaagctg >mm10_chr7:68882380-68882391(+)::chr7:68882379-68882391(+) AGGAGGAAGGGG >mm10_chr7:68884606-68884617(-)::chr7:68884605-68884617(-) atgaggaaatga >mm10_chr7:68905086-68905097(-)::chr7:68905085-68905097(-) tgaaggaagttg >mm10_chr7:69048946-69048957(+)::chr7:69048945-69048957(+) ACCAGGAAGAAG >mm10_chr7:69049147-69049158(+)::chr7:69049146-69049158(+) TCAAGGATGTGA >mm10_chr7:69049180-69049191(+)::chr7:69049179-69049191(+) agaaggaaggtg >mm10_chr7:69050497-69050508(-)::chr7:69050496-69050508(-) ATACGGAAGTAC >mm10_chr7:70230449-70230460(-)::chr7:70230448-70230460(-) aggaggaagaac >mm10_chr7:70230461-70230472(-)::chr7:70230460-70230472(-) agaaggaagaga >mm10_chr7:70291687-70291698(+)::chr7:70291686-70291698(+) tctaggaagtga >mm10_chr7:70299913-70299924(-)::chr7:70299912-70299924(-) TTCAGGAAGTGC >mm10_chr7:70300578-70300589(-)::chr7:70300577-70300589(-) CGGAGGAAGTTT >mm10_chr7:70335036-70335047(-)::chr7:70335035-70335047(-) GGAAGGCAGTAA >mm10_chr7:70343021-70343032(-)::chr7:70343020-70343032(-) CGAAGGAAGAGG >mm10_chr7:70365001-70365012(+)::chr7:70365000-70365012(+) TGAAGTAAGTGC >mm10_chr7:70528140-70528151(+)::chr7:70528139-70528151(+) AAAAGGAAATGC >mm10_chr7:70575779-70575790(+)::chr7:70575778-70575790(+) ATGAGGAAGTTA >mm10_chr7:70576044-70576055(+)::chr7:70576043-70576055(+) GGAAGGAAGGAA >mm10_chr7:70576048-70576059(+)::chr7:70576047-70576059(+) GGAAGGAACTGG >mm10_chr7:70576071-70576082(-)::chr7:70576070-70576082(-) ACAAGGAAGCAG >mm10_chr7:70576778-70576789(+)::chr7:70576777-70576789(+) TCAGGGAAGTTG >mm10_chr7:70602366-70602377(-)::chr7:70602365-70602377(-) TCAAGGAAGTAA >mm10_chr7:70611173-70611184(-)::chr7:70611172-70611184(-) tcaaggaagttc >mm10_chr7:70627471-70627482(+)::chr7:70627470-70627482(+) ggaaggaagaag >mm10_chr7:70627520-70627531(+)::chr7:70627519-70627531(+) aggaggaagagg >mm10_chr7:70627526-70627537(+)::chr7:70627525-70627537(+) aagaggaagagg >mm10_chr7:70627532-70627543(+)::chr7:70627531-70627543(+) aagaggaagaga >mm10_chr7:70627555-70627566(+)::chr7:70627554-70627566(+) agaaggaagaag >mm10_chr7:70633526-70633537(+)::chr7:70633525-70633537(+) ACGGGGAAGTTT >mm10_chr7:70647270-70647281(+)::chr7:70647269-70647281(+) AGAAGGAAATAT >mm10_chr7:70716923-70716934(+)::chr7:70716922-70716934(+) GGGAGGAAATGA >mm10_chr7:70828931-70828942(+)::chr7:70828930-70828942(+) ACAAGGAAGTGT >mm10_chr7:70838799-70838810(-)::chr7:70838798-70838810(-) AAAAGGAAGAAG >mm10_chr7:70859312-70859323(-)::chr7:70859311-70859323(-) ACAAGGAAGCTG >mm10_chr7:70866820-70866831(+)::chr7:70866819-70866831(+) ACAAGGAAGCTC >mm10_chr7:70960164-70960175(+)::chr7:70960163-70960175(+) GAAAGGAAGCTA >mm10_chr7:70960224-70960235(-)::chr7:70960223-70960235(-) ATAGGGAAGAAT >mm10_chr7:70960239-70960250(-)::chr7:70960238-70960250(-) GCAAGGAAGACA >mm10_chr7:70970481-70970492(+)::chr7:70970480-70970492(+) aggaggaagaga >mm10_chr7:70970493-70970504(+)::chr7:70970492-70970504(+) aggaggaagagg >mm10_chr7:70970499-70970510(+)::chr7:70970498-70970510(+) aagaggaagggg >mm10_chr7:71044756-71044767(+)::chr7:71044755-71044767(+) tggaggaaggaa >mm10_chr7:71044760-71044771(+)::chr7:71044759-71044771(+) ggaaggaaggtc >mm10_chr7:71044775-71044786(+)::chr7:71044774-71044786(+) ggaaggaagcat >mm10_chr7:71044830-71044841(-)::chr7:71044829-71044841(-) accaggaagtaa >mm10_chr7:71233289-71233300(-)::chr7:71233288-71233300(-) GGAAGTAAGTAG >mm10_chr7:71233293-71233304(-)::chr7:71233292-71233304(-) TCCAGGAAGTAA >mm10_chr7:71233324-71233335(+)::chr7:71233323-71233335(+) ATCAGGAAGTTA >mm10_chr7:71246445-71246456(-)::chr7:71246444-71246456(-) aggaggaaatgg >mm10_chr7:71246490-71246501(+)::chr7:71246489-71246501(+) ACTAGGAAATTA >mm10_chr7:71370974-71370985(+)::chr7:71370973-71370985(+) GAGAGGAAGACA >mm10_chr7:71471684-71471695(-)::chr7:71471683-71471695(-) GGGAGGAAGGTT >mm10_chr7:71523211-71523222(-)::chr7:71523210-71523222(-) ggaaggaagaca >mm10_chr7:71523215-71523226(-)::chr7:71523214-71523226(-) agaaggaaggaa >mm10_chr7:71523244-71523255(-)::chr7:71523243-71523255(-) AGCaggaagaag >mm10_chr7:71960911-71960922(+)::chr7:71960910-71960922(+) TAGAGGAAGAAG >mm10_chr7:71960918-71960929(+)::chr7:71960917-71960929(+) AGAAGGAAGAGA >mm10_chr7:72244097-72244108(+)::chr7:72244096-72244108(+) GAAAGGAAGCCC >mm10_chr7:72259233-72259244(-)::chr7:72259232-72259244(-) AGGAGGAAGCAA >mm10_chr7:72294631-72294642(-)::chr7:72294630-72294642(-) AGGAGGAAGGCA >mm10_chr7:72306630-72306641(-)::chr7:72306629-72306641(-) CCGAGGAAGTCC >mm10_chr7:72566187-72566198(+)::chr7:72566186-72566198(+) AACAGGAAGAGA >mm10_chr7:72691512-72691523(+)::chr7:72691511-72691523(+) AGAAGGAAAGGG >mm10_chr7:72800761-72800772(-)::chr7:72800760-72800772(-) tcgaggaagtaa >mm10_chr7:72800822-72800833(-)::chr7:72800821-72800833(-) atcaggaagttt >mm10_chr7:73124730-73124741(+)::chr7:73124729-73124741(+) AGAAGGAAGACA >mm10_chr7:73124741-73124752(+)::chr7:73124740-73124752(+) ACACGGAAGTGT >mm10_chr7:73125015-73125026(-)::chr7:73125014-73125026(-) aggaggaagtag >mm10_chr7:73198323-73198334(+)::chr7:73198322-73198334(+) TGCAGGAAATCG >mm10_chr7:73227338-73227349(-)::chr7:73227337-73227349(-) TTAGGGAAGTGA >mm10_chr7:73336109-73336120(-)::chr7:73336108-73336120(-) ATCAGGAAGCCA >mm10_chr7:73336640-73336651(+)::chr7:73336639-73336651(+) TGGAGGAAGTGT >mm10_chr7:73336663-73336674(-)::chr7:73336662-73336674(-) AGGAGGAAGACT >mm10_chr7:73366943-73366954(-)::chr7:73366942-73366954(-) AGGAGGAAGTTC >mm10_chr7:73366956-73366967(-)::chr7:73366955-73366967(-) AGACGGAAATGC >mm10_chr7:73370006-73370017(+)::chr7:73370005-73370017(+) gggaggaaggag >mm10_chr7:73370013-73370024(+)::chr7:73370012-73370024(+) aggaggaagaag >mm10_chr7:73370047-73370058(+)::chr7:73370046-73370058(+) aggaggaaggag >mm10_chr7:73370060-73370071(+)::chr7:73370059-73370071(+) gggaggaagaag >mm10_chr7:73372416-73372427(-)::chr7:73372415-73372427(-) CTCAGGAAGTGA >mm10_chr7:73392620-73392631(+)::chr7:73392619-73392631(+) TCAAGGAACTAA >mm10_chr7:73531732-73531743(-)::chr7:73531731-73531743(-) atgaggaagtgg >mm10_chr7:73531783-73531794(+)::chr7:73531782-73531794(+) AAAAGGAAGGAA >mm10_chr7:73531787-73531798(+)::chr7:73531786-73531798(+) GGAAGGAAGGAA >mm10_chr7:73533092-73533103(-)::chr7:73533091-73533103(-) TTGAGGAAGTGG >mm10_chr7:73533617-73533628(+)::chr7:73533616-73533628(+) TTAAGGAAGACA >mm10_chr7:73533679-73533690(+)::chr7:73533678-73533690(+) AACAGGAAGAAT >mm10_chr7:73538009-73538020(-)::chr7:73538008-73538020(-) AGCAGGAAGTTG >mm10_chr7:73558943-73558954(+)::chr7:73558942-73558954(+) CAAAGGAAGAGG >mm10_chr7:73558949-73558960(+)::chr7:73558948-73558960(+) AAGAGGAAGTGA >mm10_chr7:73559395-73559406(+)::chr7:73559394-73559406(+) CTAAGGAAGTTG >mm10_chr7:73569219-73569230(+)::chr7:73569218-73569230(+) AGAAGGAAATGA >mm10_chr7:73569247-73569258(+)::chr7:73569246-73569258(+) GACAGGAAGAGA >mm10_chr7:73584101-73584112(+)::chr7:73584100-73584112(+) AGAAGGAAGGAC >mm10_chr7:73597678-73597689(+)::chr7:73597677-73597689(+) GTCAGGAAGAGT >mm10_chr7:73616944-73616955(+)::chr7:73616943-73616955(+) TATAGGAAGTCG >mm10_chr7:73629193-73629204(+)::chr7:73629192-73629204(+) aggaggaagagg >mm10_chr7:73629199-73629210(+)::chr7:73629198-73629210(+) aagaggaagagg >mm10_chr7:73629208-73629219(+)::chr7:73629207-73629219(+) aggaggaagagg >mm10_chr7:73629226-73629237(+)::chr7:73629225-73629237(+) aaaaggaagagg >mm10_chr7:73629259-73629270(+)::chr7:73629258-73629270(+) aaaaggaagagT >mm10_chr7:73640312-73640323(-)::chr7:73640311-73640323(-) ggaaggaaggaa >mm10_chr7:73640327-73640338(-)::chr7:73640326-73640338(-) aggaggaagaaa >mm10_chr7:73640336-73640347(-)::chr7:73640335-73640347(-) aagaggaagagg >mm10_chr7:73640342-73640353(-)::chr7:73640341-73640353(-) aagaggaagagg >mm10_chr7:73640377-73640388(+)::chr7:73640376-73640388(+) ATGAGGAAGTAG >mm10_chr7:73657461-73657472(+)::chr7:73657460-73657472(+) TAAAGGAAGAGA >mm10_chr7:73671001-73671012(+)::chr7:73671000-73671012(+) aggaggaagagg >mm10_chr7:73671007-73671018(+)::chr7:73671006-73671018(+) aagaggaagggt >mm10_chr7:73954631-73954642(-)::chr7:73954630-73954642(-) GCAAGGCAGTTA >mm10_chr7:73972257-73972268(+)::chr7:73972256-73972268(+) AACAGGAAGTGG >mm10_chr7:73973131-73973142(+)::chr7:73973130-73973142(+) TGGAGGAAGGAA >mm10_chr7:73973135-73973146(+)::chr7:73973134-73973146(+) GGAAGGAACTAG >mm10_chr7:73973355-73973366(-)::chr7:73973354-73973366(-) AGAAGGAACTTG >mm10_chr7:73973383-73973394(-)::chr7:73973382-73973394(-) AACAGGAAGAAT >mm10_chr7:73978409-73978420(-)::chr7:73978408-73978420(-) CACAGGAAGGCG >mm10_chr7:74062349-74062360(+)::chr7:74062348-74062360(+) ctaaggaagaag >mm10_chr7:74062396-74062407(+)::chr7:74062395-74062407(+) aaaaggaagtgg >mm10_chr7:74063180-74063191(-)::chr7:74063179-74063191(-) AGGAGGAAATTG >mm10_chr7:74305507-74305518(+)::chr7:74305506-74305518(+) AGCCGGAAGTCA >mm10_chr7:74316334-74316345(+)::chr7:74316333-74316345(+) GGAATGAAGTAG >mm10_chr7:74316341-74316352(+)::chr7:74316340-74316352(+) AGTAGGAAGGGC >mm10_chr7:74323886-74323897(+)::chr7:74323885-74323897(+) GACAGGAAATGC >mm10_chr7:74522296-74522307(-)::chr7:74522295-74522307(-) atcaggaagatc >mm10_chr7:74522359-74522370(+)::chr7:74522358-74522370(+) gaaaggaaaccg >mm10_chr7:74600148-74600159(+)::chr7:74600147-74600159(+) AGGAGGAAGAGA >mm10_chr7:74600221-74600232(+)::chr7:74600220-74600232(+) AAAAGGAAGCAA >mm10_chr7:74629253-74629264(-)::chr7:74629252-74629264(-) ATGAGGAAATAA >mm10_chr7:74647471-74647482(-)::chr7:74647470-74647482(-) AACAGGAAATGG >mm10_chr7:74693224-74693235(+)::chr7:74693223-74693235(+) agaaggaaatgg >mm10_chr7:74693242-74693253(+)::chr7:74693241-74693253(+) aagaggaagtgc >mm10_chr7:74953262-74953273(+)::chr7:74953261-74953273(+) aaaaggaagaat >mm10_chr7:74953283-74953294(+)::chr7:74953282-74953294(+) gtaaagaagtat >mm10_chr7:75025878-75025889(+)::chr7:75025877-75025889(+) ACAAGGAAGTCA >mm10_chr7:75025887-75025898(+)::chr7:75025886-75025898(+) TCAAGGAAGTTC >mm10_chr7:75025946-75025957(-)::chr7:75025945-75025957(-) AGAAGGAACTAT >mm10_chr7:75139347-75139358(-)::chr7:75139346-75139358(-) ATAAGGaaacaa >mm10_chr7:75139474-75139485(-)::chr7:75139473-75139485(-) CTAAGGAAGTGA >mm10_chr7:75167194-75167205(-)::chr7:75167193-75167205(-) AGAAGGAATTGC >mm10_chr7:75411524-75411535(-)::chr7:75411523-75411535(-) AGCAGGAAGATT >mm10_chr7:75411559-75411570(-)::chr7:75411558-75411570(-) ACCAGGAAGTCA >mm10_chr7:75413012-75413023(-)::chr7:75413011-75413023(-) ATGAGGAAGAGA >mm10_chr7:75427243-75427254(-)::chr7:75427242-75427254(-) gagaggaagaaa >mm10_chr7:75427254-75427265(-)::chr7:75427253-75427265(-) gcaaggaagtag >mm10_chr7:75427267-75427278(-)::chr7:75427266-75427278(-) ggaaggaagggt >mm10_chr7:75427271-75427282(-)::chr7:75427270-75427282(-) agaaggaaggaa >mm10_chr7:75427287-75427298(-)::chr7:75427286-75427298(-) ggaaggaagaga >mm10_chr7:75427291-75427302(-)::chr7:75427290-75427302(-) Agaaggaaggaa >mm10_chr7:75433976-75433987(+)::chr7:75433975-75433987(+) acaaggaaacaa >mm10_chr7:75433984-75433995(+)::chr7:75433983-75433995(+) acaaggaaacaa >mm10_chr7:75433992-75434003(+)::chr7:75433991-75434003(+) acaaggaaaGGA >mm10_chr7:75433997-75434008(+)::chr7:75433996-75434008(+) gaaaGGAAGCCT >mm10_chr7:75611715-75611726(-)::chr7:75611714-75611726(-) TACAGGAAGTAA >mm10_chr7:75633155-75633166(-)::chr7:75633154-75633166(-) accaggaagtct >mm10_chr7:75635386-75635397(+)::chr7:75635385-75635397(+) cgaaggaattca >mm10_chr7:75686299-75686310(-)::chr7:75686298-75686310(-) AAGAGGAAGTTG >mm10_chr7:75686730-75686741(+)::chr7:75686729-75686741(+) AAGAGGAAGTGA >mm10_chr7:75775457-75775468(-)::chr7:75775456-75775468(-) aacaggaaggac >mm10_chr7:75786213-75786224(-)::chr7:75786212-75786224(-) Agaaggaagaaa >mm10_chr7:75806897-75806908(+)::chr7:75806896-75806908(+) AGAAGGAAGGAG >mm10_chr7:75855262-75855273(+)::chr7:75855261-75855273(+) TGCAGGAAGTTG >mm10_chr7:75859992-75860003(+)::chr7:75859991-75860003(+) GAGAGGAAGGAA >mm10_chr7:75859996-75860007(+)::chr7:75859995-75860007(+) GGAAGGAAATGA >mm10_chr7:76357685-76357696(-)::chr7:76357684-76357696(-) ATTAGGAAATAG >mm10_chr7:77817491-77817502(-)::chr7:77817490-77817502(-) AACAGGAAGGTT >mm10_chr7:77817546-77817557(-)::chr7:77817545-77817557(-) ATGAGGAAGTAT >mm10_chr7:78019257-78019268(+)::chr7:78019256-78019268(+) TGAAGGAAGCAG >mm10_chr7:78019290-78019301(-)::chr7:78019289-78019301(-) ATAAGGAAAAGT >mm10_chr7:78061837-78061848(+)::chr7:78061836-78061848(+) AGGAGGAAGAGA >mm10_chr7:78175758-78175769(-)::chr7:78175757-78175769(-) ACAAGGAAAGGA >mm10_chr7:78269019-78269030(+)::chr7:78269018-78269030(+) TATAGGAAGGAT >mm10_chr7:78270057-78270068(+)::chr7:78270056-78270068(+) CAGAGGAAGTCC >mm10_chr7:78373423-78373434(+)::chr7:78373422-78373434(+) ATCAGGAAGTAC >mm10_chr7:78373443-78373454(+)::chr7:78373442-78373454(+) GACAGGAAGAAC >mm10_chr7:78414940-78414951(+)::chr7:78414939-78414951(+) ATCAGGAAATAC >mm10_chr7:78414952-78414963(+)::chr7:78414951-78414963(+) AGCAGGATGTGA >mm10_chr7:78414983-78414994(-)::chr7:78414982-78414994(-) ACCAGGAAATTA >mm10_chr7:78661560-78661571(+)::chr7:78661559-78661571(+) ATTAGGAAGGCG >mm10_chr7:78777068-78777079(+)::chr7:78777067-78777079(+) ATCAGGAAGCAC >mm10_chr7:78895809-78895820(-)::chr7:78895808-78895820(-) CGAAGGAAGAGG >mm10_chr7:78903679-78903690(+)::chr7:78903678-78903690(+) ggaaggaagggc >mm10_chr7:78918929-78918940(+)::chr7:78918928-78918940(+) aagaggaagcag >mm10_chr7:78924120-78924131(-)::chr7:78924119-78924131(-) acaaggaaacgt >mm10_chr7:79046043-79046054(-)::chr7:79046042-79046054(-) AGAAGGAAGAGA >mm10_chr7:79079117-79079128(-)::chr7:79079116-79079128(-) aggaggaagagg >mm10_chr7:79079132-79079143(-)::chr7:79079131-79079143(-) aggaggaagagg >mm10_chr7:79079147-79079158(-)::chr7:79079146-79079158(-) AAAaggaagagg >mm10_chr7:79112245-79112256(+)::chr7:79112244-79112256(+) AAAAGGAAATGA >mm10_chr7:79131899-79131910(+)::chr7:79131898-79131910(+) ATGAGGAAATAA >mm10_chr7:79149236-79149247(-)::chr7:79149235-79149247(-) TTCAGGAAGCCG >mm10_chr7:79153676-79153687(-)::chr7:79153675-79153687(-) tagaggaagtgg >mm10_chr7:79159228-79159239(+)::chr7:79159227-79159239(+) ataaggaaatgt >mm10_chr7:79175398-79175409(-)::chr7:79175397-79175409(-) ATTAGGAAGTGG >mm10_chr7:79175419-79175430(-)::chr7:79175418-79175430(-) GACAGGAAGAGG >mm10_chr7:79179003-79179014(-)::chr7:79179002-79179014(-) AGGAGGAAGAGA >mm10_chr7:79183515-79183526(+)::chr7:79183514-79183526(+) TTAAGGAAATGA >mm10_chr7:79191468-79191479(-)::chr7:79191467-79191479(-) GGGAGGAAGCAG >mm10_chr7:79227173-79227184(+)::chr7:79227172-79227184(+) aaaaggaaaTTT >mm10_chr7:79228574-79228585(+)::chr7:79228573-79228585(+) AAGCGGAAGTCA >mm10_chr7:79231744-79231755(+)::chr7:79231743-79231755(+) AGGAGGAAGGAA >mm10_chr7:79231748-79231759(+)::chr7:79231747-79231759(+) GGAAGGAAGTTA >mm10_chr7:79232131-79232142(-)::chr7:79232130-79232142(-) CAAAGGAAGAGC >mm10_chr7:79232148-79232159(-)::chr7:79232147-79232159(-) AGAAGGAAGGCC >mm10_chr7:79258424-79258435(-)::chr7:79258423-79258435(-) cccaggaagttg >mm10_chr7:79279323-79279334(+)::chr7:79279322-79279334(+) AGTAGGAAGGGG >mm10_chr7:79279591-79279602(-)::chr7:79279590-79279602(-) AACAGGAAGTCC >mm10_chr7:79286218-79286229(+)::chr7:79286217-79286229(+) TGCAGGAAGAGG >mm10_chr7:79300784-79300795(+)::chr7:79300783-79300795(+) AGGAGGAAGCCG >mm10_chr7:79311812-79311823(+)::chr7:79311811-79311823(+) GAAGGGAAGTCA >mm10_chr7:79363677-79363688(+)::chr7:79363676-79363688(+) AGGAGGAAGTGG >mm10_chr7:79392280-79392291(+)::chr7:79392279-79392291(+) GTTAGGAAGTCG >mm10_chr7:79397756-79397767(+)::chr7:79397755-79397767(+) aggaggaagctg >mm10_chr7:79428198-79428209(-)::chr7:79428197-79428209(-) ggaaggaaggGG >mm10_chr7:79428202-79428213(-)::chr7:79428201-79428213(-) aggaggaaggaa >mm10_chr7:79428209-79428220(-)::chr7:79428208-79428220(-) ggaaggaaggag >mm10_chr7:79466302-79466313(-)::chr7:79466301-79466313(-) ACCCGGAAGTCC >mm10_chr7:79466325-79466336(-)::chr7:79466324-79466336(-) GGAGGGAAGTAG >mm10_chr7:79470530-79470541(+)::chr7:79470529-79470541(+) aggaggaagagg >mm10_chr7:79470543-79470554(+)::chr7:79470542-79470554(+) aagaggaagggg >mm10_chr7:79470557-79470568(+)::chr7:79470556-79470568(+) gggaggaagagg >mm10_chr7:79470570-79470581(+)::chr7:79470569-79470581(+) aagaggaagggg >mm10_chr7:79473922-79473933(+)::chr7:79473921-79473933(+) AGAAGGAAGCAG >mm10_chr7:79499258-79499269(-)::chr7:79499257-79499269(-) ACGAGGAAGGGG >mm10_chr7:79531196-79531207(+)::chr7:79531195-79531207(+) GAAGGGAAGTGA >mm10_chr7:79539590-79539601(+)::chr7:79539589-79539601(+) GGAAGGAAATGT >mm10_chr7:79540555-79540566(+)::chr7:79540554-79540566(+) aagaggaagagg >mm10_chr7:79607632-79607643(+)::chr7:79607631-79607643(+) AGCAGGAAGTTG >mm10_chr7:79607671-79607682(+)::chr7:79607670-79607682(+) TGCAGGAAGGTC >mm10_chr7:79607683-79607694(+)::chr7:79607682-79607694(+) ATGAGGAAGCCG >mm10_chr7:79660124-79660135(+)::chr7:79660123-79660135(+) AGAGGGAAGTGC >mm10_chr7:79739942-79739953(+)::chr7:79739941-79739953(+) TGCAGGAAGGTA >mm10_chr7:79744847-79744858(+)::chr7:79744846-79744858(+) AGAGGGAAGTAA >mm10_chr7:79761351-79761362(+)::chr7:79761350-79761362(+) AAGAGGAAATGG >mm10_chr7:79773405-79773416(-)::chr7:79773404-79773416(-) CGGAGGAAGTGG >mm10_chr7:79773417-79773428(-)::chr7:79773416-79773428(-) ACCAGGAAGAAT >mm10_chr7:79774402-79774413(+)::chr7:79774401-79774413(+) accaggaagcat >mm10_chr7:79774418-79774429(-)::chr7:79774417-79774429(-) aggaggaaggga >mm10_chr7:79847139-79847150(-)::chr7:79847138-79847150(-) TACAGGAACTAG >mm10_chr7:79847203-79847214(-)::chr7:79847202-79847214(-) AACAGGAAGACT >mm10_chr7:79855158-79855169(+)::chr7:79855157-79855169(+) aagaggaaatga >mm10_chr7:79856214-79856225(+)::chr7:79856213-79856225(+) aggaggaagagg >mm10_chr7:79856232-79856243(+)::chr7:79856231-79856243(+) gggaggaagagg >mm10_chr7:79856238-79856249(+)::chr7:79856237-79856249(+) aagaggaagagg >mm10_chr7:79856244-79856255(+)::chr7:79856243-79856255(+) aagaggaagaag >mm10_chr7:79856253-79856264(+)::chr7:79856252-79856264(+) aagaggaagaag >mm10_chr7:79869318-79869329(-)::chr7:79869317-79869329(-) ATCAGGAAGTAG >mm10_chr7:79920645-79920656(-)::chr7:79920644-79920656(-) AACAGGAAGTGT >mm10_chr7:79924177-79924188(-)::chr7:79924176-79924188(-) TCAAGGAAGATG >mm10_chr7:79924189-79924200(-)::chr7:79924188-79924200(-) AAGAGGAAGGAG >mm10_chr7:79924681-79924692(-)::chr7:79924680-79924692(-) GGGAGGAAGAGA >mm10_chr7:79924700-79924711(-)::chr7:79924699-79924711(-) GGAAGGAAGAGA >mm10_chr7:79924704-79924715(-)::chr7:79924703-79924715(-) ACAAGGAAGGAA >mm10_chr7:79929548-79929559(+)::chr7:79929547-79929559(+) AAAAGGAAGAGC >mm10_chr7:79929601-79929612(-)::chr7:79929600-79929612(-) ACCAGGAAGGTG >mm10_chr7:79997262-79997273(-)::chr7:79997261-79997273(-) TGGAGGAAGAAG >mm10_chr7:79997299-79997310(-)::chr7:79997298-79997310(-) AAGAGGAAGAAA >mm10_chr7:79997319-79997330(-)::chr7:79997318-79997330(-) AAGAGGAAGAGG >mm10_chr7:80013957-80013968(+)::chr7:80013956-80013968(+) TAGAGGAAGTTG >mm10_chr7:80013988-80013999(+)::chr7:80013987-80013999(+) AACAGGAAGTAA >mm10_chr7:80024758-80024769(+)::chr7:80024757-80024769(+) GCAGGGAAGTGT >mm10_chr7:80024813-80024824(+)::chr7:80024812-80024824(+) Cggaggaaggag >mm10_chr7:80024831-80024842(+)::chr7:80024830-80024842(+) ggaaggaagagc >mm10_chr7:80025955-80025966(+)::chr7:80025954-80025966(+) aggaggaaggag >mm10_chr7:80025962-80025973(+)::chr7:80025961-80025973(+) aggaggaagaaa >mm10_chr7:80029884-80029895(+)::chr7:80029883-80029895(+) AGAAGGAAGGGC >mm10_chr7:80035306-80035317(-)::chr7:80035305-80035317(-) ACCAGGAAGCTA >mm10_chr7:80044433-80044444(+)::chr7:80044432-80044444(+) AGAAGGAAGTTC >mm10_chr7:80044456-80044467(+)::chr7:80044455-80044467(+) TTGAGGAAGTAG >mm10_chr7:80044488-80044499(-)::chr7:80044487-80044499(-) AACAGGAAGGCC >mm10_chr7:80044514-80044525(-)::chr7:80044513-80044525(-) AGGAGGAAGAGC >mm10_chr7:80075033-80075044(-)::chr7:80075032-80075044(-) AAGAGGAAGTGA >mm10_chr7:80075099-80075110(+)::chr7:80075098-80075110(+) AGGAGGAAGCCA >mm10_chr7:80083904-80083915(-)::chr7:80083903-80083915(-) AAGAGGAAGTTG >mm10_chr7:80083910-80083921(-)::chr7:80083909-80083921(-) AGGAGGAAGAGG >mm10_chr7:80105353-80105364(+)::chr7:80105352-80105364(+) aggaggaagagg >mm10_chr7:80105380-80105391(+)::chr7:80105379-80105391(+) aggaggaagagg >mm10_chr7:80106297-80106308(+)::chr7:80106296-80106308(+) GGGAGGAAGGGG >mm10_chr7:80114147-80114158(-)::chr7:80114146-80114158(-) ATGAGGAAGAGG >mm10_chr7:80160549-80160560(-)::chr7:80160548-80160560(-) GCACGGAAGAAG >mm10_chr7:80169243-80169254(-)::chr7:80169242-80169254(-) GGAAGGATGTAG >mm10_chr7:80169252-80169263(+)::chr7:80169251-80169263(+) TCCAGGAAGTTC >mm10_chr7:80172567-80172578(+)::chr7:80172566-80172578(+) TGCAGGAAGTAC >mm10_chr7:80172616-80172627(+)::chr7:80172615-80172627(+) ATGAGGAAGGGA >mm10_chr7:80175232-80175243(-)::chr7:80175231-80175243(-) AGGAGGAAGAGC >mm10_chr7:80185420-80185431(+)::chr7:80185419-80185431(+) TTGAGGAAGTGC >mm10_chr7:80192330-80192341(-)::chr7:80192329-80192341(-) ATGAGGAAGTCA >mm10_chr7:80198341-80198352(+)::chr7:80198340-80198352(+) AGGAGGAAGGGG >mm10_chr7:80198395-80198406(-)::chr7:80198394-80198406(-) AGCAGGAAGTCG >mm10_chr7:80207320-80207331(-)::chr7:80207319-80207331(-) AGCAGGAAGCAG >mm10_chr7:80207351-80207362(+)::chr7:80207350-80207362(+) GAGAGGAAGTGC >mm10_chr7:80216276-80216287(-)::chr7:80216275-80216287(-) ACAAGGAAGTGC >mm10_chr7:80223020-80223031(-)::chr7:80223019-80223031(-) aggaggaaggaa >mm10_chr7:80223027-80223038(-)::chr7:80223026-80223038(-) ggaaggaaggag >mm10_chr7:80223031-80223042(-)::chr7:80223030-80223042(-) ggaaggaaggaa >mm10_chr7:80223035-80223046(-)::chr7:80223034-80223046(-) ggaaggaaggaa >mm10_chr7:80223039-80223050(-)::chr7:80223038-80223050(-) aggaggaaggaa >mm10_chr7:80223046-80223057(-)::chr7:80223045-80223057(-) agaaggaaggag >mm10_chr7:80223058-80223069(-)::chr7:80223057-80223069(-) ggaaggaagtaa >mm10_chr7:80223062-80223073(-)::chr7:80223061-80223073(-) ggaaggaaggaa >mm10_chr7:80223066-80223077(-)::chr7:80223065-80223077(-) ggaaggaaggaa >mm10_chr7:80225597-80225608(+)::chr7:80225596-80225608(+) GAGAGGAAGGAG >mm10_chr7:80225615-80225626(+)::chr7:80225614-80225626(+) ACAAGGAAGACT >mm10_chr7:80232049-80232060(-)::chr7:80232048-80232060(-) AAAAGGAACTGC >mm10_chr7:80232068-80232079(+)::chr7:80232067-80232079(+) AGCAGGAAGCTC >mm10_chr7:80232615-80232626(-)::chr7:80232614-80232626(-) GGACGGAAGTGA >mm10_chr7:80233956-80233967(+)::chr7:80233955-80233967(+) aaacggaagatg >mm10_chr7:80234026-80234037(-)::chr7:80234025-80234037(-) taaaggaaatcc >mm10_chr7:80261169-80261180(-)::chr7:80261168-80261180(-) AACCGGAAGTTA >mm10_chr7:80269639-80269650(+)::chr7:80269638-80269650(+) TCTAGGAAGTGG >mm10_chr7:80270138-80270149(-)::chr7:80270137-80270149(-) GCAAGGAAGAAG >mm10_chr7:80273072-80273083(-)::chr7:80273071-80273083(-) AAACGGAAGGTA >mm10_chr7:80273127-80273138(-)::chr7:80273126-80273138(-) GCCAGGAAGTTT >mm10_chr7:80324400-80324411(+)::chr7:80324399-80324411(+) ACAAGGAAGGAG >mm10_chr7:80324404-80324415(+)::chr7:80324403-80324415(+) GGAAGGAGGTCG >mm10_chr7:80324449-80324460(+)::chr7:80324448-80324460(+) AACAGGAAGCTG >mm10_chr7:80324637-80324648(+)::chr7:80324636-80324648(+) CGAGGGAAGCGC >mm10_chr7:80337275-80337286(+)::chr7:80337274-80337286(+) TAAAGGAAGTGC >mm10_chr7:80347450-80347461(-)::chr7:80347449-80347461(-) GGAAGGAAGGCT >mm10_chr7:80352828-80352839(-)::chr7:80352827-80352839(-) AGTAGGAAGAAA >mm10_chr7:80369243-80369254(-)::chr7:80369242-80369254(-) aggaggaagagg >mm10_chr7:80386677-80386688(+)::chr7:80386676-80386688(+) AGGAGGAAGCTG >mm10_chr7:80387916-80387927(-)::chr7:80387915-80387927(-) AGGAGGAAGCAG >mm10_chr7:80390888-80390899(+)::chr7:80390887-80390899(+) AACAGGAAGACG >mm10_chr7:80408314-80408325(-)::chr7:80408313-80408325(-) ctaaggaaggta >mm10_chr7:80408574-80408585(+)::chr7:80408573-80408585(+) ACAGGGAAGTCC >mm10_chr7:80414067-80414078(-)::chr7:80414066-80414078(-) GGGAGGAAGTGA >mm10_chr7:80419502-80419513(-)::chr7:80419501-80419513(-) ACAAGGAAGCTG >mm10_chr7:80420890-80420901(+)::chr7:80420889-80420901(+) CTTAGGAAGTGA >mm10_chr7:80426411-80426422(-)::chr7:80426410-80426422(-) aggaggaagagg >mm10_chr7:80430543-80430554(-)::chr7:80430542-80430554(-) CTACGGAAGTCA >mm10_chr7:80440375-80440386(-)::chr7:80440374-80440386(-) GGAAGGAACATA >mm10_chr7:80449250-80449261(-)::chr7:80449249-80449261(-) ggaaggaagagg >mm10_chr7:80449254-80449265(-)::chr7:80449253-80449265(-) gaaaggaaggaa >mm10_chr7:80456502-80456513(-)::chr7:80456501-80456513(-) AGCAGGAAGTCA >mm10_chr7:80485777-80485788(+)::chr7:80485776-80485788(+) aggaggaagaga >mm10_chr7:80485806-80485817(+)::chr7:80485805-80485817(+) gggaggaagagg >mm10_chr7:80485818-80485829(+)::chr7:80485817-80485829(+) aggaggaagaaa >mm10_chr7:80485830-80485841(+)::chr7:80485829-80485841(+) tggaggaagaag >mm10_chr7:80628790-80628801(-)::chr7:80628789-80628801(-) ACCAGGAAGTGG >mm10_chr7:80636022-80636033(-)::chr7:80636021-80636033(-) AGCAGGAAGTGT >mm10_chr7:80636094-80636105(-)::chr7:80636093-80636105(-) TCAGGGAAGTAG >mm10_chr7:80671972-80671983(+)::chr7:80671971-80671983(+) aggaggaagggg >mm10_chr7:80671984-80671995(+)::chr7:80671983-80671995(+) agaaggaaggag >mm10_chr7:80672600-80672611(+)::chr7:80672599-80672611(+) ggaaggaaatcc >mm10_chr7:80672632-80672643(+)::chr7:80672631-80672643(+) ttgaggaaatcg >mm10_chr7:80696547-80696558(-)::chr7:80696546-80696558(-) aggaggAAGTGG >mm10_chr7:80696570-80696581(-)::chr7:80696569-80696581(-) aagaggaaggag >mm10_chr7:80711668-80711679(+)::chr7:80711667-80711679(+) GGGAGGAAGTAA >mm10_chr7:80754187-80754198(-)::chr7:80754186-80754198(-) AAGAGGAAGACA >mm10_chr7:80754193-80754204(-)::chr7:80754192-80754204(-) ATGAGGAAGAGG >mm10_chr7:80774385-80774396(-)::chr7:80774384-80774396(-) TAACGGAAGTAT >mm10_chr7:80781895-80781906(+)::chr7:80781894-80781906(+) TACAGGAAGCTA >mm10_chr7:80781943-80781954(-)::chr7:80781942-80781954(-) ACCAGGAAGTGT >mm10_chr7:80785849-80785860(-)::chr7:80785848-80785860(-) agcaggaaggag >mm10_chr7:80786953-80786964(-)::chr7:80786952-80786964(-) TGGAGGAAGGAC >mm10_chr7:80790800-80790811(+)::chr7:80790799-80790811(+) AGCAGGAAGGCA >mm10_chr7:80796997-80797008(+)::chr7:80796996-80797008(+) GTCAGGAAGGAC >mm10_chr7:80825767-80825778(+)::chr7:80825766-80825778(+) ataaggaaggga >mm10_chr7:80825802-80825813(+)::chr7:80825801-80825813(+) tcgaggaattaa >mm10_chr7:80825810-80825821(+)::chr7:80825809-80825821(+) ttaaggaaggtc >mm10_chr7:80832375-80832386(+)::chr7:80832374-80832386(+) gacaggaagggc >mm10_chr7:80838475-80838486(+)::chr7:80838474-80838486(+) AGGAGGAAGTTG >mm10_chr7:80844153-80844164(-)::chr7:80844152-80844164(-) acaaggaagtag >mm10_chr7:80883255-80883266(-)::chr7:80883254-80883266(-) AACAGGAAGGTG >mm10_chr7:80889915-80889926(-)::chr7:80889914-80889926(-) CAGAGGAAGTGA >mm10_chr7:80889977-80889988(-)::chr7:80889976-80889988(-) ACCAGGAAGTGC >mm10_chr7:80901234-80901245(-)::chr7:80901233-80901245(-) CGACGGAAGCCA >mm10_chr7:80901248-80901259(-)::chr7:80901247-80901259(-) TGGCGGAAGTGG >mm10_chr7:80901263-80901274(-)::chr7:80901262-80901274(-) CCCAGGAAGTCT >mm10_chr7:80919115-80919126(-)::chr7:80919114-80919126(-) AACAGGAAGTAA >mm10_chr7:80919131-80919142(-)::chr7:80919130-80919142(-) AAGAGGAAGTAT >mm10_chr7:80947563-80947574(-)::chr7:80947562-80947574(-) AAGCGGAAGTGC >mm10_chr7:80992420-80992431(-)::chr7:80992419-80992431(-) AAGAGGAAGTCC >mm10_chr7:81021329-81021340(+)::chr7:81021328-81021340(+) GGCAGGAAGAAA >mm10_chr7:81021345-81021356(+)::chr7:81021344-81021356(+) GGGAGGAAGCGT >mm10_chr7:81039832-81039843(-)::chr7:81039831-81039843(-) ATGAGGAAGAAA >mm10_chr7:81042243-81042254(-)::chr7:81042242-81042254(-) GGCAGGAAGGAG >mm10_chr7:81058579-81058590(-)::chr7:81058578-81058590(-) GGCAGGAAGTTC >mm10_chr7:81058828-81058839(-)::chr7:81058827-81058839(-) TCCAGGAAGTGC >mm10_chr7:81059045-81059056(+)::chr7:81059044-81059056(+) GCAAGGAAATGT >mm10_chr7:81072643-81072654(-)::chr7:81072642-81072654(-) ATAAGGAAAACA >mm10_chr7:81118955-81118966(+)::chr7:81118954-81118966(+) aggaggaagagg >mm10_chr7:81118967-81118978(+)::chr7:81118966-81118978(+) agaaggaagagg >mm10_chr7:81118982-81118993(+)::chr7:81118981-81118993(+) aggaggaagaga >mm10_chr7:81132970-81132981(+)::chr7:81132969-81132981(+) TCCAGGAAGTAG >mm10_chr7:81197284-81197295(+)::chr7:81197283-81197295(+) ACCAGGAAGAGC >mm10_chr7:81197301-81197312(-)::chr7:81197300-81197312(-) gaaaggaagAGA >mm10_chr7:81197309-81197320(-)::chr7:81197308-81197320(-) agaaggaagaaa >mm10_chr7:81199392-81199403(-)::chr7:81199391-81199403(-) ggaaggaagTCA >mm10_chr7:81199396-81199407(-)::chr7:81199395-81199407(-) aggaggaaggaa >mm10_chr7:81219432-81219443(-)::chr7:81219431-81219443(-) CTAAGGAAGGCT >mm10_chr7:81243254-81243265(-)::chr7:81243253-81243265(-) AAGAGGAAGTTC >mm10_chr7:81243260-81243271(-)::chr7:81243259-81243271(-) CCAAGGAAGAGG >mm10_chr7:81253977-81253988(+)::chr7:81253976-81253988(+) AGAAGGAAACAG >mm10_chr7:81304292-81304303(-)::chr7:81304291-81304303(-) GTGAGGAAGGGA >mm10_chr7:81304308-81304319(-)::chr7:81304307-81304319(-) GTAGGGAAGTGG >mm10_chr7:81307688-81307699(+)::chr7:81307687-81307699(+) AGGAGGAAGTGT >mm10_chr7:81307719-81307730(-)::chr7:81307718-81307730(-) AGAGGGAAGTGC >mm10_chr7:81345272-81345283(-)::chr7:81345271-81345283(-) AGGCGGAAGCGG >mm10_chr7:81464231-81464242(+)::chr7:81464230-81464242(+) ATAAGGAAGAGG >mm10_chr7:81523537-81523548(+)::chr7:81523536-81523548(+) AGCCGGAAGTGG >mm10_chr7:81536028-81536039(-)::chr7:81536027-81536039(-) taaaggaagcat >mm10_chr7:81599637-81599648(+)::chr7:81599636-81599648(+) GCCAGGAAGGAA >mm10_chr7:81608864-81608875(-)::chr7:81608863-81608875(-) CTAAGGAAGGGC >mm10_chr7:81666746-81666757(+)::chr7:81666745-81666757(+) atgaggaaggaa >mm10_chr7:81666750-81666761(+)::chr7:81666749-81666761(+) ggaaggaagcct >mm10_chr7:81680118-81680129(+)::chr7:81680117-81680129(+) TGCAGGAAGATG >mm10_chr7:81681468-81681479(-)::chr7:81681467-81681479(-) TCAAGGATGTGT >mm10_chr7:81681485-81681496(-)::chr7:81681484-81681496(-) GGCAGGAAGGGA >mm10_chr7:81685551-81685562(+)::chr7:81685550-81685562(+) agcaggaaggtc >mm10_chr7:81686802-81686813(-)::chr7:81686801-81686813(-) tcaaggaagtga >mm10_chr7:81704716-81704727(+)::chr7:81704715-81704727(+) AGAAGGAAAAGG >mm10_chr7:81704793-81704804(-)::chr7:81704792-81704804(-) TTAAGGAAATAA >mm10_chr7:81728301-81728312(-)::chr7:81728300-81728312(-) AGAAGGAAGTCA >mm10_chr7:81766772-81766783(-)::chr7:81766771-81766783(-) accaggaagcag >mm10_chr7:81773239-81773250(-)::chr7:81773238-81773250(-) AGGAGGAAGTAT >mm10_chr7:81789235-81789246(+)::chr7:81789234-81789246(+) CCCAGGAAGTCT >mm10_chr7:81799437-81799448(+)::chr7:81799436-81799448(+) AATAGGAAGTTT >mm10_chr7:81828015-81828026(-)::chr7:81828014-81828026(-) atgaggaaatgc >mm10_chr7:81835618-81835629(+)::chr7:81835617-81835629(+) ACGAGGAAGGAT >mm10_chr7:81835667-81835678(-)::chr7:81835666-81835678(-) ATACGGAAGAGT >mm10_chr7:82033908-82033919(+)::chr7:82033907-82033919(+) TGGAGGAAGAAG >mm10_chr7:82048046-82048057(-)::chr7:82048045-82048057(-) TCCAGGAAGTCC >mm10_chr7:82048054-82048065(+)::chr7:82048053-82048065(+) TGGAGGAAGAAC >mm10_chr7:82049640-82049651(+)::chr7:82049639-82049651(+) AGCAGGAAGCTG >mm10_chr7:82260405-82260416(+)::chr7:82260404-82260416(+) GGAAGGAAACGG >mm10_chr7:82351062-82351073(+)::chr7:82351061-82351073(+) CCAAGGAAGCTA >mm10_chr7:82406733-82406744(-)::chr7:82406732-82406744(-) AGAAGGAACTCT >mm10_chr7:82406800-82406811(-)::chr7:82406799-82406811(-) CCAAGGAAGAGT >mm10_chr7:82410298-82410309(-)::chr7:82410297-82410309(-) TAAAGGAAGCTG >mm10_chr7:82410364-82410375(+)::chr7:82410363-82410375(+) AAGAGGAAGCTA >mm10_chr7:82453010-82453021(-)::chr7:82453009-82453021(-) aggaggaagagg >mm10_chr7:82453022-82453033(-)::chr7:82453021-82453033(-) aagaggaagaag >mm10_chr7:82453028-82453039(-)::chr7:82453027-82453039(-) aggaggaagagg >mm10_chr7:82453059-82453070(-)::chr7:82453058-82453070(-) aagaggaagaag >mm10_chr7:82453072-82453083(-)::chr7:82453071-82453083(-) aggaggaagagg >mm10_chr7:82636725-82636736(+)::chr7:82636724-82636736(+) ATAAGGAACTAG >mm10_chr7:82662674-82662685(-)::chr7:82662673-82662685(-) AAAAGGAATTGG >mm10_chr7:82662692-82662703(-)::chr7:82662691-82662703(-) CAGAGGAAGTTT >mm10_chr7:82662756-82662767(-)::chr7:82662755-82662767(-) ACGAGGAAGAGG >mm10_chr7:82664203-82664214(-)::chr7:82664202-82664214(-) TCAAGGAAGCAT >mm10_chr7:82664222-82664233(-)::chr7:82664221-82664233(-) TGGAGGAAGTGC >mm10_chr7:82679276-82679287(-)::chr7:82679275-82679287(-) agaaggaagaag >mm10_chr7:82679303-82679314(-)::chr7:82679302-82679314(-) gggaggaagagg >mm10_chr7:82679329-82679340(-)::chr7:82679328-82679340(-) agaaggaagagg >mm10_chr7:82748976-82748987(+)::chr7:82748975-82748987(+) TGCAGGAAGGAA >mm10_chr7:82748980-82748991(+)::chr7:82748979-82748991(+) GGAAGGAAGTGC >mm10_chr7:82749016-82749027(+)::chr7:82749015-82749027(+) TGAAGGAAGTGT >mm10_chr7:82764422-82764433(+)::chr7:82764421-82764433(+) TAAAGGAAGCAG >mm10_chr7:82781975-82781986(+)::chr7:82781974-82781986(+) taaaggaagcca >mm10_chr7:82785480-82785491(+)::chr7:82785479-82785491(+) aagaggaagttg >mm10_chr7:82805542-82805553(+)::chr7:82805541-82805553(+) TATAGGAAGTAG >mm10_chr7:82809386-82809397(-)::chr7:82809385-82809397(-) acaaggaagtgt >mm10_chr7:82820053-82820064(+)::chr7:82820052-82820064(+) accaggaagagg >mm10_chr7:82828486-82828497(-)::chr7:82828485-82828497(-) AGAAGGAAGTGA >mm10_chr7:82828630-82828641(-)::chr7:82828629-82828641(-) GGCAGGAAGAGT >mm10_chr7:82866163-82866174(-)::chr7:82866162-82866174(-) CGGAGGAAGGGT >mm10_chr7:83084951-83084962(-)::chr7:83084950-83084962(-) agaaggaagcct >mm10_chr7:83084970-83084981(-)::chr7:83084969-83084981(-) AACAggaaggtt >mm10_chr7:83361860-83361871(-)::chr7:83361859-83361871(-) AGAAGGAAGTAG >mm10_chr7:83361867-83361878(-)::chr7:83361866-83361878(-) GACAGGAAGAAG >mm10_chr7:83367201-83367212(-)::chr7:83367200-83367212(-) TGAGGGAAGTGA >mm10_chr7:83367252-83367263(-)::chr7:83367251-83367263(-) AGCAGGAAGGTG >mm10_chr7:83516773-83516784(-)::chr7:83516772-83516784(-) AGGAGGAAGGAG >mm10_chr7:83550192-83550203(-)::chr7:83550191-83550203(-) TCAAGGAAGAGG >mm10_chr7:83594258-83594269(-)::chr7:83594257-83594269(-) ggaaggaaggat >mm10_chr7:83594262-83594273(-)::chr7:83594261-83594273(-) aggaggaaggaa >mm10_chr7:83632452-83632463(-)::chr7:83632451-83632463(-) CCAAGGAAGGAA >mm10_chr7:83659290-83659301(+)::chr7:83659289-83659301(+) ATCAGGAAGCCA >mm10_chr7:83670439-83670450(+)::chr7:83670438-83670450(+) ACTAGGAAATGA >mm10_chr7:83670476-83670487(+)::chr7:83670475-83670487(+) TAGAGGAAGTGG >mm10_chr7:83670513-83670524(+)::chr7:83670512-83670524(+) TGCAGGAAATGA >mm10_chr7:83675696-83675707(-)::chr7:83675695-83675707(-) ACAAGGAAGGTG >mm10_chr7:83726852-83726863(-)::chr7:83726851-83726863(-) ggaaggaagACT >mm10_chr7:83726881-83726892(-)::chr7:83726880-83726892(-) gagaggaagtaa >mm10_chr7:83726922-83726933(-)::chr7:83726921-83726933(-) aacaggaaatgg >mm10_chr7:83791823-83791834(-)::chr7:83791822-83791834(-) AGAAGGAAGAGG >mm10_chr7:83809032-83809043(-)::chr7:83809031-83809043(-) ATCAGGAAGACT >mm10_chr7:83809849-83809860(-)::chr7:83809848-83809860(-) TTGAGGAAGTAG >mm10_chr7:83809924-83809935(+)::chr7:83809923-83809935(+) TTGAGGAAGTGA >mm10_chr7:83814153-83814164(-)::chr7:83814152-83814164(-) TCCAGGAAGTCC >mm10_chr7:83829516-83829527(-)::chr7:83829515-83829527(-) AGCAGGAAGTAA >mm10_chr7:83830829-83830840(+)::chr7:83830828-83830840(+) AGGAGGAAGCAG >mm10_chr7:83852792-83852803(-)::chr7:83852791-83852803(-) ACAAGGAAGCTA >mm10_chr7:83884336-83884347(-)::chr7:83884335-83884347(-) AGGAGGAAGCGG >mm10_chr7:83884351-83884362(-)::chr7:83884350-83884362(-) GGGAGGAAGGGC >mm10_chr7:84115596-84115607(-)::chr7:84115595-84115607(-) gtcaggaaggag >mm10_chr7:84115618-84115629(-)::chr7:84115617-84115629(-) agcaggaagtgt >mm10_chr7:84116936-84116947(+)::chr7:84116935-84116947(+) AGAAGGAAGAAA >mm10_chr7:84117884-84117895(-)::chr7:84117883-84117895(-) ACCAGGAAGAAT >mm10_chr7:84138855-84138866(+)::chr7:84138854-84138866(+) TGCAGGAAGAAT >mm10_chr7:84143427-84143438(+)::chr7:84143426-84143438(+) AATAGGAAGTGT >mm10_chr7:84152109-84152120(-)::chr7:84152108-84152120(-) ACCCGGAAGTGG >mm10_chr7:84171548-84171559(-)::chr7:84171547-84171559(-) AGAAGGAAGGAG >mm10_chr7:84202024-84202035(-)::chr7:84202023-84202035(-) ACGAGGAAGAGG >mm10_chr7:84228601-84228612(+)::chr7:84228600-84228612(+) AAACGGAAGTGA >mm10_chr7:84240642-84240653(-)::chr7:84240641-84240653(-) AGGAGGAAGTGG >mm10_chr7:84240665-84240676(-)::chr7:84240664-84240676(-) GCAAGGAAGGCA >mm10_chr7:84244331-84244342(+)::chr7:84244330-84244342(+) CGGAGGAAGAGG >mm10_chr7:84252297-84252308(-)::chr7:84252296-84252308(-) AAAAGGAGGTGA >mm10_chr7:84256359-84256370(-)::chr7:84256358-84256370(-) GGCAGGAAGAAA >mm10_chr7:84270625-84270636(-)::chr7:84270624-84270636(-) ACAAGGAAGGAC >mm10_chr7:84272473-84272484(+)::chr7:84272472-84272484(+) TTCAGGAAGTTG >mm10_chr7:84349410-84349421(+)::chr7:84349409-84349421(+) AGGAGGAAGACC >mm10_chr7:84597605-84597616(+)::chr7:84597604-84597616(+) acgaggaagagg >mm10_chr7:84597611-84597622(+)::chr7:84597610-84597622(+) aagaggaagagg >mm10_chr7:84597623-84597634(+)::chr7:84597622-84597634(+) aaaaggaagaag >mm10_chr7:84597638-84597649(+)::chr7:84597637-84597649(+) aggaggaagagc >mm10_chr7:84597656-84597667(+)::chr7:84597655-84597667(+) aacaggaagagg >mm10_chr7:84604836-84604847(-)::chr7:84604835-84604847(-) GAAAGGAAGTGG >mm10_chr7:84604848-84604859(-)::chr7:84604847-84604859(-) AAAAGGAAGAAA >mm10_chr7:84633276-84633287(-)::chr7:84633275-84633287(-) ggaaggaaATGC >mm10_chr7:84633280-84633291(-)::chr7:84633279-84633291(-) ggaaggaaggaa >mm10_chr7:84633284-84633295(-)::chr7:84633283-84633295(-) ggaaggaaggaa >mm10_chr7:84633288-84633299(-)::chr7:84633287-84633299(-) ggaaggaaggaa >mm10_chr7:84633292-84633303(-)::chr7:84633291-84633303(-) ggaaggaaggaa >mm10_chr7:84633296-84633307(-)::chr7:84633295-84633307(-) ggaaggaaggaa >mm10_chr7:84633300-84633311(-)::chr7:84633299-84633311(-) ggaaggaaggaa >mm10_chr7:84633304-84633315(-)::chr7:84633303-84633315(-) ggaaggaaggaa >mm10_chr7:84633308-84633319(-)::chr7:84633307-84633319(-) gggaggaaggaa >mm10_chr7:84678294-84678305(+)::chr7:84678293-84678305(+) AGAAGGAAAATG >mm10_chr7:84678336-84678347(-)::chr7:84678335-84678347(-) ATCAGGAAGTCA >mm10_chr7:84681210-84681221(-)::chr7:84681209-84681221(-) ACTAGGAAGAAA >mm10_chr7:84688132-84688143(-)::chr7:84688131-84688143(-) ATGAGGAACTAA >mm10_chr7:84688182-84688193(-)::chr7:84688181-84688193(-) ATCAGGAAGACT >mm10_chr7:84733163-84733174(-)::chr7:84733162-84733174(-) GCAAGGAAGCAG >mm10_chr7:84733357-84733368(-)::chr7:84733356-84733368(-) cagaggaagtat >mm10_chr7:84740004-84740015(-)::chr7:84740003-84740015(-) agcaggaagaag >mm10_chr7:84746144-84746155(-)::chr7:84746143-84746155(-) AGCAGGAAGTTC >mm10_chr7:84746151-84746162(-)::chr7:84746150-84746162(-) AACAGGAAGCAG >mm10_chr7:84747928-84747939(+)::chr7:84747927-84747939(+) ACAGGGAAGTTG >mm10_chr7:84756153-84756164(+)::chr7:84756152-84756164(+) tccaggaagaga >mm10_chr7:86278404-86278415(+)::chr7:86278403-86278415(+) acgagaaagtac >mm10_chr7:87181719-87181730(-)::chr7:87181718-87181730(-) tgaaggaagacc >mm10_chr7:87337794-87337805(+)::chr7:87337793-87337805(+) gtaaggaattat >mm10_chr7:87672104-87672115(+)::chr7:87672103-87672115(+) AAGAGGAAATTA >mm10_chr7:87926214-87926225(+)::chr7:87926213-87926225(+) TGATGGAAGTAG >mm10_chr7:88054412-88054423(-)::chr7:88054411-88054423(-) ACAAGGAAGTGT >mm10_chr7:88054463-88054474(-)::chr7:88054462-88054474(-) TTCAGGAAGTAC >mm10_chr7:88054489-88054500(-)::chr7:88054488-88054500(-) GGAAGGAACTAA >mm10_chr7:88202151-88202162(+)::chr7:88202150-88202162(+) TAGAGGAAGAGG >mm10_chr7:88202170-88202181(+)::chr7:88202169-88202181(+) GTGAGGAAGTAG >mm10_chr7:88207613-88207624(-)::chr7:88207612-88207624(-) AAGAGGAAGTGA >mm10_chr7:88207646-88207657(+)::chr7:88207645-88207657(+) AGTAGGAAGGAG >mm10_chr7:88262017-88262028(-)::chr7:88262016-88262028(-) AGCAGGAAGTAC >mm10_chr7:88277885-88277896(-)::chr7:88277884-88277896(-) AGGAGGAAGAAG >mm10_chr7:88277932-88277943(-)::chr7:88277931-88277943(-) CTGAGGAAGTTA >mm10_chr7:88290056-88290067(-)::chr7:88290055-88290067(-) AGAAGGAAATGA >mm10_chr7:88292194-88292205(+)::chr7:88292193-88292205(+) TTAAGGAAGTTG >mm10_chr7:88319106-88319117(+)::chr7:88319105-88319117(+) aggaggaagtgg >mm10_chr7:88319591-88319602(+)::chr7:88319590-88319602(+) GGCAGGAAGGAA >mm10_chr7:88319629-88319640(+)::chr7:88319628-88319640(+) AGCAGGAAGTTG >mm10_chr7:88358570-88358581(-)::chr7:88358569-88358581(-) TCAAGGAAATAA >mm10_chr7:88385468-88385479(+)::chr7:88385467-88385479(+) gacaggaaatga >mm10_chr7:88466187-88466198(-)::chr7:88466186-88466198(-) aacaggaagtgg >mm10_chr7:88482197-88482208(+)::chr7:88482196-88482208(+) AAGGGGAAGTAT >mm10_chr7:88508276-88508287(+)::chr7:88508275-88508287(+) ACAAGGAAAGGG >mm10_chr7:88508286-88508297(-)::chr7:88508285-88508297(-) ACAAGGAAATCC >mm10_chr7:89168838-89168849(+)::chr7:89168837-89168849(+) CCAAGGAAGCAA >mm10_chr7:89215641-89215652(+)::chr7:89215640-89215652(+) AACAGGAAGGAG >mm10_chr7:89236362-89236373(+)::chr7:89236361-89236373(+) TCAAGGAAGTAA >mm10_chr7:89335245-89335256(-)::chr7:89335244-89335256(-) ATGAGGAAGTGT >mm10_chr7:89371153-89371164(+)::chr7:89371152-89371164(+) GACAGGAAGTGT >mm10_chr7:89371167-89371178(-)::chr7:89371166-89371178(-) TCAAGGAAGCCG >mm10_chr7:89371519-89371530(-)::chr7:89371518-89371530(-) aagaggaagaAA >mm10_chr7:89371525-89371536(-)::chr7:89371524-89371536(-) aagaggaagagg >mm10_chr7:89371543-89371554(-)::chr7:89371542-89371554(-) aagaggaagggg >mm10_chr7:89408368-89408379(-)::chr7:89408367-89408379(-) ACGAGGAAGCCG >mm10_chr7:89408389-89408400(+)::chr7:89408388-89408400(+) TGAAGGAAGTGA >mm10_chr7:89411524-89411535(-)::chr7:89411523-89411535(-) TAAAGGAAGAAA >mm10_chr7:89411543-89411554(-)::chr7:89411542-89411554(-) ACCAGGAAATGG >mm10_chr7:89438750-89438761(+)::chr7:89438749-89438761(+) agaaggaagagg >mm10_chr7:89438783-89438794(+)::chr7:89438782-89438794(+) aggaggaagagg >mm10_chr7:89438789-89438800(+)::chr7:89438788-89438800(+) aagaggaagagg >mm10_chr7:89442060-89442071(-)::chr7:89442059-89442071(-) ACGAGGAAATGA >mm10_chr7:89717071-89717082(-)::chr7:89717070-89717082(-) ACTAGGAAGAAG >mm10_chr7:89717089-89717100(-)::chr7:89717088-89717100(-) AGCAGGAAGAGA >mm10_chr7:89717105-89717116(-)::chr7:89717104-89717116(-) ACCAGGAAGGAG >mm10_chr7:89773590-89773601(+)::chr7:89773589-89773601(+) AGAAGGAAAGGG >mm10_chr7:89773636-89773647(-)::chr7:89773635-89773647(-) CAAAGGAAGTAG >mm10_chr7:89811446-89811457(-)::chr7:89811445-89811457(-) TGTAGGAAGTTG >mm10_chr7:89833573-89833584(+)::chr7:89833572-89833584(+) AAGAGGAAGTAC >mm10_chr7:89941205-89941216(-)::chr7:89941204-89941216(-) ACCCGGAAGTAC >mm10_chr7:89941225-89941236(-)::chr7:89941224-89941236(-) AGGAGGAAATGG >mm10_chr7:89949596-89949607(+)::chr7:89949595-89949607(+) ataaggaagtaa >mm10_chr7:89949638-89949649(+)::chr7:89949637-89949649(+) acagggaagtag >mm10_chr7:89980507-89980518(-)::chr7:89980506-89980518(-) AGAGGGAAGTGT >mm10_chr7:90019773-90019784(+)::chr7:90019772-90019784(+) ATAAGGAAGTGA >mm10_chr7:90019792-90019803(+)::chr7:90019791-90019803(+) AGGAGGAAGTAC >mm10_chr7:90023299-90023310(+)::chr7:90023298-90023310(+) AGGAGGAAGTAG >mm10_chr7:90023319-90023330(+)::chr7:90023318-90023330(+) AAGAGGAAGTGG >mm10_chr7:90023337-90023348(+)::chr7:90023336-90023348(+) CAAAGGAAGACA >mm10_chr7:90030011-90030022(-)::chr7:90030010-90030022(-) ATAAGGAAAAGA >mm10_chr7:90030704-90030715(+)::chr7:90030703-90030715(+) AACAGGAAATAC >mm10_chr7:90074641-90074652(-)::chr7:90074640-90074652(-) GAAAGGATGTAG >mm10_chr7:90091077-90091088(-)::chr7:90091076-90091088(-) gtaaggaagatg >mm10_chr7:90129385-90129396(-)::chr7:90129384-90129396(-) AGAAGGAAGTGA >mm10_chr7:90130180-90130191(+)::chr7:90130179-90130191(+) gggaggaagcga >mm10_chr7:90234251-90234262(-)::chr7:90234250-90234262(-) AGAAGGAAACAG >mm10_chr7:90234508-90234519(-)::chr7:90234507-90234519(-) AGCAGGAAGCCG >mm10_chr7:90234529-90234540(-)::chr7:90234528-90234540(-) CAAAGGAAGGCG >mm10_chr7:90442787-90442798(-)::chr7:90442786-90442798(-) GGCCGGAAGTTA >mm10_chr7:90456416-90456427(-)::chr7:90456415-90456427(-) ACCAGGAAGTAT >mm10_chr7:90461068-90461079(+)::chr7:90461067-90461079(+) gaggggaagtcg >mm10_chr7:90651244-90651255(-)::chr7:90651243-90651255(-) GACAGGAAATAG >mm10_chr7:90707903-90707914(-)::chr7:90707902-90707914(-) aaaaggaagcag >mm10_chr7:91226596-91226607(-)::chr7:91226595-91226607(-) CTAAGGAAGTCG >mm10_chr7:91284213-91284224(+)::chr7:91284212-91284224(+) AAGAGGAAGATG >mm10_chr7:91691746-91691757(-)::chr7:91691745-91691757(-) GAAAGGAAGAAA >mm10_chr7:92047158-92047169(-)::chr7:92047157-92047169(-) GCAAGGAAATGT >mm10_chr7:92115423-92115434(+)::chr7:92115422-92115434(+) TGCAGGAAATGT >mm10_chr7:92115449-92115460(+)::chr7:92115448-92115460(+) ACCAGGAAGAGA >mm10_chr7:92254842-92254853(+)::chr7:92254841-92254853(+) ACAAGGAAGGGC >mm10_chr7:92254866-92254877(-)::chr7:92254865-92254877(-) AAAAGGAAGGTA >mm10_chr7:92393030-92393041(+)::chr7:92393029-92393041(+) TACAGGAAGGAA >mm10_chr7:92393034-92393045(+)::chr7:92393033-92393045(+) GGAAGGAAGTCA >mm10_chr7:92393089-92393100(+)::chr7:92393088-92393100(+) CAAAGGAAATGA >mm10_chr7:92523467-92523478(+)::chr7:92523466-92523478(+) tcaaggaagtcc >mm10_chr7:92523522-92523533(-)::chr7:92523521-92523533(-) ggaaggaactct >mm10_chr7:92523526-92523537(-)::chr7:92523525-92523537(-) ggcaggaaggaa >mm10_chr7:92561457-92561468(-)::chr7:92561456-92561468(-) ACAATGAAGTCC >mm10_chr7:92561798-92561809(-)::chr7:92561797-92561809(-) GGAAGGAAGCCC >mm10_chr7:92561802-92561813(-)::chr7:92561801-92561813(-) AGGAGGAAGGAA >mm10_chr7:92637141-92637152(-)::chr7:92637140-92637152(-) CGCCGGAAGTGT >mm10_chr7:92637158-92637169(-)::chr7:92637157-92637169(-) ATACGGAAGTGA >mm10_chr7:92637184-92637195(-)::chr7:92637183-92637195(-) AGCGGGAAGTGA >mm10_chr7:92637191-92637202(-)::chr7:92637190-92637202(-) GGAAGGAAGCGG >mm10_chr7:92637195-92637206(-)::chr7:92637194-92637206(-) GAAAGGAAGGAA >mm10_chr7:92653422-92653433(+)::chr7:92653421-92653433(+) ATAAGGAAATGA >mm10_chr7:92670058-92670069(-)::chr7:92670057-92670069(-) CCGAGGAAGAAG >mm10_chr7:92687365-92687376(+)::chr7:92687364-92687376(+) agaaggaagggc >mm10_chr7:92687383-92687394(+)::chr7:92687382-92687394(+) aggaggaaggaa >mm10_chr7:92687387-92687398(+)::chr7:92687386-92687398(+) ggaaggaaggag >mm10_chr7:92687398-92687409(+)::chr7:92687397-92687409(+) gaaaggaagCAA >mm10_chr7:92698798-92698809(+)::chr7:92698797-92698809(+) AGGAGGAAGAAG >mm10_chr7:92702779-92702790(+)::chr7:92702778-92702790(+) AGAAGGAAGTAG >mm10_chr7:92705372-92705383(-)::chr7:92705371-92705383(-) ACAAGGAAAATG >mm10_chr7:92705421-92705432(+)::chr7:92705420-92705432(+) TTCAGGAAGttc >mm10_chr7:92705434-92705445(-)::chr7:92705433-92705445(-) gggaggaagaca >mm10_chr7:92724013-92724024(-)::chr7:92724012-92724024(-) CTAAGGAAGGCA >mm10_chr7:92724070-92724081(+)::chr7:92724069-92724081(+) AGAAGGAAGGCA >mm10_chr7:92742055-92742066(-)::chr7:92742054-92742066(-) GCAAGGAAATTG >mm10_chr7:92761546-92761557(+)::chr7:92761545-92761557(+) AGAAGGAAATGC >mm10_chr7:92766771-92766782(-)::chr7:92766770-92766782(-) ACAAGGAATTCA >mm10_chr7:92774873-92774884(-)::chr7:92774872-92774884(-) AGCAGGAAGAGG >mm10_chr7:92774895-92774906(-)::chr7:92774894-92774906(-) aacaggaagtgc >mm10_chr7:92774939-92774950(-)::chr7:92774938-92774950(-) gttaggaagttg >mm10_chr7:92784787-92784798(+)::chr7:92784786-92784798(+) ggaaggaagaaa >mm10_chr7:92785445-92785456(-)::chr7:92785444-92785456(-) ACCAGGAAATGC >mm10_chr7:92814855-92814866(-)::chr7:92814854-92814866(-) CTGAGGAAGTCC >mm10_chr7:92814930-92814941(-)::chr7:92814929-92814941(-) GCCAGGAAGGAC >mm10_chr7:92868168-92868179(-)::chr7:92868167-92868179(-) aagaggaagcag >mm10_chr7:92874426-92874437(+)::chr7:92874425-92874437(+) CGAGGGAAGCCG >mm10_chr7:92975510-92975521(+)::chr7:92975509-92975521(+) ATCAGGAAGTAC >mm10_chr7:92980954-92980965(-)::chr7:92980953-92980965(-) TGGAGGAAGTGG >mm10_chr7:93023250-93023261(-)::chr7:93023249-93023261(-) ATAAGGAAGCAT >mm10_chr7:93036704-93036715(-)::chr7:93036703-93036715(-) ACCAGGAAGGTG >mm10_chr7:93038712-93038723(+)::chr7:93038711-93038723(+) CCCAGGAAGTAG >mm10_chr7:93038799-93038810(+)::chr7:93038798-93038810(+) GGGAGGAAGTCA >mm10_chr7:93062001-93062012(+)::chr7:93062000-93062012(+) ACAAGGAAGCTT >mm10_chr7:93093891-93093902(+)::chr7:93093890-93093902(+) AACAGGAAGTGA >mm10_chr7:94858578-94858589(+)::chr7:94858577-94858589(+) AAAAGGAAGAGT >mm10_chr7:94858638-94858649(-)::chr7:94858637-94858649(-) ATGAGGAAGCAG >mm10_chr7:94898309-94898320(+)::chr7:94898308-94898320(+) ACAAGGAAAGTA >mm10_chr7:95606187-95606198(+)::chr7:95606186-95606198(+) AAAAGGAACTTG >mm10_chr7:95814407-95814418(+)::chr7:95814406-95814418(+) GGACGGAAGAGA >mm10_chr7:95814418-95814429(+)::chr7:95814417-95814429(+) ACCAGGAAGGAA >mm10_chr7:95830180-95830191(-)::chr7:95830179-95830191(-) TTAAGGAAGTAA >mm10_chr7:95852740-95852751(-)::chr7:95852739-95852751(-) ACAAGGAAGGGC >mm10_chr7:95853474-95853485(-)::chr7:95853473-95853485(-) tgcaggaagagg >mm10_chr7:95853503-95853514(-)::chr7:95853502-95853514(-) acggggaagtaa >mm10_chr7:95873164-95873175(-)::chr7:95873163-95873175(-) ATAAGGAAGAAC >mm10_chr7:95873198-95873209(-)::chr7:95873197-95873209(-) TCAAGGAAATAA >mm10_chr7:96105412-96105423(+)::chr7:96105411-96105423(+) tcaaggaagccc >mm10_chr7:96257058-96257069(+)::chr7:96257057-96257069(+) ATAAGGAAAAGA >mm10_chr7:96257066-96257077(+)::chr7:96257065-96257077(+) AAGAGGAAGGAG >mm10_chr7:96260112-96260123(+)::chr7:96260111-96260123(+) AACAGGAAGGGG >mm10_chr7:96260128-96260139(+)::chr7:96260127-96260139(+) GGGAGGAAGCAG >mm10_chr7:96260148-96260159(+)::chr7:96260147-96260159(+) GAAAGGAAGGCA >mm10_chr7:96266541-96266552(+)::chr7:96266540-96266552(+) acacggaagcca >mm10_chr7:96366012-96366023(-)::chr7:96366011-96366023(-) ATAAGGAACAGG >mm10_chr7:96366049-96366060(-)::chr7:96366048-96366060(-) ACCAGGAAGAAG >mm10_chr7:96366063-96366074(+)::chr7:96366062-96366074(+) TCGAGGAAGCTG >mm10_chr7:96366049-96366060(-)::chr7:96366048-96366060(-) ACCAGGAAGAAG >mm10_chr7:96366063-96366074(+)::chr7:96366062-96366074(+) TCGAGGAAGCTG >mm10_chr7:96425626-96425637(-)::chr7:96425625-96425637(-) TGCAGGAAGAAA >mm10_chr7:96816567-96816578(+)::chr7:96816566-96816578(+) acaaggaaatgg >mm10_chr7:96833298-96833309(+)::chr7:96833297-96833309(+) AACAGGAAGAGC >mm10_chr7:96902306-96902317(-)::chr7:96902305-96902317(-) ACAAGGAAGTCA >mm10_chr7:96952245-96952256(+)::chr7:96952244-96952256(+) GGCAGGAAATAG >mm10_chr7:96981684-96981695(-)::chr7:96981683-96981695(-) acaaggaagttc >mm10_chr7:97058480-97058491(-)::chr7:97058479-97058491(-) AGGAGGAAATCA >mm10_chr7:97083036-97083047(+)::chr7:97083035-97083047(+) CTGAGGAAGTGA >mm10_chr7:97083060-97083071(+)::chr7:97083059-97083071(+) CAAAGGAAGTGT >mm10_chr7:97093720-97093731(-)::chr7:97093719-97093731(-) aggaggaaggac >mm10_chr7:97123334-97123345(-)::chr7:97123333-97123345(-) aagaggaaggta >mm10_chr7:97123340-97123351(-)::chr7:97123339-97123351(-) tccaggaagagg >mm10_chr7:97164433-97164444(+)::chr7:97164432-97164444(+) TGAAGGAAATAG >mm10_chr7:97172397-97172408(-)::chr7:97172396-97172408(-) AACAGGAAGCTA >mm10_chr7:97211228-97211239(+)::chr7:97211227-97211239(+) AGAAGGAAGTCA >mm10_chr7:97257558-97257569(-)::chr7:97257557-97257569(-) TCAAGGAAGCAA >mm10_chr7:97269099-97269110(+)::chr7:97269098-97269110(+) AACAGGAAGCCA >mm10_chr7:97270483-97270494(+)::chr7:97270482-97270494(+) AGCAGGAAGTAG >mm10_chr7:97270501-97270512(+)::chr7:97270500-97270512(+) CTAAGGAAATGT >mm10_chr7:97312042-97312053(-)::chr7:97312041-97312053(-) agaaggaAGGGG >mm10_chr7:97312066-97312077(-)::chr7:97312065-97312077(-) aaaaggaagctg >mm10_chr7:97312084-97312095(-)::chr7:97312083-97312095(-) agaaggaagatg >mm10_chr7:97312939-97312950(+)::chr7:97312938-97312950(+) ACAAGGAAGTGT >mm10_chr7:97313463-97313474(-)::chr7:97313462-97313474(-) GGCAGGAAGAGC >mm10_chr7:97345826-97345837(-)::chr7:97345825-97345837(-) CTAAGGAAGAAC >mm10_chr7:97356544-97356555(+)::chr7:97356543-97356555(+) AGAAGGAACTGG >mm10_chr7:97356875-97356886(-)::chr7:97356874-97356886(-) GAGAGGAAGTTT >mm10_chr7:97356894-97356905(+)::chr7:97356893-97356905(+) AAGAGGAAGGAG >mm10_chr7:97371613-97371624(+)::chr7:97371612-97371624(+) TCCCGGAAGTGA >mm10_chr7:97387234-97387245(+)::chr7:97387233-97387245(+) AGCAGGAAATCC >mm10_chr7:97403947-97403958(+)::chr7:97403946-97403958(+) acaAGGAAGAAA >mm10_chr7:97417068-97417079(-)::chr7:97417067-97417079(-) ACCAGGAAATGA >mm10_chr7:97417078-97417089(-)::chr7:97417077-97417089(-) ACGCGGAAATAC >mm10_chr7:97417729-97417740(-)::chr7:97417728-97417740(-) TGAAGGAAGAGT >mm10_chr7:97418815-97418826(+)::chr7:97418814-97418826(+) CAGAGGAAGTCC >mm10_chr7:97421878-97421889(-)::chr7:97421877-97421889(-) AACAGGAAGGAC >mm10_chr7:97427338-97427349(+)::chr7:97427337-97427349(+) agaaggaagaga >mm10_chr7:97446603-97446614(+)::chr7:97446602-97446614(+) GAGAGGAAGGGG >mm10_chr7:97446854-97446865(+)::chr7:97446853-97446865(+) AGGAGGAAGAAC >mm10_chr7:97480916-97480927(-)::chr7:97480915-97480927(-) AGACGGAAATTA >mm10_chr7:97485188-97485199(+)::chr7:97485187-97485199(+) AGCAGGAAGGGA >mm10_chr7:97535781-97535792(+)::chr7:97535780-97535792(+) GGAAGGAGGTAC >mm10_chr7:97579594-97579605(+)::chr7:97579593-97579605(+) ACGAGGCAGTAG >mm10_chr7:97581109-97581120(-)::chr7:97581108-97581120(-) AGCAGGAAGTTT >mm10_chr7:97588562-97588573(+)::chr7:97588561-97588573(+) ACAAGGAAGGGG >mm10_chr7:97588583-97588594(+)::chr7:97588582-97588594(+) GAAAGGAAGAAA >mm10_chr7:97589719-97589730(-)::chr7:97589718-97589730(-) AGGAGGAAGAAG >mm10_chr7:97592705-97592716(+)::chr7:97592704-97592716(+) ctgaggaagtgg >mm10_chr7:97709326-97709337(-)::chr7:97709325-97709337(-) GAGaggaagggg >mm10_chr7:97709345-97709356(-)::chr7:97709344-97709356(-) GAAAGGAAGAGA >mm10_chr7:97709368-97709379(-)::chr7:97709367-97709379(-) ACCAGGAAGCAG >mm10_chr7:97749068-97749079(+)::chr7:97749067-97749079(+) gacaggaagagc >mm10_chr7:97776068-97776079(-)::chr7:97776067-97776079(-) TACAGGAAGAAA >mm10_chr7:97776122-97776133(+)::chr7:97776121-97776133(+) ctaaggaaatga >mm10_chr7:97781986-97781997(+)::chr7:97781985-97781997(+) aagaggaaggag >mm10_chr7:97781993-97782004(+)::chr7:97781992-97782004(+) aggaggaagaaa >mm10_chr7:97782002-97782013(+)::chr7:97782001-97782013(+) aaagggaagata >mm10_chr7:97782016-97782027(+)::chr7:97782015-97782027(+) AGTAGGAAGTAG >mm10_chr7:97792321-97792332(-)::chr7:97792320-97792332(-) GGGAGGAAATGA >mm10_chr7:97792342-97792353(-)::chr7:97792341-97792353(-) ATAAGGAAGAAA >mm10_chr7:97792356-97792367(-)::chr7:97792355-97792367(-) ATAAGGAAGAAA >mm10_chr7:97798233-97798244(+)::chr7:97798232-97798244(+) aagaggaaggac >mm10_chr7:97821745-97821756(-)::chr7:97821744-97821756(-) CGTAGGAAGACT >mm10_chr7:97830941-97830952(+)::chr7:97830940-97830952(+) AAAAGGAAATGA >mm10_chr7:97831062-97831073(+)::chr7:97831061-97831073(+) AGGAGGAAGGAA >mm10_chr7:97831066-97831077(+)::chr7:97831065-97831077(+) GGAAGGAAGGCC >mm10_chr7:97844065-97844076(-)::chr7:97844064-97844076(-) gaaaggaagagc >mm10_chr7:97844073-97844084(-)::chr7:97844072-97844084(-) aggaggaagaaa >mm10_chr7:97844082-97844093(-)::chr7:97844081-97844093(-) agcaggaagagg >mm10_chr7:97844146-97844157(-)::chr7:97844145-97844157(-) gaaaggaagaaa >mm10_chr7:97844151-97844162(-)::chr7:97844150-97844162(-) acaaggaaagga >mm10_chr7:97844400-97844411(-)::chr7:97844399-97844411(-) ATAAGGAAAACA >mm10_chr7:97845667-97845678(+)::chr7:97845666-97845678(+) AGAAGGAAGCAG >mm10_chr7:98120472-98120483(-)::chr7:98120471-98120483(-) ATGAGGAAGTTG >mm10_chr7:98158002-98158013(-)::chr7:98158001-98158013(-) ACCAGGAAGAAG >mm10_chr7:98165150-98165161(-)::chr7:98165149-98165161(-) ATCAGGAAGGCC >mm10_chr7:98171280-98171291(-)::chr7:98171279-98171291(-) TAAAGGAAGGGA >mm10_chr7:98172731-98172742(+)::chr7:98172730-98172742(+) ACAAGGAAGCTG >mm10_chr7:98185964-98185975(-)::chr7:98185963-98185975(-) tggaggaagagg >mm10_chr7:98186274-98186285(+)::chr7:98186273-98186285(+) CAGAGGAAGTCT >mm10_chr7:98283044-98283055(+)::chr7:98283043-98283055(+) AGCATGAAGTAT >mm10_chr7:98294319-98294330(-)::chr7:98294318-98294330(-) ataaggaagatt >mm10_chr7:98294375-98294386(+)::chr7:98294374-98294386(+) aggaggaagtga >mm10_chr7:98341521-98341532(+)::chr7:98341520-98341532(+) TCCAGGAAGGAA >mm10_chr7:98341525-98341536(+)::chr7:98341524-98341536(+) GGAAGGAAGCAG >mm10_chr7:98341532-98341543(+)::chr7:98341531-98341543(+) AGCAGGAAATAG >mm10_chr7:98358690-98358701(-)::chr7:98358689-98358701(-) AGAAGGAAGCCT >mm10_chr7:98427271-98427282(-)::chr7:98427270-98427282(-) aagaggaaggag >mm10_chr7:98499040-98499051(-)::chr7:98499039-98499051(-) TTGAGGAAGCGC >mm10_chr7:98511667-98511678(-)::chr7:98511666-98511678(-) TGAAGGAAgatc >mm10_chr7:98512371-98512382(+)::chr7:98512370-98512382(+) ttaaggaagatt >mm10_chr7:98522741-98522752(-)::chr7:98522740-98522752(-) AAGAGGAAGAAA >mm10_chr7:98522829-98522840(+)::chr7:98522828-98522840(+) AACAGGAAGCCA >mm10_chr7:98562334-98562345(+)::chr7:98562333-98562345(+) CCAAGGAAGAGT >mm10_chr7:98564772-98564783(-)::chr7:98564771-98564783(-) GGGCGGAAGTGG >mm10_chr7:98564838-98564849(-)::chr7:98564837-98564849(-) AGCAGGAAGGCC >mm10_chr7:98567336-98567347(-)::chr7:98567335-98567347(-) CTAaggaaatgt >mm10_chr7:98610355-98610366(-)::chr7:98610354-98610366(-) AAGAGGAAGAAG >mm10_chr7:98729963-98729974(-)::chr7:98729962-98729974(-) AGCAGGAAATTG >mm10_chr7:98787042-98787053(+)::chr7:98787041-98787053(+) gtaaggaaggaa >mm10_chr7:98787046-98787057(+)::chr7:98787045-98787057(+) ggaaggaagtat >mm10_chr7:98816257-98816268(-)::chr7:98816256-98816268(-) TACAGGAAGaag >mm10_chr7:98816283-98816294(-)::chr7:98816282-98816294(-) TACAGGAAGAAG >mm10_chr7:98839740-98839751(-)::chr7:98839739-98839751(-) AGGAGGAACTAG >mm10_chr7:98840934-98840945(+)::chr7:98840933-98840945(+) ataaggaagtta >mm10_chr7:98849010-98849021(-)::chr7:98849009-98849021(-) CGAAGGAACCCG >mm10_chr7:98849088-98849099(-)::chr7:98849087-98849099(-) AACAGGAAGAAG >mm10_chr7:98875500-98875511(-)::chr7:98875499-98875511(-) AGCAGGAAGGGG >mm10_chr7:99050077-99050088(-)::chr7:99050076-99050088(-) AAGAGGAAGTAC >mm10_chr7:99050083-99050094(-)::chr7:99050082-99050094(-) GTGAGGAAGAGG >mm10_chr7:99101839-99101850(+)::chr7:99101838-99101850(+) ATGAGGAAATGA >mm10_chr7:99101847-99101858(+)::chr7:99101846-99101858(+) ATGAGGAAGGAA >mm10_chr7:99101867-99101878(+)::chr7:99101866-99101878(+) ATGAGGAAGGAA >mm10_chr7:99101871-99101882(+)::chr7:99101870-99101882(+) GGAAGGAACGTG >mm10_chr7:99134275-99134286(-)::chr7:99134274-99134286(-) ATGAGGAAGCGT >mm10_chr7:99146218-99146229(+)::chr7:99146217-99146229(+) GACAGGAAGTCA >mm10_chr7:99159305-99159316(-)::chr7:99159304-99159316(-) AGCAGGAAGGCA >mm10_chr7:99159334-99159345(-)::chr7:99159333-99159345(-) TTAGGGAAGTTA >mm10_chr7:99166540-99166551(+)::chr7:99166539-99166551(+) GAAAGGATGTCG >mm10_chr7:99323027-99323038(+)::chr7:99323026-99323038(+) ATAAGGAAGGGG >mm10_chr7:99377576-99377587(+)::chr7:99377575-99377587(+) ggaaggaaggga >mm10_chr7:99377588-99377599(+)::chr7:99377587-99377599(+) gggaggaagggg >mm10_chr7:99377637-99377648(+)::chr7:99377636-99377648(+) acagggaagtca >mm10_chr7:99384193-99384204(-)::chr7:99384192-99384204(-) GGAAGGAACTGG >mm10_chr7:99384232-99384243(+)::chr7:99384231-99384243(+) ACCAGGAAGTCT >mm10_chr7:99387806-99387817(+)::chr7:99387805-99387817(+) AAGAGGAAGTAT >mm10_chr7:99390646-99390657(+)::chr7:99390645-99390657(+) tccaggaagttc >mm10_chr7:99425221-99425232(+)::chr7:99425220-99425232(+) AAGAGGAAGGGA >mm10_chr7:99434873-99434884(-)::chr7:99434872-99434884(-) GAGAGGAAGTAG >mm10_chr7:99434893-99434904(-)::chr7:99434892-99434904(-) GGAAGGAAGGCG >mm10_chr7:99434937-99434948(-)::chr7:99434936-99434948(-) ATGAGGAAGAAA >mm10_chr7:99435078-99435089(-)::chr7:99435077-99435089(-) ACCAGGAAGTAG >mm10_chr7:99450918-99450929(+)::chr7:99450917-99450929(+) GGTAGGAAGAGG >mm10_chr7:99483589-99483600(-)::chr7:99483588-99483600(-) AAGAGGAAGGTA >mm10_chr7:99483646-99483657(+)::chr7:99483645-99483657(+) GAAAGGAAGTGG >mm10_chr7:99483663-99483674(+)::chr7:99483662-99483674(+) GGGCGGAAGTAG >mm10_chr7:99483819-99483830(+)::chr7:99483818-99483830(+) AACAGGAAGTAG >mm10_chr7:99520586-99520597(-)::chr7:99520585-99520597(-) ggcaggaagatc >mm10_chr7:99546254-99546265(+)::chr7:99546253-99546265(+) CCTAGGAAGTTG >mm10_chr7:99546293-99546304(-)::chr7:99546292-99546304(-) AGGAGGAAGTGA >mm10_chr7:99562634-99562645(-)::chr7:99562633-99562645(-) GGGAGGAAGGGG >mm10_chr7:99586312-99586323(+)::chr7:99586311-99586323(+) tggaggaagcaa >mm10_chr7:99586354-99586365(+)::chr7:99586353-99586365(+) agaaggaagaag >mm10_chr7:99627130-99627141(-)::chr7:99627129-99627141(-) AGAAGCAAGTCA >mm10_chr7:99666390-99666401(+)::chr7:99666389-99666401(+) CGTAGGAAGAGG >mm10_chr7:99666443-99666454(-)::chr7:99666442-99666454(-) ACAAGGAACTGG >mm10_chr7:99671925-99671936(+)::chr7:99671924-99671936(+) ttcaggaagttt >mm10_chr7:99671971-99671982(-)::chr7:99671970-99671982(-) taagggaagtca >mm10_chr7:99674417-99674428(-)::chr7:99674416-99674428(-) ACAGGGAAGTAC >mm10_chr7:99680873-99680884(+)::chr7:99680872-99680884(+) ATAGGGAAGTGA >mm10_chr7:99681305-99681316(-)::chr7:99681304-99681316(-) ACCAGGAAGAAC >mm10_chr7:99681356-99681367(-)::chr7:99681355-99681367(-) GACAGGAAGCAA >mm10_chr7:99694564-99694575(-)::chr7:99694563-99694575(-) AAAAGGAACTCC >mm10_chr7:99700639-99700650(+)::chr7:99700638-99700650(+) aggaggaagaag >mm10_chr7:99730165-99730176(+)::chr7:99730164-99730176(+) TGAAGGAAGAGT >mm10_chr7:99788216-99788227(+)::chr7:99788215-99788227(+) CTAAGGAAGAGT >mm10_chr7:99788237-99788248(-)::chr7:99788236-99788248(-) CTGAGGAAGTGA >mm10_chr7:99788532-99788543(-)::chr7:99788531-99788543(-) AGCAGGAAGCAT >mm10_chr7:99863632-99863643(-)::chr7:99863631-99863643(-) ACAAGGAACTCA >mm10_chr7:99863655-99863666(-)::chr7:99863654-99863666(-) AGAAGGAAGTGG >mm10_chr7:99865409-99865420(-)::chr7:99865408-99865420(-) AACAGGAAGTTA >mm10_chr7:99896341-99896352(-)::chr7:99896340-99896352(-) aagaggaagagg >mm10_chr7:99896368-99896379(-)::chr7:99896367-99896379(-) aagaggaagaag >mm10_chr7:99896374-99896385(-)::chr7:99896373-99896385(-) aggaggaagagg >mm10_chr7:99925348-99925359(-)::chr7:99925347-99925359(-) AAAAGGAAGCGT >mm10_chr7:99946549-99946560(+)::chr7:99946548-99946560(+) AGACGGAAGTCA >mm10_chr7:99963098-99963109(-)::chr7:99963097-99963109(-) ACAAGGAAGGGC >mm10_chr7:99972754-99972765(-)::chr7:99972753-99972765(-) GGAAGGAAGACA >mm10_chr7:99993584-99993595(+)::chr7:99993583-99993595(+) AAAAGGAAGTCC >mm10_chr7:100001022-100001033(+)::chr7:100001021-100001033(+) acgaggaaatca >mm10_chr7:100001076-100001087(+)::chr7:100001075-100001087(+) ttaaggaagagc >mm10_chr7:100010577-100010588(+)::chr7:100010576-100010588(+) CGAGGGAAGTGA >mm10_chr7:100037697-100037708(-)::chr7:100037696-100037708(-) AAGAGGAAGTGG >mm10_chr7:100037708-100037719(-)::chr7:100037707-100037719(-) AGGAGGAAGAGA >mm10_chr7:100092583-100092594(+)::chr7:100092582-100092594(+) AACAGGAAATGA >mm10_chr7:100111575-100111586(+)::chr7:100111574-100111586(+) CACAGGAAGCCG >mm10_chr7:100112342-100112353(-)::chr7:100112341-100112353(-) GAAAGGAAACGG >mm10_chr7:100131908-100131919(-)::chr7:100131907-100131919(-) TCCAGGAAGTGC >mm10_chr7:100137204-100137215(+)::chr7:100137203-100137215(+) CCGAGGAAGGTG >mm10_chr7:100148851-100148862(-)::chr7:100148850-100148862(-) GCCAGgaagtgg >mm10_chr7:100150193-100150204(+)::chr7:100150192-100150204(+) AGAAAGAAGTGC >mm10_chr7:100157921-100157932(-)::chr7:100157920-100157932(-) AAGAGGAAGAAC >mm10_chr7:100182646-100182657(-)::chr7:100182645-100182657(-) AAGAGGAAGGAA >mm10_chr7:100182652-100182663(-)::chr7:100182651-100182663(-) AGGAGGAAGAGG >mm10_chr7:100208055-100208066(-)::chr7:100208054-100208066(-) aagaggaaatag >mm10_chr7:100208071-100208082(-)::chr7:100208070-100208082(-) tggaggaaatag >mm10_chr7:100217979-100217990(-)::chr7:100217978-100217990(-) AAGAGGAAATGA >mm10_chr7:100228328-100228339(-)::chr7:100228327-100228339(-) AGGACGAAGTAT >mm10_chr7:100228404-100228415(-)::chr7:100228403-100228415(-) AGAAGGAAGTCA >mm10_chr7:100280015-100280026(+)::chr7:100280014-100280026(+) GAAAGGAAATGT >mm10_chr7:100369557-100369568(+)::chr7:100369556-100369568(+) ATAAGGAAGCAG >mm10_chr7:100369569-100369580(+)::chr7:100369568-100369580(+) AAAAGGAAATGA >mm10_chr7:100372307-100372318(-)::chr7:100372306-100372318(-) CGCAGGAAGAAA >mm10_chr7:100431379-100431390(-)::chr7:100431378-100431390(-) GAAGGGAAGTGC >mm10_chr7:100431394-100431405(-)::chr7:100431393-100431405(-) CTGAGGAAGTGC >mm10_chr7:100446446-100446457(+)::chr7:100446445-100446457(+) agaaggaagaag >mm10_chr7:100457833-100457844(+)::chr7:100457832-100457844(+) TCCAGGAAGAAA >mm10_chr7:100461867-100461878(+)::chr7:100461866-100461878(+) AAAAGGAAGAGA >mm10_chr7:100468454-100468465(-)::chr7:100468453-100468465(-) AACAGGAAGGGT >mm10_chr7:100515710-100515721(+)::chr7:100515709-100515721(+) ACCAGGAAGTGG >mm10_chr7:100537070-100537081(-)::chr7:100537069-100537081(-) ACGCGGAAGAAC >mm10_chr7:100537099-100537110(-)::chr7:100537098-100537110(-) CGCAGGAAGCCG >mm10_chr7:100537298-100537309(-)::chr7:100537297-100537309(-) AAGAGGAAGGAG >mm10_chr7:100537304-100537315(-)::chr7:100537303-100537315(-) ACAAGGAAGAGG >mm10_chr7:100537727-100537738(-)::chr7:100537726-100537738(-) AGCAGGAAATGA >mm10_chr7:100562001-100562012(+)::chr7:100562000-100562012(+) CCAAGGAAGTGC >mm10_chr7:100604505-100604516(+)::chr7:100604504-100604516(+) AGCAGGAAGGAA >mm10_chr7:100604509-100604520(+)::chr7:100604508-100604520(+) GGAAGGAATTGA >mm10_chr7:100604533-100604544(+)::chr7:100604532-100604544(+) TGGAGGAAGTAC >mm10_chr7:100607061-100607072(-)::chr7:100607060-100607072(-) agaaggaaatga >mm10_chr7:100661491-100661502(+)::chr7:100661490-100661502(+) CCAAGGAAGCTG >mm10_chr7:100661513-100661524(+)::chr7:100661512-100661524(+) AAGGGGAAGTAA >mm10_chr7:100679214-100679225(+)::chr7:100679213-100679225(+) aagaggaagttg >mm10_chr7:100699294-100699305(-)::chr7:100699293-100699305(-) ggaaggaaggaa >mm10_chr7:100699298-100699309(-)::chr7:100699297-100699309(-) ggaaggaaggaa >mm10_chr7:100699818-100699829(-)::chr7:100699817-100699829(-) TGAAGGAAGTGA >mm10_chr7:100706405-100706416(-)::chr7:100706404-100706416(-) GGAGGGAAGTTG >mm10_chr7:100723639-100723650(-)::chr7:100723638-100723650(-) GGCAGGAAGAAT >mm10_chr7:100733333-100733344(-)::chr7:100733332-100733344(-) AGCAGGAAGACA >mm10_chr7:100763795-100763806(-)::chr7:100763794-100763806(-) TGAATGAAgtgg >mm10_chr7:100769716-100769727(+)::chr7:100769715-100769727(+) aacaggaagtag >mm10_chr7:100788557-100788568(+)::chr7:100788556-100788568(+) AGAAGGAAGGAA >mm10_chr7:100845642-100845653(-)::chr7:100845641-100845653(-) ACCAGGAAGTGG >mm10_chr7:100851802-100851813(-)::chr7:100851801-100851813(-) TTCAGGAAGTAG >mm10_chr7:100853343-100853354(+)::chr7:100853342-100853354(+) AGGAGGAAGTGC >mm10_chr7:100853351-100853362(-)::chr7:100853350-100853362(-) GGAAGGAAGCAC >mm10_chr7:100902534-100902545(-)::chr7:100902533-100902545(-) AGCAGGAAGCCA >mm10_chr7:100950588-100950599(-)::chr7:100950587-100950599(-) GGTAGGAAGAGG >mm10_chr7:100950597-100950608(-)::chr7:100950596-100950608(-) GAGAGGAAGGGT >mm10_chr7:100950644-100950655(-)::chr7:100950643-100950655(-) AGAGGGAAGTGT >mm10_chr7:100965318-100965329(+)::chr7:100965317-100965329(+) AAGAGGAAATAC >mm10_chr7:100979351-100979362(-)::chr7:100979350-100979362(-) AGGAGGAAGTAA >mm10_chr7:100992150-100992161(-)::chr7:100992149-100992161(-) AACAGGAAGTTC >mm10_chr7:100993197-100993208(+)::chr7:100993196-100993208(+) ACAAGGAAAAGT >mm10_chr7:101006296-101006307(+)::chr7:101006295-101006307(+) AGGAGGAAGCGG >mm10_chr7:101026912-101026923(-)::chr7:101026911-101026923(-) ACAAGGAAGAAG >mm10_chr7:101026978-101026989(+)::chr7:101026977-101026989(+) AGGAGGAAATAA >mm10_chr7:101029495-101029506(-)::chr7:101029494-101029506(-) ATAAGGAAGGTC >mm10_chr7:101029508-101029519(-)::chr7:101029507-101029519(-) TCAGGGAAGTCA >mm10_chr7:101050963-101050974(-)::chr7:101050962-101050974(-) AGTAGGAAGGAG >mm10_chr7:101050970-101050981(-)::chr7:101050969-101050981(-) AGAAGGAAGTAG >mm10_chr7:101050989-101051000(+)::chr7:101050988-101051000(+) ATGAGGAAGAAA >mm10_chr7:101060690-101060701(-)::chr7:101060689-101060701(-) ggcaggaactaa >mm10_chr7:101060703-101060714(-)::chr7:101060702-101060714(-) ggaaggaagttg >mm10_chr7:101062334-101062345(+)::chr7:101062333-101062345(+) AGAAGGAAAACA >mm10_chr7:101070551-101070562(-)::chr7:101070550-101070562(-) ATCAGGAAGTTC >mm10_chr7:101088457-101088468(-)::chr7:101088456-101088468(-) AGAAGGAAGTTG >mm10_chr7:101106914-101106925(-)::chr7:101106913-101106925(-) TCAAGGAAGGCC >mm10_chr7:101107207-101107218(+)::chr7:101107206-101107218(+) TGAATGAAGTGG >mm10_chr7:101110919-101110930(-)::chr7:101110918-101110930(-) AAAAGGAAATTC >mm10_chr7:101110931-101110942(-)::chr7:101110930-101110942(-) AGCAGGAAATCC >mm10_chr7:101114635-101114646(-)::chr7:101114634-101114646(-) AAAAGGAAGAGG >mm10_chr7:101124151-101124162(-)::chr7:101124150-101124162(-) AAAAGGAAGTGA >mm10_chr7:101143041-101143052(-)::chr7:101143040-101143052(-) TGCAGGAAGTAC >mm10_chr7:101143075-101143086(+)::chr7:101143074-101143086(+) TGAAGGAAGGAT >mm10_chr7:101200111-101200122(-)::chr7:101200110-101200122(-) CCCAGGAAGTGC >mm10_chr7:101213987-101213998(-)::chr7:101213986-101213998(-) AGGAGGAAGAGC >mm10_chr7:101229596-101229607(+)::chr7:101229595-101229607(+) gtaaggaagtga >mm10_chr7:101258988-101258999(-)::chr7:101258987-101258999(-) agaaggaaggag >mm10_chr7:101258995-101259006(-)::chr7:101258994-101259006(-) agaaggaagaag >mm10_chr7:101321536-101321547(+)::chr7:101321535-101321547(+) CCAGGGAAGTAT >mm10_chr7:101344783-101344794(-)::chr7:101344782-101344794(-) GGAAGTAAGTGT >mm10_chr7:101344787-101344798(-)::chr7:101344786-101344798(-) CAGAGGAAGTAA >mm10_chr7:101354524-101354535(+)::chr7:101354523-101354535(+) ACCAGGAAATGC >mm10_chr7:101361236-101361247(+)::chr7:101361235-101361247(+) TACGGGAAGTAT >mm10_chr7:101361814-101361825(-)::chr7:101361813-101361825(-) AAGAGGAAGGGG >mm10_chr7:101368144-101368155(-)::chr7:101368143-101368155(-) TGGAGGAAGATG >mm10_chr7:101369105-101369116(+)::chr7:101369104-101369116(+) gaagggaagtca >mm10_chr7:101377716-101377727(+)::chr7:101377715-101377727(+) AAAAGGAAGTAT >mm10_chr7:101378127-101378138(+)::chr7:101378126-101378138(+) TTCAGGAAGTGT >mm10_chr7:101379878-101379889(+)::chr7:101379877-101379889(+) AGGAGGAAGGAC >mm10_chr7:101379900-101379911(+)::chr7:101379899-101379911(+) TGGAGGAAGCGA >mm10_chr7:101379934-101379945(+)::chr7:101379933-101379945(+) AGGAGGAAGTGG >mm10_chr7:101412913-101412924(+)::chr7:101412912-101412924(+) CCAAGGAAGAGG >mm10_chr7:101465323-101465334(+)::chr7:101465322-101465334(+) AGAAGGAAGAGG >mm10_chr7:101503806-101503817(-)::chr7:101503805-101503817(-) AGGAGGAAGTGA >mm10_chr7:101512185-101512196(+)::chr7:101512184-101512196(+) TGGAGGAAGCTA >mm10_chr7:101512222-101512233(+)::chr7:101512221-101512233(+) AGGAGGAAGTGC >mm10_chr7:101512259-101512270(+)::chr7:101512258-101512270(+) GGCAGGAAGAAT >mm10_chr7:101557548-101557559(-)::chr7:101557547-101557559(-) GACAGGAAGTTG >mm10_chr7:101557577-101557588(+)::chr7:101557576-101557588(+) CCAGGGAAGTAT >mm10_chr7:101649022-101649033(+)::chr7:101649021-101649033(+) ACAAGGAACTGT >mm10_chr7:101649037-101649048(+)::chr7:101649036-101649048(+) ACTAGGAAGAGA >mm10_chr7:101682065-101682076(+)::chr7:101682064-101682076(+) AAAAGGAAGAAA >mm10_chr7:101683060-101683071(+)::chr7:101683059-101683071(+) GCAAGGAAGTGC >mm10_chr7:101809470-101809481(+)::chr7:101809469-101809481(+) ttgaggaagtgt >mm10_chr7:101809489-101809500(+)::chr7:101809488-101809500(+) cagaggaagtag >mm10_chr7:101809546-101809557(-)::chr7:101809545-101809557(-) ttaaggaaggac >mm10_chr7:101836437-101836448(-)::chr7:101836436-101836448(-) TGGAGGAAGGAT >mm10_chr7:101838155-101838166(-)::chr7:101838154-101838166(-) CCACGGAAGCGC >mm10_chr7:101849689-101849700(+)::chr7:101849688-101849700(+) aggaggaagaag >mm10_chr7:101849719-101849730(+)::chr7:101849718-101849730(+) aggaggaagagg >mm10_chr7:101849732-101849743(+)::chr7:101849731-101849743(+) gggaggaagagg >mm10_chr7:101849738-101849749(+)::chr7:101849737-101849749(+) aagaggaagagg >mm10_chr7:101859500-101859511(-)::chr7:101859499-101859511(-) GCCAGGAAGCAC >mm10_chr7:101863699-101863710(-)::chr7:101863698-101863710(-) GTAAGGAAGTAG >mm10_chr7:101863734-101863745(+)::chr7:101863733-101863745(+) ACCAGGAAGATG >mm10_chr7:101869198-101869209(+)::chr7:101869197-101869209(+) ACAAGGAAAAGA >mm10_chr7:101882656-101882667(-)::chr7:101882655-101882667(-) AAAGGGAAGCCG >mm10_chr7:101896412-101896423(-)::chr7:101896411-101896423(-) ACCCGGAAGCGT >mm10_chr7:101901006-101901017(-)::chr7:101901005-101901017(-) TCAAGGAAGCAG >mm10_chr7:101908435-101908446(+)::chr7:101908434-101908446(+) AGGAGGAAGAGC >mm10_chr7:101908490-101908501(+)::chr7:101908489-101908501(+) AGGAGGAAGTAG >mm10_chr7:101933952-101933963(+)::chr7:101933951-101933963(+) ATCCGGAAGTAG >mm10_chr7:101933997-101934008(-)::chr7:101933996-101934008(-) AAAAGGAAGTAC >mm10_chr7:101941073-101941084(+)::chr7:101941072-101941084(+) TAGAGGAAGAAG >mm10_chr7:101970666-101970677(+)::chr7:101970665-101970677(+) GCCAGGAAGATT >mm10_chr7:101976425-101976436(+)::chr7:101976424-101976436(+) ggcaggaagatc >mm10_chr7:101979489-101979500(-)::chr7:101979488-101979500(-) ATGAGGAAGACA >mm10_chr7:101994659-101994670(-)::chr7:101994658-101994670(-) GTAAGGAACTAC >mm10_chr7:101994722-101994733(+)::chr7:101994721-101994733(+) AAGAGGAAGATT >mm10_chr7:101994733-101994744(-)::chr7:101994732-101994744(-) TCTAGGAAGCGA >mm10_chr7:102000167-102000178(+)::chr7:102000166-102000178(+) TACAGGAAGCAC >mm10_chr7:102000197-102000208(+)::chr7:102000196-102000208(+) AAAAGGAAGGGA >mm10_chr7:102011345-102011356(+)::chr7:102011344-102011356(+) TGACGGAAGACA >mm10_chr7:102011365-102011376(+)::chr7:102011364-102011376(+) TAGAGGAAATAA >mm10_chr7:102013669-102013680(+)::chr7:102013668-102013680(+) AGAAGGAAGGCC >mm10_chr7:102017343-102017354(-)::chr7:102017342-102017354(-) CAGAGGAAGTCA >mm10_chr7:102018261-102018272(+)::chr7:102018260-102018272(+) GCCAGGAAGGCA >mm10_chr7:102019547-102019558(+)::chr7:102019546-102019558(+) GGAAGGAAGTGA >mm10_chr7:102062526-102062537(-)::chr7:102062525-102062537(-) AGCAGGAAGTTA >mm10_chr7:102062561-102062572(+)::chr7:102062560-102062572(+) ATAAGGAAAAGA >mm10_chr7:102062604-102062615(+)::chr7:102062603-102062615(+) ACAAGGATATAT >mm10_chr7:102065005-102065016(-)::chr7:102065004-102065016(-) GAGAGGAAGTGA >mm10_chr7:102100326-102100337(-)::chr7:102100325-102100337(-) ggaaggaagtgg >mm10_chr7:102110710-102110721(-)::chr7:102110709-102110721(-) AGCAGGAAGCAG >mm10_chr7:102151017-102151028(-)::chr7:102151016-102151028(-) ggaaggaaggaa >mm10_chr7:102151021-102151032(-)::chr7:102151020-102151032(-) ggaaggaaggaa >mm10_chr7:102151025-102151036(-)::chr7:102151024-102151036(-) ggaaggaaggaa >mm10_chr7:102151029-102151040(-)::chr7:102151028-102151040(-) ggaaggaaggaa >mm10_chr7:102151033-102151044(-)::chr7:102151032-102151044(-) ggaaggaaggaa >mm10_chr7:102151037-102151048(-)::chr7:102151036-102151048(-) ggaaggaaggaa >mm10_chr7:102151041-102151052(-)::chr7:102151040-102151052(-) ggaaggaaggaa >mm10_chr7:102151045-102151056(-)::chr7:102151044-102151056(-) ggaaggaaggaa >mm10_chr7:102151049-102151060(-)::chr7:102151048-102151060(-) ggaaggaaggaa >mm10_chr7:102151053-102151064(-)::chr7:102151052-102151064(-) ggaaggaaggaa >mm10_chr7:102151057-102151068(-)::chr7:102151056-102151068(-) ggaaggaaggaa >mm10_chr7:102151061-102151072(-)::chr7:102151060-102151072(-) ATaaggaaggaa >mm10_chr7:102210567-102210578(+)::chr7:102210566-102210578(+) AGAAGGAAGTCC >mm10_chr7:102215434-102215445(+)::chr7:102215433-102215445(+) ACCAGGAAGGAT >mm10_chr7:102215438-102215449(+)::chr7:102215437-102215449(+) GGAAGGATGTCT >mm10_chr7:102222247-102222258(-)::chr7:102222246-102222258(-) aagaggaagagg >mm10_chr7:102222253-102222264(-)::chr7:102222252-102222264(-) aggaggaagagg >mm10_chr7:102222265-102222276(-)::chr7:102222264-102222276(-) aggaggaagagg >mm10_chr7:102303176-102303187(+)::chr7:102303175-102303187(+) AAAAGGAAGGAA >mm10_chr7:102303187-102303198(-)::chr7:102303186-102303198(-) GAAGGGAAGTGT >mm10_chr7:102303212-102303223(+)::chr7:102303211-102303223(+) TGTAGGAAGTAT >mm10_chr7:102305816-102305827(+)::chr7:102305815-102305827(+) gccaggaagtcc >mm10_chr7:102388088-102388099(-)::chr7:102388087-102388099(-) acaaggaagtgc >mm10_chr7:102399501-102399512(-)::chr7:102399500-102399512(-) GAAAGGAAGGCA >mm10_chr7:102425812-102425823(-)::chr7:102425811-102425823(-) ACAGGGAAGTAA >mm10_chr7:102426530-102426541(-)::chr7:102426529-102426541(-) GGGAGGAAGTGG >mm10_chr7:102441506-102441517(+)::chr7:102441505-102441517(+) AGGAGGAAGAAG >mm10_chr7:102441513-102441524(+)::chr7:102441512-102441524(+) AGAAGGAAAAAG >mm10_chr7:102480018-102480029(+)::chr7:102480017-102480029(+) ATAAGGAACTTC >mm10_chr7:102480023-102480034(-)::chr7:102480022-102480034(-) TCAAGGAAGTTC >mm10_chr7:102480926-102480937(-)::chr7:102480925-102480937(-) AGAAGGAAGATT >mm10_chr7:102480933-102480944(-)::chr7:102480932-102480944(-) GGAAGGAAGAAG >mm10_chr7:102504457-102504468(-)::chr7:102504456-102504468(-) TGAAGGAAGACC >mm10_chr7:102516501-102516512(-)::chr7:102516500-102516512(-) ACCAGGAAGGGT >mm10_chr7:102516543-102516554(-)::chr7:102516542-102516554(-) TGCAGGAAGGAC >mm10_chr7:102530874-102530885(-)::chr7:102530873-102530885(-) agcaggaagggg >mm10_chr7:102565487-102565498(+)::chr7:102565486-102565498(+) agcaggaagagg >mm10_chr7:102668518-102668529(+)::chr7:102668517-102668529(+) AAAAGGAAGGGA >mm10_chr7:102668523-102668534(+)::chr7:102668522-102668534(+) GAAGGGAAGTAA >mm10_chr7:102687435-102687446(-)::chr7:102687434-102687446(-) TTAAGGAAGCCC >mm10_chr7:102757085-102757096(+)::chr7:102757084-102757096(+) GCAAGGAAGAGC >mm10_chr7:102913155-102913166(-)::chr7:102913154-102913166(-) TACAGGAAGGCA >mm10_chr7:103508691-103508702(+)::chr7:103508690-103508702(+) CTGAGGAAGTTC >mm10_chr7:103509011-103509022(-)::chr7:103509010-103509022(-) AACAGGAAATAT >mm10_chr7:104194904-104194915(+)::chr7:104194903-104194915(+) AGAAGGGAGTAG >mm10_chr7:104218138-104218149(+)::chr7:104218137-104218149(+) ACCAGGAAGTTT >mm10_chr7:104231144-104231155(-)::chr7:104231143-104231155(-) ATAAGGAAATCT >mm10_chr7:104428947-104428958(-)::chr7:104428946-104428958(-) AAAAGGAAATTG >mm10_chr7:105289340-105289351(+)::chr7:105289339-105289351(+) accaggaagttg >mm10_chr7:105324925-105324936(+)::chr7:105324924-105324936(+) ATGAGGAAATCA >mm10_chr7:105324964-105324975(+)::chr7:105324963-105324975(+) ACAAGGAAATTA >mm10_chr7:105340414-105340425(+)::chr7:105340413-105340425(+) TCAAGGAAGCTG >mm10_chr7:105342470-105342481(+)::chr7:105342469-105342481(+) ACCAGGAAATAA >mm10_chr7:105380513-105380524(-)::chr7:105380512-105380524(-) GAAAGGAAGCAT >mm10_chr7:105380530-105380541(-)::chr7:105380529-105380541(-) ACCAGGAAGTTT >mm10_chr7:105396447-105396458(+)::chr7:105396446-105396458(+) aggaggaagtgg >mm10_chr7:105396496-105396507(+)::chr7:105396495-105396507(+) taaaggaaattg >mm10_chr7:105404185-105404196(+)::chr7:105404184-105404196(+) ATAAGGATGTGG >mm10_chr7:105412097-105412108(-)::chr7:105412096-105412108(-) AGGAGGAAGAAC >mm10_chr7:105554368-105554379(+)::chr7:105554367-105554379(+) AACAGGAAGGGG >mm10_chr7:105554706-105554717(-)::chr7:105554705-105554717(-) AGAAGGAAGAGG >mm10_chr7:105628868-105628879(-)::chr7:105628867-105628879(-) AGCAGGAAGGGG >mm10_chr7:105640400-105640411(+)::chr7:105640399-105640411(+) GGGCGGAAGTGA >mm10_chr7:105675962-105675973(+)::chr7:105675961-105675973(+) GCAAGGAAGCAG >mm10_chr7:105676006-105676017(-)::chr7:105676005-105676017(-) AAAAGGAAGCTG >mm10_chr7:105686736-105686747(+)::chr7:105686735-105686747(+) TGCAGGAAGAGT >mm10_chr7:105788281-105788292(-)::chr7:105788280-105788292(-) ACGAGGAAATAA >mm10_chr7:106236817-106236828(-)::chr7:106236816-106236828(-) AGGAGGAAATTA >mm10_chr7:107147810-107147821(-)::chr7:107147809-107147821(-) ATAGGGAAGATG >mm10_chr7:107339670-107339681(+)::chr7:107339669-107339681(+) TAGAGGAAGTAC >mm10_chr7:107339690-107339701(+)::chr7:107339689-107339701(+) AGAAGGAAGTCT >mm10_chr7:107372953-107372964(-)::chr7:107372952-107372964(-) TTCAGGAAGTCA >mm10_chr7:107429148-107429159(-)::chr7:107429147-107429159(-) AGAAGGAAAAAC >mm10_chr7:107460087-107460098(-)::chr7:107460086-107460098(-) aagaggaaggga >mm10_chr7:107460114-107460125(-)::chr7:107460113-107460125(-) gggaggaagggg >mm10_chr7:107460122-107460133(-)::chr7:107460121-107460133(-) aagaggaaggga >mm10_chr7:107460128-107460139(-)::chr7:107460127-107460139(-) gagaggaagagg >mm10_chr7:107460139-107460150(-)::chr7:107460138-107460150(-) ggaaggaaggag >mm10_chr7:107467311-107467322(-)::chr7:107467310-107467322(-) ACCAGGAAGTGA >mm10_chr7:107467382-107467393(-)::chr7:107467381-107467393(-) ACAAGGAAGAAG >mm10_chr7:107528082-107528093(+)::chr7:107528081-107528093(+) GCAAGGAAGAGC >mm10_chr7:107594057-107594068(+)::chr7:107594056-107594068(+) TTAAGGAAGTGA >mm10_chr7:107594832-107594843(-)::chr7:107594831-107594843(-) ACGAGGAAAGCG >mm10_chr7:107594891-107594902(+)::chr7:107594890-107594902(+) ACAAGGAAAATG >mm10_chr7:107597745-107597756(-)::chr7:107597744-107597756(-) AGGAGGAAGCAA >mm10_chr7:107597752-107597763(-)::chr7:107597751-107597763(-) AAGAGGAAGGAG >mm10_chr7:107597771-107597782(-)::chr7:107597770-107597782(-) TAAAGGAAGTGG >mm10_chr7:107620301-107620312(+)::chr7:107620300-107620312(+) aaagggaAGTCC >mm10_chr7:107642548-107642559(-)::chr7:107642547-107642559(-) AGAAGGAAGACT >mm10_chr7:107666240-107666251(+)::chr7:107666239-107666251(+) ACCAGGAAATTC >mm10_chr7:107666284-107666295(+)::chr7:107666283-107666295(+) AGGAGGAAGCTG >mm10_chr7:107666700-107666711(+)::chr7:107666699-107666711(+) AGAAGGAAGGAC >mm10_chr7:107666709-107666720(+)::chr7:107666708-107666720(+) GACAGGAAGTGT >mm10_chr7:107666948-107666959(+)::chr7:107666947-107666959(+) gtaaggaaggct >mm10_chr7:107685624-107685635(+)::chr7:107685623-107685635(+) AGCAGGAAATGT >mm10_chr7:107687644-107687655(-)::chr7:107687643-107687655(-) AGCAGGAAGTAG >mm10_chr7:107688394-107688405(-)::chr7:107688393-107688405(-) CCCAGGAAGTGC >mm10_chr7:107696376-107696387(+)::chr7:107696375-107696387(+) AGCAGGAAGAAC >mm10_chr7:107703596-107703607(+)::chr7:107703595-107703607(+) AGAAGGAAGGGC >mm10_chr7:107706195-107706206(-)::chr7:107706194-107706206(-) GGCAGGAAGAGT >mm10_chr7:107707968-107707979(-)::chr7:107707967-107707979(-) AGGAGGAAGTGG >mm10_chr7:107710101-107710112(+)::chr7:107710100-107710112(+) AGGAGGAAGAAG >mm10_chr7:107741394-107741405(-)::chr7:107741393-107741405(-) ATCAGGAAATTG >mm10_chr7:107743315-107743326(+)::chr7:107743314-107743326(+) TACAGGAAGTAG >mm10_chr7:107757922-107757933(-)::chr7:107757921-107757933(-) GGCAGGAAGGAA >mm10_chr7:107930872-107930883(+)::chr7:107930871-107930883(+) ATAAGGAAAGAG >mm10_chr7:107947609-107947620(-)::chr7:107947608-107947620(-) CTAAGGAAATGC >mm10_chr7:108521224-108521235(+)::chr7:108521223-108521235(+) ACAAGGAAAGAA >mm10_chr7:108521228-108521239(+)::chr7:108521227-108521239(+) GGAAAGAAGTGT >mm10_chr7:108650565-108650576(-)::chr7:108650564-108650576(-) aaaaggaagacc >mm10_chr7:108650578-108650589(+)::chr7:108650577-108650589(+) acaaggaaacta >mm10_chr7:108797640-108797651(-)::chr7:108797639-108797651(-) AGCAGGAAATTA >mm10_chr7:108797657-108797668(-)::chr7:108797656-108797668(-) GCAAGGAAGTTT >mm10_chr7:108797669-108797680(-)::chr7:108797668-108797680(-) TTAGGGAAGTTG >mm10_chr7:108840902-108840913(+)::chr7:108840901-108840913(+) TGATGGAAGTTA >mm10_chr7:108934204-108934215(+)::chr7:108934203-108934215(+) CCCAGGAAGTCC >mm10_chr7:108940091-108940102(+)::chr7:108940090-108940102(+) AAGAGGAAGACA >mm10_chr7:109174718-109174729(-)::chr7:109174717-109174729(-) ATGAGGAAGTCT >mm10_chr7:109301974-109301985(+)::chr7:109301973-109301985(+) atgaggaagttc >mm10_chr7:109526559-109526570(+)::chr7:109526558-109526570(+) GGTAGGAAGTGC >mm10_chr7:109534919-109534930(-)::chr7:109534918-109534930(-) AACAGGAAGGGT >mm10_chr7:109534985-109534996(+)::chr7:109534984-109534996(+) AGAAGGAAACAT >mm10_chr7:109546121-109546132(-)::chr7:109546120-109546132(-) ggaaggaagCAT >mm10_chr7:109546125-109546136(-)::chr7:109546124-109546136(-) aggaggaaggaa >mm10_chr7:109546132-109546143(-)::chr7:109546131-109546143(-) ggaaggaaggag >mm10_chr7:109580161-109580172(+)::chr7:109580160-109580172(+) ACAAGGAactga >mm10_chr7:109613984-109613995(-)::chr7:109613983-109613995(-) ACAAGGAAGTGG >mm10_chr7:109615240-109615251(+)::chr7:109615239-109615251(+) AGAAGGAAAAAC >mm10_chr7:109630572-109630583(+)::chr7:109630571-109630583(+) ACAAGGAAGTCA >mm10_chr7:109657807-109657818(+)::chr7:109657806-109657818(+) ATAAGGAAGCAA >mm10_chr7:109697910-109697921(+)::chr7:109697909-109697921(+) ggcaggaaggag >mm10_chr7:109697917-109697928(+)::chr7:109697916-109697928(+) aggaggaagtga >mm10_chr7:109697934-109697945(+)::chr7:109697933-109697945(+) gaaaggaagaaC >mm10_chr7:109698494-109698505(-)::chr7:109698493-109698505(-) accaggaagtgg >mm10_chr7:109703803-109703814(+)::chr7:109703802-109703814(+) AGGCGGAAGTGC >mm10_chr7:109707008-109707019(+)::chr7:109707007-109707019(+) TTAAGGAAATCG >mm10_chr7:109707031-109707042(-)::chr7:109707030-109707042(-) ACAAGGATGTGG >mm10_chr7:109707091-109707102(+)::chr7:109707090-109707102(+) GCGAGGAAGTGA >mm10_chr7:109739951-109739962(+)::chr7:109739950-109739962(+) AGAAGGAAGTAC >mm10_chr7:109752252-109752263(-)::chr7:109752251-109752263(-) AGGCGGAAGTGC >mm10_chr7:109759687-109759698(-)::chr7:109759686-109759698(-) GCAGGGAAGTAA >mm10_chr7:109772422-109772433(+)::chr7:109772421-109772433(+) aagaggaagtgg >mm10_chr7:109879241-109879252(-)::chr7:109879240-109879252(-) AAGAGGAAGGAG >mm10_chr7:109880753-109880764(-)::chr7:109880752-109880764(-) AAGAGGAAGGAG >mm10_chr7:109880764-109880775(-)::chr7:109880763-109880775(-) GGCAGGAAGGAA >mm10_chr7:109880796-109880807(-)::chr7:109880795-109880807(-) AGAAGGAAGGAC >mm10_chr7:109897129-109897140(-)::chr7:109897128-109897140(-) TTAAGGATGTAG >mm10_chr7:109897155-109897166(+)::chr7:109897154-109897166(+) AGGAGGAAGCAA >mm10_chr7:109899171-109899182(+)::chr7:109899170-109899182(+) ATAAGGAAAAGA >mm10_chr7:109901759-109901770(+)::chr7:109901758-109901770(+) ACAAGGAAGAAG >mm10_chr7:109901766-109901777(+)::chr7:109901765-109901777(+) AGAAGGAAGACG >mm10_chr7:109901776-109901787(-)::chr7:109901775-109901787(-) GCGAGGATGTCG >mm10_chr7:109904530-109904541(+)::chr7:109904529-109904541(+) TCAAGGAAGAAG >mm10_chr7:109904537-109904548(+)::chr7:109904536-109904548(+) AGAAGGAAAGGG >mm10_chr7:109913753-109913764(-)::chr7:109913752-109913764(-) aggaggaagagg >mm10_chr7:109913784-109913795(-)::chr7:109913783-109913795(-) aggaggaaggag >mm10_chr7:109913800-109913811(-)::chr7:109913799-109913811(-) ggaaggaagaaa >mm10_chr7:109913804-109913815(-)::chr7:109913803-109913815(-) ggaaggaaggaa >mm10_chr7:109913808-109913819(-)::chr7:109913807-109913819(-) aagaggaaggaa >mm10_chr7:109913814-109913825(-)::chr7:109913813-109913825(-) aagaggaagagg >mm10_chr7:109954594-109954605(+)::chr7:109954593-109954605(+) AAAAGGAAATGG >mm10_chr7:109954611-109954622(+)::chr7:109954610-109954622(+) GAAAGGACGTTA >mm10_chr7:109954637-109954648(+)::chr7:109954636-109954648(+) ggaaggaaggaa >mm10_chr7:109954641-109954652(+)::chr7:109954640-109954652(+) ggaaggaaggaa >mm10_chr7:109954645-109954656(+)::chr7:109954644-109954656(+) ggaaggaaggaa >mm10_chr7:109954649-109954660(+)::chr7:109954648-109954660(+) ggaaggaaggaa >mm10_chr7:109954653-109954664(+)::chr7:109954652-109954664(+) ggaaggaaggaa >mm10_chr7:109954657-109954668(+)::chr7:109954656-109954668(+) ggaaggaaggaa >mm10_chr7:109954661-109954672(+)::chr7:109954660-109954672(+) ggaaggaaggaa >mm10_chr7:109954665-109954676(+)::chr7:109954664-109954676(+) ggaaggaaggaa >mm10_chr7:109954669-109954680(+)::chr7:109954668-109954680(+) ggaaggaaggaa >mm10_chr7:109954673-109954684(+)::chr7:109954672-109954684(+) ggaaggaaggaC >mm10_chr7:109962809-109962820(+)::chr7:109962808-109962820(+) AGCAGGAAATAT >mm10_chr7:109967915-109967926(+)::chr7:109967914-109967926(+) GCAAGGAAGCTC >mm10_chr7:109986455-109986466(+)::chr7:109986454-109986466(+) ACACGGAAGTGA >mm10_chr7:109986498-109986509(+)::chr7:109986497-109986509(+) AACCGGAAGTGT >mm10_chr7:110002276-110002287(-)::chr7:110002275-110002287(-) atagggaagtcc >mm10_chr7:110101085-110101096(-)::chr7:110101084-110101096(-) TCGAGGAAGAAG >mm10_chr7:110111279-110111290(+)::chr7:110111278-110111290(+) tggaggaagaag >mm10_chr7:110111286-110111297(+)::chr7:110111285-110111297(+) agaaggaagact >mm10_chr7:110157583-110157594(-)::chr7:110157582-110157594(-) AGCAGGAAGTGC >mm10_chr7:110157754-110157765(-)::chr7:110157753-110157765(-) AGGAGGAAGTTT >mm10_chr7:110164290-110164301(+)::chr7:110164289-110164301(+) AAAAGGAACGTC >mm10_chr7:110190203-110190214(-)::chr7:110190202-110190214(-) AGAGGGAAATAA >mm10_chr7:110190210-110190221(-)::chr7:110190209-110190221(-) GGCAGGAAGAGG >mm10_chr7:110190247-110190258(-)::chr7:110190246-110190258(-) TGAAGGAAATTA >mm10_chr7:110212604-110212615(+)::chr7:110212603-110212615(+) ggcaggaagggg >mm10_chr7:110215081-110215092(-)::chr7:110215080-110215092(-) agcaggaaatgg >mm10_chr7:110222547-110222558(+)::chr7:110222546-110222558(+) ATGAGGAAGTTC >mm10_chr7:110238001-110238012(-)::chr7:110238000-110238012(-) AGTAGGAAGAAT >mm10_chr7:110248779-110248790(-)::chr7:110248778-110248790(-) AGCAGGAAGAGT >mm10_chr7:110260060-110260071(+)::chr7:110260059-110260071(+) AGGAGGAAGCAG >mm10_chr7:110268715-110268726(-)::chr7:110268714-110268726(-) TCCAGGAAGTGT >mm10_chr7:110271155-110271166(+)::chr7:110271154-110271166(+) atagggaagttg >mm10_chr7:110271180-110271191(-)::chr7:110271179-110271191(-) aagaggaagagc >mm10_chr7:110272644-110272655(-)::chr7:110272643-110272655(-) AGAAGGAAATGC >mm10_chr7:110310761-110310772(+)::chr7:110310760-110310772(+) ACAAGGAAGGCT >mm10_chr7:110310781-110310792(+)::chr7:110310780-110310792(+) AACAGGAAGTTG >mm10_chr7:110427516-110427527(+)::chr7:110427515-110427527(+) accaggaaggag >mm10_chr7:110427560-110427571(+)::chr7:110427559-110427571(+) AGAAGGAAGAAG >mm10_chr7:110427567-110427578(+)::chr7:110427566-110427578(+) AGAAGGAAATCA >mm10_chr7:110534023-110534034(+)::chr7:110534022-110534034(+) AAAAGGAAGTAA >mm10_chr7:110560891-110560902(+)::chr7:110560890-110560902(+) acaaggaaattg >mm10_chr7:110614259-110614270(-)::chr7:110614258-110614270(-) GAAAGGAAGTGC >mm10_chr7:110616065-110616076(-)::chr7:110616064-110616076(-) acgcGGAAGCGG >mm10_chr7:110616399-110616410(-)::chr7:110616398-110616410(-) tagaggaagtga >mm10_chr7:110616449-110616460(-)::chr7:110616448-110616460(-) atagggaaggac >mm10_chr7:110625345-110625356(-)::chr7:110625344-110625356(-) ACAAGGAAGCAA >mm10_chr7:110626506-110626517(+)::chr7:110626505-110626517(+) GGAAGAAAGTGA >mm10_chr7:110640184-110640195(-)::chr7:110640183-110640195(-) aagaggaaggag >mm10_chr7:110640190-110640201(-)::chr7:110640189-110640201(-) aagaggaagagg >mm10_chr7:110640196-110640207(-)::chr7:110640195-110640207(-) aagaggaagagg >mm10_chr7:110640202-110640213(-)::chr7:110640201-110640213(-) aagaggaagagg >mm10_chr7:110670385-110670396(+)::chr7:110670384-110670396(+) atagggaagaga >mm10_chr7:110689473-110689484(-)::chr7:110689472-110689484(-) GCAAGGAAATCC >mm10_chr7:110689512-110689523(-)::chr7:110689511-110689523(-) TGCAGGAAGTGG >mm10_chr7:110773337-110773348(+)::chr7:110773336-110773348(+) AGGAGGAAGCAC >mm10_chr7:110773384-110773395(+)::chr7:110773383-110773395(+) AGGAGGAAGTAG >mm10_chr7:110773612-110773623(+)::chr7:110773611-110773623(+) TGGAGGAAGGGC >mm10_chr7:110833928-110833939(+)::chr7:110833927-110833939(+) AGAAGGAAGTAG >mm10_chr7:110833935-110833946(+)::chr7:110833934-110833946(+) AGTAGGAAGACA >mm10_chr7:110834777-110834788(+)::chr7:110834776-110834788(+) AGCAGGAAGCAC >mm10_chr7:110858966-110858977(-)::chr7:110858965-110858977(-) ACAAGGAAATAT >mm10_chr7:111015099-111015110(+)::chr7:111015098-111015110(+) GGCCGGAAGTCC >mm10_chr7:111018356-111018367(+)::chr7:111018355-111018367(+) AACAGGAAGTGC >mm10_chr7:111051004-111051015(+)::chr7:111051003-111051015(+) ATGAGGAAGAAC >mm10_chr7:111073870-111073881(-)::chr7:111073869-111073881(-) GGAAGGAAGACA >mm10_chr7:111082897-111082908(+)::chr7:111082896-111082908(+) gggaggaaggAG >mm10_chr7:111101453-111101464(-)::chr7:111101452-111101464(-) ggaaggaaggag >mm10_chr7:111101457-111101468(-)::chr7:111101456-111101468(-) ggaaggaaggaa >mm10_chr7:111101461-111101472(-)::chr7:111101460-111101472(-) aggaggaaggaa >mm10_chr7:111101468-111101479(-)::chr7:111101467-111101479(-) gggaggaaggag >mm10_chr7:111102914-111102925(+)::chr7:111102913-111102925(+) aggaggaagaat >mm10_chr7:111115903-111115914(+)::chr7:111115902-111115914(+) aggaggaagagg >mm10_chr7:111115909-111115920(+)::chr7:111115908-111115920(+) aagaggaagagg >mm10_chr7:111115915-111115926(+)::chr7:111115914-111115926(+) aagaggaagagg >mm10_chr7:111115927-111115938(+)::chr7:111115926-111115938(+) aggaggaagaag >mm10_chr7:111116269-111116280(-)::chr7:111116268-111116280(-) GGAAGGAAGCTA >mm10_chr7:111116273-111116284(-)::chr7:111116272-111116284(-) AGCAGGAAGGAA >mm10_chr7:111116729-111116740(+)::chr7:111116728-111116740(+) ATAGGGAAGTAA >mm10_chr7:111246502-111246513(+)::chr7:111246501-111246513(+) GTAAGGAAGGAA >mm10_chr7:111246506-111246517(+)::chr7:111246505-111246517(+) GGAAGGAACTGT >mm10_chr7:111256398-111256409(+)::chr7:111256397-111256409(+) AGCAGGAAGTAT >mm10_chr7:111268389-111268400(-)::chr7:111268388-111268400(-) gccaggaagttc >mm10_chr7:111314089-111314100(+)::chr7:111314088-111314100(+) ACAAGGAAGGCA >mm10_chr7:111314134-111314145(+)::chr7:111314133-111314145(+) ACAAGGAAGGCA >mm10_chr7:111393615-111393626(-)::chr7:111393614-111393626(-) TGTAGGAAGAGA >mm10_chr7:111393622-111393633(-)::chr7:111393621-111393633(-) ACCAGGATGTAG >mm10_chr7:111396769-111396780(+)::chr7:111396768-111396780(+) ACCAGGAAGACT >mm10_chr7:111396781-111396792(+)::chr7:111396780-111396792(+) TCCAGGAAGTTT >mm10_chr7:111414248-111414259(+)::chr7:111414247-111414259(+) gagaggaagaag >mm10_chr7:111414255-111414266(+)::chr7:111414254-111414266(+) agaaggaaaggg >mm10_chr7:111414272-111414283(+)::chr7:111414271-111414283(+) gcaaggaagaAA >mm10_chr7:111414283-111414294(+)::chr7:111414282-111414294(+) AGGAGGAAGGGG >mm10_chr7:111486919-111486930(+)::chr7:111486918-111486930(+) ggcaggaagacc >mm10_chr7:111594866-111594877(-)::chr7:111594865-111594877(-) TGGAGGAAGGAG >mm10_chr7:111612245-111612256(-)::chr7:111612244-111612256(-) GGAAGGAAGGAA >mm10_chr7:111618001-111618012(-)::chr7:111618000-111618012(-) GGAAGGAAGTGG >mm10_chr7:111618005-111618016(-)::chr7:111618004-111618016(-) GAGAGGAAGGAA >mm10_chr7:111663236-111663247(-)::chr7:111663235-111663247(-) AGAGGGAAATAG >mm10_chr7:111668872-111668883(-)::chr7:111668871-111668883(-) aggaggaagaga >mm10_chr7:111668879-111668890(-)::chr7:111668878-111668890(-) aggaggaaggag >mm10_chr7:111676797-111676808(+)::chr7:111676796-111676808(+) TTGAGGAAGTCT >mm10_chr7:111678247-111678258(+)::chr7:111678246-111678258(+) ATCAGGAAGTCA >mm10_chr7:111694577-111694588(-)::chr7:111694576-111694588(-) TAGAGGAAGGCA >mm10_chr7:111741723-111741734(+)::chr7:111741722-111741734(+) GACAGGAAGTGC >mm10_chr7:111743331-111743342(+)::chr7:111743330-111743342(+) accaggatgtaa >mm10_chr7:111778478-111778489(-)::chr7:111778477-111778489(-) AGCAGGAAGCAG >mm10_chr7:111800989-111801000(-)::chr7:111800988-111801000(-) tggaggaagtgg >mm10_chr7:111825426-111825437(+)::chr7:111825425-111825437(+) TAAAGGAAGAAC >mm10_chr7:111825452-111825463(+)::chr7:111825451-111825463(+) GGGAGGATGTCG >mm10_chr7:111834569-111834580(+)::chr7:111834568-111834580(+) acaaggaaatac >mm10_chr7:111918464-111918475(+)::chr7:111918463-111918475(+) GTCAGGAAGGAA >mm10_chr7:111918468-111918479(+)::chr7:111918467-111918479(+) GGAAGGAAGCCA >mm10_chr7:111918485-111918496(+)::chr7:111918484-111918496(+) AGAAGGAAGCTT >mm10_chr7:111919842-111919853(+)::chr7:111919841-111919853(+) AGCAGGAAGCAA >mm10_chr7:111919846-111919857(+)::chr7:111919845-111919857(+) GGAAGCAAGTGA >mm10_chr7:111927344-111927355(+)::chr7:111927343-111927355(+) agaaggaagCTG >mm10_chr7:111927364-111927375(-)::chr7:111927363-111927375(-) aaccggaagttg >mm10_chr7:111969754-111969765(-)::chr7:111969753-111969765(-) ACAAGGAAGTGC >mm10_chr7:112025256-112025267(+)::chr7:112025255-112025267(+) ACAAGGAAGGAA >mm10_chr7:112025260-112025271(+)::chr7:112025259-112025271(+) GGAAGGAAGGAA >mm10_chr7:112025566-112025577(-)::chr7:112025565-112025577(-) AGAAGGAAAAAA >mm10_chr7:112025590-112025601(-)::chr7:112025589-112025601(-) AACAGGAAATAA >mm10_chr7:112115316-112115327(-)::chr7:112115315-112115327(-) ACCAGGAAGTCA >mm10_chr7:112132105-112132116(+)::chr7:112132104-112132116(+) AGAAGGAAGCCT >mm10_chr7:112132172-112132183(-)::chr7:112132171-112132183(-) AAGAGGAAGAAT >mm10_chr7:112147907-112147918(+)::chr7:112147906-112147918(+) ACAAGGAAAAGG >mm10_chr7:112153800-112153811(+)::chr7:112153799-112153811(+) ACCAGGAAGATT >mm10_chr7:112153807-112153818(-)::chr7:112153806-112153818(-) AGAAGGAAATCT >mm10_chr7:112153814-112153825(-)::chr7:112153813-112153825(-) AGCAGGAAGAAG >mm10_chr7:112155354-112155365(+)::chr7:112155353-112155365(+) GCCAGGAAGTTA >mm10_chr7:112170099-112170110(-)::chr7:112170098-112170110(-) atagggaagtgg >mm10_chr7:112170157-112170168(-)::chr7:112170156-112170168(-) ATGAGGAAGTAG >mm10_chr7:112208402-112208413(-)::chr7:112208401-112208413(-) AGCAGGAAGTGG >mm10_chr7:112208417-112208428(+)::chr7:112208416-112208428(+) AACAGGAAGTTC >mm10_chr7:112208488-112208499(-)::chr7:112208487-112208499(-) GACAGGAAGTAG >mm10_chr7:112213660-112213671(-)::chr7:112213659-112213671(-) TGGAGGAAGAAC >mm10_chr7:112244278-112244289(-)::chr7:112244277-112244289(-) CACAGGAAGTGG >mm10_chr7:112260152-112260163(-)::chr7:112260151-112260163(-) atgaggaaatgt >mm10_chr7:112285660-112285671(-)::chr7:112285659-112285671(-) AGAAGGAAGCAG >mm10_chr7:112285678-112285689(-)::chr7:112285677-112285689(-) CTAAGGAAGTGC >mm10_chr7:112286049-112286060(-)::chr7:112286048-112286060(-) AAGAGGAAGAAC >mm10_chr7:112339768-112339779(+)::chr7:112339767-112339779(+) ATGAGGAAGTGC >mm10_chr7:112339820-112339831(+)::chr7:112339819-112339831(+) GGGAGGAAGAAA >mm10_chr7:112376794-112376805(-)::chr7:112376793-112376805(-) AGGAGGAAGAGC >mm10_chr7:112377397-112377408(+)::chr7:112377396-112377408(+) AAAAGGAAGGAA >mm10_chr7:112377401-112377412(+)::chr7:112377400-112377412(+) GGAAGGAAGTTT >mm10_chr7:112390978-112390989(-)::chr7:112390977-112390989(-) TCAAGGAAGTCC >mm10_chr7:112404261-112404272(+)::chr7:112404260-112404272(+) AAGAGGAAGAGG >mm10_chr7:112404267-112404278(+)::chr7:112404266-112404278(+) AAGAGGAAGAGT >mm10_chr7:112405221-112405232(+)::chr7:112405220-112405232(+) aacaggaagtgg >mm10_chr7:112405770-112405781(+)::chr7:112405769-112405781(+) acaaggaagggg >mm10_chr7:112416053-112416064(-)::chr7:112416052-112416064(-) ACAAGGAAGTCT >mm10_chr7:112467310-112467321(+)::chr7:112467309-112467321(+) aggaggaagagg >mm10_chr7:112467325-112467336(+)::chr7:112467324-112467336(+) aggaggaagagg >mm10_chr7:112467331-112467342(+)::chr7:112467330-112467342(+) aagaggaagagg >mm10_chr7:112467337-112467348(+)::chr7:112467336-112467348(+) aagaggaagagg >mm10_chr7:112467346-112467357(+)::chr7:112467345-112467357(+) aggaggaAGTCT >mm10_chr7:112541781-112541792(+)::chr7:112541780-112541792(+) AGGAGGAAGATA >mm10_chr7:112598403-112598414(-)::chr7:112598402-112598414(-) agaaggaagtga >mm10_chr7:112656639-112656650(-)::chr7:112656638-112656650(-) caaaggaagttg >mm10_chr7:112678571-112678582(+)::chr7:112678570-112678582(+) AGAAGGAAGTCC >mm10_chr7:112681232-112681243(+)::chr7:112681231-112681243(+) AGAAGGAAACAG >mm10_chr7:112684804-112684815(-)::chr7:112684803-112684815(-) ATCAGGAAGTCA >mm10_chr7:112697269-112697280(+)::chr7:112697268-112697280(+) AGCAGGAAATCC >mm10_chr7:112697290-112697301(-)::chr7:112697289-112697301(-) GAAAGGAAGTCG >mm10_chr7:112697754-112697765(-)::chr7:112697753-112697765(-) ATCAGGAAGTCG >mm10_chr7:112712187-112712198(-)::chr7:112712186-112712198(-) TGGAGGAAATGA >mm10_chr7:112712229-112712240(-)::chr7:112712228-112712240(-) ACCAGGAAGGCA >mm10_chr7:112731800-112731811(+)::chr7:112731799-112731811(+) AGAAGGAAAGGA >mm10_chr7:112731810-112731821(+)::chr7:112731809-112731821(+) GAAAGGAAAATA >mm10_chr7:112761901-112761912(+)::chr7:112761900-112761912(+) GGGAGGAAGAGT >mm10_chr7:112807443-112807454(-)::chr7:112807442-112807454(-) CGGAGGAAGAAG >mm10_chr7:112818814-112818825(-)::chr7:112818813-112818825(-) ACTAGGAAGTAg >mm10_chr7:112818840-112818851(+)::chr7:112818839-112818851(+) tcagggaagtta >mm10_chr7:112820096-112820107(-)::chr7:112820095-112820107(-) AGAAGGAAGCAA >mm10_chr7:112823914-112823925(+)::chr7:112823913-112823925(+) AAAAGGAACTCA >mm10_chr7:112823953-112823964(+)::chr7:112823952-112823964(+) AATAGGAAGTAG >mm10_chr7:112825656-112825667(+)::chr7:112825655-112825667(+) AAGAGGAAGTCA >mm10_chr7:112842145-112842156(-)::chr7:112842144-112842156(-) AACAGGAAGTGA >mm10_chr7:112850142-112850153(+)::chr7:112850141-112850153(+) AACAGGAAGAGG >mm10_chr7:112854054-112854065(-)::chr7:112854053-112854065(-) ACAAGGAAATCA >mm10_chr7:112868766-112868777(+)::chr7:112868765-112868777(+) AAGAGGAAGCAG >mm10_chr7:112868773-112868784(+)::chr7:112868772-112868784(+) AGCAGGAAGGAG >mm10_chr7:112870744-112870755(-)::chr7:112870743-112870755(-) ACAGGGAAGTAA >mm10_chr7:112918953-112918964(-)::chr7:112918952-112918964(-) AAAAGGACGACG >mm10_chr7:112918960-112918971(-)::chr7:112918959-112918971(-) AGAAGGAAAAAG >mm10_chr7:112957110-112957121(+)::chr7:112957109-112957121(+) CAGAGGAAGTAT >mm10_chr7:112960534-112960545(+)::chr7:112960533-112960545(+) AAAGGGAAGTGA >mm10_chr7:112960575-112960586(-)::chr7:112960574-112960586(-) GTAGGGAAGTAC >mm10_chr7:112960584-112960595(+)::chr7:112960583-112960595(+) TACAGGAAGGAC >mm10_chr7:112990180-112990191(-)::chr7:112990179-112990191(-) atgaggaagaat >mm10_chr7:113017258-113017269(-)::chr7:113017257-113017269(-) AACAGGAAATGG >mm10_chr7:113017522-113017533(+)::chr7:113017521-113017533(+) AAAAGGAAGCGT >mm10_chr7:113038094-113038105(+)::chr7:113038093-113038105(+) TTAaggaagtct >mm10_chr7:113059509-113059520(-)::chr7:113059508-113059520(-) AACAGGAAATAC >mm10_chr7:113082616-113082627(+)::chr7:113082615-113082627(+) ACCAGGAACTCG >mm10_chr7:113094204-113094215(+)::chr7:113094203-113094215(+) CCAAGGAAGTAG >mm10_chr7:113095288-113095299(-)::chr7:113095287-113095299(-) TCAGGGAAGTGG >mm10_chr7:113132514-113132525(-)::chr7:113132513-113132525(-) AAACGGAAGCAG >mm10_chr7:113143749-113143760(+)::chr7:113143748-113143760(+) AGAAGGATGTAG >mm10_chr7:113157517-113157528(+)::chr7:113157516-113157528(+) accaggaagaca >mm10_chr7:113186663-113186674(-)::chr7:113186662-113186674(-) TCCAGGAAGTCG >mm10_chr7:113186686-113186697(+)::chr7:113186685-113186697(+) AGAAGAAAGTGA >mm10_chr7:113197346-113197357(+)::chr7:113197345-113197357(+) aagaggaagtgc >mm10_chr7:113211087-113211098(-)::chr7:113211086-113211098(-) ACAAGGAAGAGG >mm10_chr7:113239575-113239586(-)::chr7:113239574-113239586(-) GGGAGGAAATGA >mm10_chr7:113239600-113239611(-)::chr7:113239599-113239611(-) AGGAGGAAGTTC >mm10_chr7:113240755-113240766(-)::chr7:113240754-113240766(-) GGAAGGAAGGCA >mm10_chr7:113240759-113240770(-)::chr7:113240758-113240770(-) gAAAGGAAGGAA >mm10_chr7:113240771-113240782(-)::chr7:113240770-113240782(-) gggaggaagtct >mm10_chr7:113251235-113251246(+)::chr7:113251234-113251246(+) ATCAGGAAATGG >mm10_chr7:113256071-113256082(-)::chr7:113256070-113256082(-) AGAAGGAAGTCA >mm10_chr7:113256078-113256089(-)::chr7:113256077-113256089(-) TGGAGGAAGAAG >mm10_chr7:113256093-113256104(-)::chr7:113256092-113256104(-) AAGAGGAAGGGC >mm10_chr7:113256099-113256110(-)::chr7:113256098-113256110(-) AGGAGGAAGAGG >mm10_chr7:113289419-113289430(-)::chr7:113289418-113289430(-) agggggaagtaa >mm10_chr7:113308970-113308981(-)::chr7:113308969-113308981(-) AGAATGAAGTTC >mm10_chr7:113309000-113309011(-)::chr7:113308999-113309011(-) AGGAGGAAGTCT >mm10_chr7:113369393-113369404(+)::chr7:113369392-113369404(+) ATTAGGAAGAGG >mm10_chr7:113378177-113378188(+)::chr7:113378176-113378188(+) aagaggaagagg >mm10_chr7:113403475-113403486(+)::chr7:113403474-113403486(+) TGAAGGAAGGAA >mm10_chr7:113403479-113403490(+)::chr7:113403478-113403490(+) GGAAGGAAGCTG >mm10_chr7:113443629-113443640(+)::chr7:113443628-113443640(+) ACAAGGAAGTCA >mm10_chr7:113458215-113458226(-)::chr7:113458214-113458226(-) AGCAGGAAGTGG >mm10_chr7:113477565-113477576(-)::chr7:113477564-113477576(-) AAGAGGAAGAAC >mm10_chr7:113477571-113477582(-)::chr7:113477570-113477582(-) AAGAGGAAGAGG >mm10_chr7:113495277-113495288(+)::chr7:113495276-113495288(+) GTAAGGAAATGG >mm10_chr7:113505255-113505266(+)::chr7:113505254-113505266(+) atgaggaaatga >mm10_chr7:113545880-113545891(+)::chr7:113545879-113545891(+) aggaggaaggtc >mm10_chr7:113556355-113556366(+)::chr7:113556354-113556366(+) ATGAGGAAGTGA >mm10_chr7:113577038-113577049(+)::chr7:113577037-113577049(+) TTAAGGAAGTGC >mm10_chr7:113591080-113591091(-)::chr7:113591079-113591091(-) GAAAGGAAGGGC >mm10_chr7:113592493-113592504(-)::chr7:113592492-113592504(-) tgaaggaagtct >mm10_chr7:113612778-113612789(+)::chr7:113612777-113612789(+) gaaaggaagttg >mm10_chr7:113612804-113612815(+)::chr7:113612803-113612815(+) atgaggaagtta >mm10_chr7:113612837-113612848(-)::chr7:113612836-113612848(-) aacaggaaggtc >mm10_chr7:113638794-113638805(-)::chr7:113638793-113638805(-) TGAAGGAAGTGA >mm10_chr7:113688345-113688356(+)::chr7:113688344-113688356(+) GACAGGAAGCGG >mm10_chr7:113688874-113688885(+)::chr7:113688873-113688885(+) AAGAGGAAGAAC >mm10_chr7:113688899-113688910(-)::chr7:113688898-113688910(-) GACAGGAAGTAG >mm10_chr7:113694665-113694676(+)::chr7:113694664-113694676(+) AGAAGGAAGTTT >mm10_chr7:113767724-113767735(-)::chr7:113767723-113767735(-) ACCAGGAAGGCA >mm10_chr7:113775046-113775057(-)::chr7:113775045-113775057(-) GACAGGAAGAAA >mm10_chr7:113811235-113811246(-)::chr7:113811234-113811246(-) AGCAGGAAGGTA >mm10_chr7:113811995-113812006(-)::chr7:113811994-113812006(-) TTCAGGAAGTTT >mm10_chr7:113812010-113812021(-)::chr7:113812009-113812021(-) TCAAGGAAGCTA >mm10_chr7:113847654-113847665(+)::chr7:113847653-113847665(+) AACAGGAAATGC >mm10_chr7:113855567-113855578(+)::chr7:113855566-113855578(+) AGCAGGAACTAA >mm10_chr7:113856286-113856297(-)::chr7:113856285-113856297(-) GGGAGGAAGGTG >mm10_chr7:113856350-113856361(-)::chr7:113856349-113856361(-) GGAAGGAAGAAC >mm10_chr7:113856354-113856365(-)::chr7:113856353-113856365(-) ACCAGGAAGGAA >mm10_chr7:113937219-113937230(-)::chr7:113937218-113937230(-) aggaggaaataa >mm10_chr7:113961364-113961375(+)::chr7:113961363-113961375(+) tacaggaagagt >mm10_chr7:113961841-113961852(-)::chr7:113961840-113961852(-) GCAAGGAAGGGG >mm10_chr7:113996332-113996343(-)::chr7:113996331-113996343(-) AAAAGGAACTTT >mm10_chr7:114007189-114007200(-)::chr7:114007188-114007200(-) aataggaagtca >mm10_chr7:114043782-114043793(-)::chr7:114043781-114043793(-) AGCAGGAAGTCA >mm10_chr7:114045917-114045928(+)::chr7:114045916-114045928(+) AGGAGGAAGaaa >mm10_chr7:114045928-114045939(+)::chr7:114045927-114045939(+) agaaggaaggga >mm10_chr7:114045942-114045953(+)::chr7:114045941-114045953(+) agaaggaagagg >mm10_chr7:114072121-114072132(+)::chr7:114072120-114072132(+) AAGAGGAAGCAG >mm10_chr7:114072686-114072697(-)::chr7:114072685-114072697(-) GACAGGAAGGGA >mm10_chr7:114090566-114090577(+)::chr7:114090565-114090577(+) aggaggaagagg >mm10_chr7:114090572-114090583(+)::chr7:114090571-114090583(+) aagaggaaggag >mm10_chr7:114090600-114090611(+)::chr7:114090599-114090611(+) aagaggaagaag >mm10_chr7:114117914-114117925(+)::chr7:114117913-114117925(+) AGAAGGAAGAAA >mm10_chr7:114161269-114161280(-)::chr7:114161268-114161280(-) AGAAGGAAGTGG >mm10_chr7:114169381-114169392(-)::chr7:114169380-114169392(-) aggaggaagagg >mm10_chr7:114169393-114169404(-)::chr7:114169392-114169404(-) aagaggaagagg >mm10_chr7:114169405-114169416(-)::chr7:114169404-114169416(-) aggaggaagaag >mm10_chr7:114172933-114172944(-)::chr7:114172932-114172944(-) TCCAGGAAGtag >mm10_chr7:114183833-114183844(+)::chr7:114183832-114183844(+) atgaggaaatgg >mm10_chr7:114253476-114253487(+)::chr7:114253475-114253487(+) agaaggaaaaaG >mm10_chr7:114253539-114253550(+)::chr7:114253538-114253550(+) agaaggaaggaa >mm10_chr7:114276109-114276120(+)::chr7:114276108-114276120(+) CGCAGGAAGTGC >mm10_chr7:114356559-114356570(+)::chr7:114356558-114356570(+) CAAAGGAAGGGT >mm10_chr7:114360762-114360773(+)::chr7:114360761-114360773(+) agcaggaagctg >mm10_chr7:114360815-114360826(+)::chr7:114360814-114360826(+) aacaggaagtac >mm10_chr7:114526429-114526440(+)::chr7:114526428-114526440(+) cccaggaagttt >mm10_chr7:114526450-114526461(-)::chr7:114526449-114526461(-) accaggaagcta >mm10_chr7:114526479-114526490(-)::chr7:114526478-114526490(-) aagaggaagtag >mm10_chr7:114647766-114647777(+)::chr7:114647765-114647777(+) aggaggaagtaa >mm10_chr7:114647775-114647786(+)::chr7:114647774-114647786(+) taaaggaagaac >mm10_chr7:114789612-114789623(-)::chr7:114789611-114789623(-) ATAAGGAAAGGC >mm10_chr7:114840403-114840414(-)::chr7:114840402-114840414(-) GGAAGGAAAATA >mm10_chr7:114840407-114840418(-)::chr7:114840406-114840418(-) AAGAGGAAGGAA >mm10_chr7:114840431-114840442(-)::chr7:114840430-114840442(-) GGAAGGAAGAGA >mm10_chr7:114840435-114840446(-)::chr7:114840434-114840446(-) GAAAGGAAGGAA >mm10_chr7:114950977-114950988(+)::chr7:114950976-114950988(+) AAGAGGAAGTGT >mm10_chr7:114991187-114991198(-)::chr7:114991186-114991198(-) AGCAGGAAGTGT >mm10_chr7:114992705-114992716(+)::chr7:114992704-114992716(+) AACAGGAAGTAC >mm10_chr7:115008425-115008436(+)::chr7:115008424-115008436(+) aacaggaagtgg >mm10_chr7:115008483-115008494(-)::chr7:115008482-115008494(-) GGAAGGAAATAT >mm10_chr7:115084883-115084894(-)::chr7:115084882-115084894(-) GGAAGGAAGTGC >mm10_chr7:115084887-115084898(-)::chr7:115084886-115084898(-) ATGAGGAAGGAA >mm10_chr7:115101544-115101555(-)::chr7:115101543-115101555(-) ttaaggcagtaa >mm10_chr7:115102042-115102053(+)::chr7:115102041-115102053(+) accaggaagact >mm10_chr7:115132522-115132533(+)::chr7:115132521-115132533(+) TCCAGGAAGTAA >mm10_chr7:115132542-115132553(-)::chr7:115132541-115132553(-) ATGAGGAAGCCA >mm10_chr7:115132597-115132608(+)::chr7:115132596-115132608(+) ATCAGGAAGTAC >mm10_chr7:115151626-115151637(-)::chr7:115151625-115151637(-) acaaggaaacaa >mm10_chr7:115333165-115333176(+)::chr7:115333164-115333176(+) ACAGGGAAGTCT >mm10_chr7:115355649-115355660(-)::chr7:115355648-115355660(-) agaaggaagacc >mm10_chr7:115355677-115355688(-)::chr7:115355676-115355688(-) ggaaggaaggag >mm10_chr7:115355681-115355692(-)::chr7:115355680-115355692(-) tagaggaaggaa >mm10_chr7:115410035-115410046(+)::chr7:115410034-115410046(+) aagaggaagttg >mm10_chr7:115411469-115411480(+)::chr7:115411468-115411480(+) ACAAGGAAGGGG >mm10_chr7:115411497-115411508(+)::chr7:115411496-115411508(+) ACACGGAAGAGT >mm10_chr7:115473312-115473323(-)::chr7:115473311-115473323(-) GAGAGGAAGGAA >mm10_chr7:115473325-115473336(-)::chr7:115473324-115473336(-) GGAAGGAAGGGC >mm10_chr7:115473329-115473340(-)::chr7:115473328-115473340(-) AACAGGAAGGAA >mm10_chr7:115669711-115669722(-)::chr7:115669710-115669722(-) GCCAGGAAGAAG >mm10_chr7:115669726-115669737(+)::chr7:115669725-115669737(+) AAAAGGAAGTGT >mm10_chr7:115824075-115824086(-)::chr7:115824074-115824086(-) TATAGGAAGGAT >mm10_chr7:115842508-115842519(-)::chr7:115842507-115842519(-) ttaaggaagtta >mm10_chr7:115854623-115854634(+)::chr7:115854622-115854634(+) GCAAGGAAGTCT >mm10_chr7:115854661-115854672(-)::chr7:115854660-115854672(-) AGAAGGAAGTTT >mm10_chr7:115866647-115866658(+)::chr7:115866646-115866658(+) AGTAGGAAGGAA >mm10_chr7:115866651-115866662(+)::chr7:115866650-115866662(+) GGAAGGAAGGAA >mm10_chr7:115866655-115866666(+)::chr7:115866654-115866666(+) GGAAGGAAGTAC >mm10_chr7:115952696-115952707(+)::chr7:115952695-115952707(+) AAAAGCAAGTAA >mm10_chr7:115958904-115958915(-)::chr7:115958903-115958915(-) CGAAGGAAAAAG >mm10_chr7:115958925-115958936(-)::chr7:115958924-115958936(-) ATGAGGAAGCAA >mm10_chr7:115966213-115966224(+)::chr7:115966212-115966224(+) AAAAGGAAGCAG >mm10_chr7:116093588-116093599(+)::chr7:116093587-116093599(+) TGGAGGAAGAAG >mm10_chr7:116093604-116093615(+)::chr7:116093603-116093615(+) CGAAGGAAGGGG >mm10_chr7:116093629-116093640(-)::chr7:116093628-116093640(-) AAAAGGAAGACA >mm10_chr7:116103837-116103848(+)::chr7:116103836-116103848(+) TCCAGGAAGAGA >mm10_chr7:116103851-116103862(+)::chr7:116103850-116103862(+) AGCAGGAAATGT >mm10_chr7:116131556-116131567(+)::chr7:116131555-116131567(+) ataaggaaggac >mm10_chr7:116193806-116193817(+)::chr7:116193805-116193817(+) AGAAGGAAGCGC >mm10_chr7:116230783-116230794(-)::chr7:116230782-116230794(-) aagaggaagagT >mm10_chr7:116230789-116230800(-)::chr7:116230788-116230800(-) aagaggaagagg >mm10_chr7:116230795-116230806(-)::chr7:116230794-116230806(-) aggaggaagagg >mm10_chr7:116230807-116230818(-)::chr7:116230806-116230818(-) Gggaggaagaag >mm10_chr7:116231360-116231371(-)::chr7:116231359-116231371(-) AGAAGGAAGTGG >mm10_chr7:116274355-116274366(-)::chr7:116274354-116274366(-) AAAAGGAAATCT >mm10_chr7:116287056-116287067(-)::chr7:116287055-116287067(-) TAGAGGAAGCTA >mm10_chr7:116305240-116305251(-)::chr7:116305239-116305251(-) agcaggaagttg >mm10_chr7:116305253-116305264(-)::chr7:116305252-116305264(-) tgaaggaagtca >mm10_chr7:116409564-116409575(+)::chr7:116409563-116409575(+) AAGAGGAAGCAG >mm10_chr7:116409571-116409582(+)::chr7:116409570-116409582(+) AGCAGGAAGTAG >mm10_chr7:116411787-116411798(-)::chr7:116411786-116411798(-) ATAAGGAAACGG >mm10_chr7:116412222-116412233(+)::chr7:116412221-116412233(+) ATCAGGAAGGTA >mm10_chr7:116457142-116457153(+)::chr7:116457141-116457153(+) accaggaagcta >mm10_chr7:116458976-116458987(-)::chr7:116458975-116458987(-) AAAAGGAAGTGT >mm10_chr7:116484370-116484381(-)::chr7:116484369-116484381(-) TAAAGGAAGTTA >mm10_chr7:116484786-116484797(-)::chr7:116484785-116484797(-) GGAAGGAAGGAC >mm10_chr7:116484801-116484812(+)::chr7:116484800-116484812(+) GACAGGAAGAAT >mm10_chr7:116490651-116490662(-)::chr7:116490650-116490662(-) ggcaggaagatg >mm10_chr7:116490664-116490675(-)::chr7:116490663-116490675(-) atgaggaagcag >mm10_chr7:116494631-116494642(+)::chr7:116494630-116494642(+) AGCAGGAAGCCA >mm10_chr7:116494687-116494698(+)::chr7:116494686-116494698(+) GCAAGGAAGTCT >mm10_chr7:116503451-116503462(-)::chr7:116503450-116503462(-) atcaggaagcag >mm10_chr7:116504181-116504192(+)::chr7:116504180-116504192(+) GGAAGGAAGAGG >mm10_chr7:116504195-116504206(-)::chr7:116504194-116504206(-) GTGAGGAAGCCG >mm10_chr7:116513404-116513415(-)::chr7:116513403-116513415(-) GACAGGAAGAAG >mm10_chr7:116514804-116514815(-)::chr7:116514803-116514815(-) GAAAGGAAGAGT >mm10_chr7:116524575-116524586(+)::chr7:116524574-116524586(+) AGTAGGAAGAGG >mm10_chr7:116524581-116524592(+)::chr7:116524580-116524592(+) AAGAGGAAGTCA >mm10_chr7:116524629-116524640(+)::chr7:116524628-116524640(+) AGAAGGAAGATT >mm10_chr7:116635450-116635461(-)::chr7:116635449-116635461(-) ATCAGGATGTAC >mm10_chr7:116765521-116765532(+)::chr7:116765520-116765532(+) gaaaggaagagt >mm10_chr7:117091133-117091144(-)::chr7:117091132-117091144(-) tagaggaaGAAA >mm10_chr7:117303995-117304006(-)::chr7:117303994-117304006(-) TCAAGGAAGCAG >mm10_chr7:117394210-117394221(-)::chr7:117394209-117394221(-) AAAGGGAAGTTG >mm10_chr7:117435778-117435789(+)::chr7:117435777-117435789(+) AGAAGGAAGAGA >mm10_chr7:117457962-117457973(-)::chr7:117457961-117457973(-) tacaggAAGAGA >mm10_chr7:117462799-117462810(-)::chr7:117462798-117462810(-) GGAAGGAAGTGC >mm10_chr7:117472532-117472543(+)::chr7:117472531-117472543(+) AGAAGGAAGTGT >mm10_chr7:117472602-117472613(-)::chr7:117472601-117472613(-) GCCAGGAAGAGG >mm10_chr7:117473699-117473710(+)::chr7:117473698-117473710(+) ACGAGGAAGACG >mm10_chr7:117473759-117473770(-)::chr7:117473758-117473770(-) gtcaggaagaca >mm10_chr7:117490293-117490304(-)::chr7:117490292-117490304(-) AGAAGAAAGTGA >mm10_chr7:117495081-117495092(-)::chr7:117495080-117495092(-) ACAAGGAAGCTG >mm10_chr7:117505928-117505939(-)::chr7:117505927-117505939(-) CCCAGGAAGTGC >mm10_chr7:117521606-117521617(+)::chr7:117521605-117521617(+) GACAGGAAGCAG >mm10_chr7:117521613-117521624(+)::chr7:117521612-117521624(+) AGCAGGAAGCAG >mm10_chr7:117524753-117524764(-)::chr7:117524752-117524764(-) AGGAGGAAGAAC >mm10_chr7:117542997-117543008(-)::chr7:117542996-117543008(-) ACCGGGAAGTCC >mm10_chr7:117553125-117553136(+)::chr7:117553124-117553136(+) CGCAGGAAGAGG >mm10_chr7:117667150-117667161(+)::chr7:117667149-117667161(+) GTAAGTAAGTGT >mm10_chr7:117720312-117720323(+)::chr7:117720311-117720323(+) aagaggaagggg >mm10_chr7:117720341-117720352(+)::chr7:117720340-117720352(+) aagaggaagagg >mm10_chr7:117720347-117720358(+)::chr7:117720346-117720358(+) aagaggaaggga >mm10_chr7:118116139-118116150(+)::chr7:118116138-118116150(+) CGAGGGAAGATG >mm10_chr7:118187786-118187797(+)::chr7:118187785-118187797(+) taaaggaaggaa >mm10_chr7:118187822-118187833(+)::chr7:118187821-118187833(+) agaaggaagaag >mm10_chr7:118187829-118187840(+)::chr7:118187828-118187840(+) agaaggaagaag >mm10_chr7:118218716-118218727(-)::chr7:118218715-118218727(-) ataaggaagtca >mm10_chr7:118225337-118225348(+)::chr7:118225336-118225348(+) agaaggaagaat >mm10_chr7:118243391-118243402(+)::chr7:118243390-118243402(+) AGGAGGAAGCCG >mm10_chr7:118259897-118259908(+)::chr7:118259896-118259908(+) aataggaaGCAA >mm10_chr7:118275025-118275036(+)::chr7:118275024-118275036(+) tgcaggaagtcc >mm10_chr7:118344992-118345003(-)::chr7:118344991-118345003(-) tgggggaagtag >mm10_chr7:118468265-118468276(-)::chr7:118468264-118468276(-) AAGAGGAAGAGC >mm10_chr7:118477124-118477135(-)::chr7:118477123-118477135(-) TATAGGAAGATG >mm10_chr7:118492034-118492045(+)::chr7:118492033-118492045(+) GGGCGGAAGTGG >mm10_chr7:118522207-118522218(+)::chr7:118522206-118522218(+) agtaggaagcgg >mm10_chr7:118522278-118522289(-)::chr7:118522277-118522289(-) aacaggaagagg >mm10_chr7:118584033-118584044(+)::chr7:118584032-118584044(+) ACAAGGAAGCCT >mm10_chr7:118595318-118595329(-)::chr7:118595317-118595329(-) ACTAGGAAGGGA >mm10_chr7:118600107-118600118(-)::chr7:118600106-118600118(-) ATAAGGAAGTAA >mm10_chr7:118600620-118600631(-)::chr7:118600619-118600631(-) TCCAGGAAGAAA >mm10_chr7:118604959-118604970(+)::chr7:118604958-118604970(+) AGAAGGAAGCAG >mm10_chr7:118611923-118611934(-)::chr7:118611922-118611934(-) AACAGGAAGAAg >mm10_chr7:118618350-118618361(-)::chr7:118618349-118618361(-) ACAAGGAAATCA >mm10_chr7:118618373-118618384(-)::chr7:118618372-118618384(-) ACAAGGAAATCA >mm10_chr7:118652736-118652747(+)::chr7:118652735-118652747(+) TGAAGGAAGGCA >mm10_chr7:118653239-118653250(-)::chr7:118653238-118653250(-) GGAAGGAAGGAC >mm10_chr7:118653243-118653254(-)::chr7:118653242-118653254(-) GAGAGGAAGGAA >mm10_chr7:118653283-118653294(-)::chr7:118653282-118653294(-) AAAAGGAAGCTG >mm10_chr7:118703255-118703266(-)::chr7:118703254-118703266(-) ACACGGAAGTAG >mm10_chr7:118707353-118707364(+)::chr7:118707352-118707364(+) tcgaggaaggga >mm10_chr7:118777762-118777773(-)::chr7:118777761-118777773(-) GCTAGGaagtcg >mm10_chr7:118855674-118855685(+)::chr7:118855673-118855685(+) ATCCGGAAGTCA >mm10_chr7:118890331-118890342(-)::chr7:118890330-118890342(-) gacaggaaggag >mm10_chr7:118890344-118890355(-)::chr7:118890343-118890355(-) agaaggaagtga >mm10_chr7:118895733-118895744(-)::chr7:118895732-118895744(-) GAGAGGAAGTAA >mm10_chr7:118895764-118895775(-)::chr7:118895763-118895775(-) AGAAGGAAGGCT >mm10_chr7:118903191-118903202(+)::chr7:118903190-118903202(+) AGCAGGAAGAGC >mm10_chr7:118916293-118916304(+)::chr7:118916292-118916304(+) aggaggaagaag >mm10_chr7:118916305-118916316(+)::chr7:118916304-118916316(+) aggaggaagagg >mm10_chr7:118916314-118916325(+)::chr7:118916313-118916325(+) aggaggaagaag >mm10_chr7:118916331-118916342(+)::chr7:118916330-118916342(+) aagaggaagaag >mm10_chr7:118916340-118916351(+)::chr7:118916339-118916351(+) aagaggaagaga >mm10_chr7:118937856-118937867(+)::chr7:118937855-118937867(+) AGCAGGAAATGG >mm10_chr7:118943396-118943407(+)::chr7:118943395-118943407(+) AGCAGGAAGAAT >mm10_chr7:118947461-118947472(-)::chr7:118947460-118947472(-) ATAGGGAAATCG >mm10_chr7:118947683-118947694(-)::chr7:118947682-118947694(-) AGGAGGAAGAGC >mm10_chr7:118984343-118984354(+)::chr7:118984342-118984354(+) AGCAGGAAGAGG >mm10_chr7:118984349-118984360(+)::chr7:118984348-118984360(+) AAGAGGAAATGG >mm10_chr7:119022480-119022491(+)::chr7:119022479-119022491(+) ATGAGGAAGTGA >mm10_chr7:119193425-119193436(+)::chr7:119193424-119193436(+) ataaggaaagag >mm10_chr7:119241344-119241355(+)::chr7:119241343-119241355(+) TCATGGAAGTAA >mm10_chr7:119355753-119355764(-)::chr7:119355752-119355764(-) ATTAGGAAGGAG >mm10_chr7:119425903-119425914(+)::chr7:119425902-119425914(+) ACCAGGAAGTAG >mm10_chr7:119487966-119487977(+)::chr7:119487965-119487977(+) TCCAGGAAGTCA >mm10_chr7:119595346-119595357(-)::chr7:119595345-119595357(-) AAAAGGAAGAGC >mm10_chr7:119595357-119595368(-)::chr7:119595356-119595368(-) GGAAGGAAGCCA >mm10_chr7:119738205-119738216(+)::chr7:119738204-119738216(+) aaatggaagtgc >mm10_chr7:119855210-119855221(-)::chr7:119855209-119855221(-) TCAAGGAACTAG >mm10_chr7:119899524-119899535(-)::chr7:119899523-119899535(-) AGAGGGAAGTAG >mm10_chr7:119900093-119900104(-)::chr7:119900092-119900104(-) AGGAGGAAATGA >mm10_chr7:119900124-119900135(-)::chr7:119900123-119900135(-) ACTAGGAAGTAA >mm10_chr7:119910067-119910078(+)::chr7:119910066-119910078(+) ACCAGGAAGGTC >mm10_chr7:119926519-119926530(-)::chr7:119926518-119926530(-) TGGAGGAAGTCT >mm10_chr7:119937286-119937297(-)::chr7:119937285-119937297(-) ATAAGGAAACCA >mm10_chr7:119937313-119937324(+)::chr7:119937312-119937324(+) TTAAGGAAGATG >mm10_chr7:119941699-119941710(+)::chr7:119941698-119941710(+) GCAAGGAAGAAA >mm10_chr7:120102447-120102458(-)::chr7:120102446-120102458(-) GGAAGGAAGACA >mm10_chr7:120119980-120119991(-)::chr7:120119979-120119991(-) ATGAGGAAGTGA >mm10_chr7:120577753-120577764(+)::chr7:120577752-120577764(+) ATGAGGAAGGTG >mm10_chr7:120664175-120664186(-)::chr7:120664174-120664186(-) caaaggaaggct >mm10_chr7:120729849-120729860(-)::chr7:120729848-120729860(-) TGCAGGAAGTCA >mm10_chr7:120844970-120844981(+)::chr7:120844969-120844981(+) TTAAGGAAATAG >mm10_chr7:120847319-120847330(+)::chr7:120847318-120847330(+) gggaggaagaag >mm10_chr7:120847339-120847350(+)::chr7:120847338-120847350(+) gacaggaaggga >mm10_chr7:120852270-120852281(+)::chr7:120852269-120852281(+) TGAAGGAAGAGG >mm10_chr7:120858070-120858081(-)::chr7:120858069-120858081(-) ttaaggatgtac >mm10_chr7:120863707-120863718(+)::chr7:120863706-120863718(+) TTAAGGAAGAGT >mm10_chr7:120865248-120865259(-)::chr7:120865247-120865259(-) TTACGGAAGTTA >mm10_chr7:120874278-120874289(-)::chr7:120874277-120874289(-) AGAAGGAAGCTT >mm10_chr7:120875544-120875555(-)::chr7:120875543-120875555(-) ggtaggaaggag >mm10_chr7:120875556-120875567(-)::chr7:120875555-120875567(-) aggaggaagaga >mm10_chr7:120875782-120875793(-)::chr7:120875781-120875793(-) ATGAGGAAGGAC >mm10_chr7:120882285-120882296(-)::chr7:120882284-120882296(-) ggaaggaagaga >mm10_chr7:120882289-120882300(-)::chr7:120882288-120882300(-) gcaaggaaggaa >mm10_chr7:120882301-120882312(-)::chr7:120882300-120882312(-) ggcaggaaataa >mm10_chr7:120883857-120883868(-)::chr7:120883856-120883868(-) ACAGGGAAGTCA >mm10_chr7:120883877-120883888(+)::chr7:120883876-120883888(+) ACAAGGAAACTA >mm10_chr7:120889067-120889078(+)::chr7:120889066-120889078(+) AGGAGGAAGACT >mm10_chr7:120901853-120901864(+)::chr7:120901852-120901864(+) aacaggaagtga >mm10_chr7:120901892-120901903(-)::chr7:120901891-120901903(-) agggggaagtat >mm10_chr7:120917750-120917761(+)::chr7:120917749-120917761(+) AAGAGGAAGCGC >mm10_chr7:120919202-120919213(+)::chr7:120919201-120919213(+) ATCAGGAAGTTC >mm10_chr7:120919227-120919238(+)::chr7:120919226-120919238(+) TTCAGGAAATAA >mm10_chr7:120919235-120919246(+)::chr7:120919234-120919246(+) ATAAGGAATTAT >mm10_chr7:120994380-120994391(+)::chr7:120994379-120994391(+) GCCAGGAAGGAA >mm10_chr7:121034441-121034452(+)::chr7:121034440-121034452(+) CGGAGGACGTGC >mm10_chr7:121056302-121056313(+)::chr7:121056301-121056313(+) ACgaggaagagg >mm10_chr7:121056311-121056322(+)::chr7:121056310-121056322(+) aggaggaagaga >mm10_chr7:121056320-121056331(+)::chr7:121056319-121056331(+) agaaggaagaga >mm10_chr7:121056329-121056340(+)::chr7:121056328-121056340(+) agaaggaagaag >mm10_chr7:121056338-121056349(+)::chr7:121056337-121056349(+) aagaggaagaag >mm10_chr7:121056345-121056356(+)::chr7:121056344-121056356(+) agaaggaaggaa >mm10_chr7:121056359-121056370(+)::chr7:121056358-121056370(+) aaaaggaaggca >mm10_chr7:121059709-121059720(-)::chr7:121059708-121059720(-) ACCAGGAAGCAG >mm10_chr7:121059773-121059784(-)::chr7:121059772-121059784(-) ACAAGGAAATGA >mm10_chr7:121074546-121074557(+)::chr7:121074545-121074557(+) GAAAGGAAGAAG >mm10_chr7:121074576-121074587(+)::chr7:121074575-121074587(+) TTAAGGAAGCAC >mm10_chr7:121077080-121077091(-)::chr7:121077079-121077091(-) TTAAGGAAGCTT >mm10_chr7:121120345-121120356(-)::chr7:121120344-121120356(-) AGAAGGAAGTGA >mm10_chr7:121172105-121172116(+)::chr7:121172104-121172116(+) ATACGGAAGCAC >mm10_chr7:121351299-121351310(+)::chr7:121351298-121351310(+) TGCAGGAAGCAC >mm10_chr7:121351317-121351328(-)::chr7:121351316-121351328(-) ACAAGGAAGGCA >mm10_chr7:121488260-121488271(-)::chr7:121488259-121488271(-) ACAAGGAAGAGT >mm10_chr7:121691209-121691220(+)::chr7:121691208-121691220(+) AGAAGGAAGCCA >mm10_chr7:121723354-121723365(-)::chr7:121723353-121723365(-) aggaggaagaaa >mm10_chr7:121723366-121723377(-)::chr7:121723365-121723377(-) aggaggaagagg >mm10_chr7:121723380-121723391(-)::chr7:121723379-121723391(-) agaaggaaagga >mm10_chr7:121723387-121723398(-)::chr7:121723386-121723398(-) aagaggaagaag >mm10_chr7:121723393-121723404(-)::chr7:121723392-121723404(-) gaaaggaagagg >mm10_chr7:121790041-121790052(-)::chr7:121790040-121790052(-) TCCAGGAAGTGT >mm10_chr7:121835038-121835049(+)::chr7:121835037-121835049(+) AAGAGGAAGAAG >mm10_chr7:121844390-121844401(+)::chr7:121844389-121844401(+) ACAAGGAACTCC >mm10_chr7:121874495-121874506(-)::chr7:121874494-121874506(-) AGCAGGAAGTAC >mm10_chr7:121875552-121875563(-)::chr7:121875551-121875563(-) TTAGGGAAGTTG >mm10_chr7:121903085-121903096(+)::chr7:121903084-121903096(+) ataaggaaggca >mm10_chr7:121916174-121916185(+)::chr7:121916173-121916185(+) GGCAGGAAGGAA >mm10_chr7:121916193-121916204(+)::chr7:121916192-121916204(+) AGAAGGAAGAGC >mm10_chr7:121981719-121981730(+)::chr7:121981718-121981730(+) TTCCGGAAGTCG >mm10_chr7:121996166-121996177(+)::chr7:121996165-121996177(+) acaaggaaatgg >mm10_chr7:121996208-121996219(+)::chr7:121996207-121996219(+) acaaggaagtaa >mm10_chr7:121996293-121996304(+)::chr7:121996292-121996304(+) acaaagaagtag >mm10_chr7:121996336-121996347(+)::chr7:121996335-121996347(+) acagggaagtag >mm10_chr7:122006175-122006186(-)::chr7:122006174-122006186(-) TCAAGGAAGCAG >mm10_chr7:122006193-122006204(+)::chr7:122006192-122006204(+) ACTAGGAAGACA >mm10_chr7:122006213-122006224(-)::chr7:122006212-122006224(-) AGAAGGAAGACA >mm10_chr7:122010697-122010708(-)::chr7:122010696-122010708(-) AGAAGGAAGCCC >mm10_chr7:122018288-122018299(-)::chr7:122018287-122018299(-) TGCAGGAAGAAA >mm10_chr7:122018305-122018316(-)::chr7:122018304-122018316(-) GGAAGGAAGTTC >mm10_chr7:122030765-122030776(+)::chr7:122030764-122030776(+) gcaaggaagtag >mm10_chr7:122034074-122034085(+)::chr7:122034073-122034085(+) AGGAGGAAGTGA >mm10_chr7:122048872-122048883(-)::chr7:122048871-122048883(-) AAAAGGAAATAA >mm10_chr7:122048910-122048921(+)::chr7:122048909-122048921(+) AGGAGGAAATGG >mm10_chr7:122062908-122062919(-)::chr7:122062907-122062919(-) GCAAGGAAGGGG >mm10_chr7:122067080-122067091(-)::chr7:122067079-122067091(-) GAGCGGAAGTGA >mm10_chr7:122080376-122080387(+)::chr7:122080375-122080387(+) TCAAGGAAGTGA >mm10_chr7:122159127-122159138(+)::chr7:122159126-122159138(+) TGGAGGAAGGTG >mm10_chr7:122197606-122197617(+)::chr7:122197605-122197617(+) AGAAGGAAGGGA >mm10_chr7:122251930-122251941(-)::chr7:122251929-122251941(-) ATCGGGAAGTCT >mm10_chr7:122405404-122405415(+)::chr7:122405403-122405415(+) GCAAGGAAGAAA >mm10_chr7:122466027-122466038(-)::chr7:122466026-122466038(-) GGAAGGATGTGA >mm10_chr7:122466031-122466042(-)::chr7:122466030-122466042(-) CAAAGGAAGGAT >mm10_chr7:122508081-122508092(+)::chr7:122508080-122508092(+) TCAAGGAAGTGA >mm10_chr7:122949332-122949343(-)::chr7:122949331-122949343(-) GGAAGGAAGGCT >mm10_chr7:122949360-122949371(-)::chr7:122949359-122949371(-) GTCAGGAAGAGG >mm10_chr7:122952289-122952300(+)::chr7:122952288-122952300(+) ACAAGGAAGTCT >mm10_chr7:122997064-122997075(-)::chr7:122997063-122997075(-) AAGAGGAAGTGT >mm10_chr7:122997070-122997081(-)::chr7:122997069-122997081(-) TGGAGGAAGAGG >mm10_chr7:123001726-123001737(+)::chr7:123001725-123001737(+) TGAAGGAAGAAG >mm10_chr7:123010093-123010104(-)::chr7:123010092-123010104(-) AACAGGAAGAAC >mm10_chr7:123019803-123019814(-)::chr7:123019802-123019814(-) aaaaggaagttc >mm10_chr7:123019837-123019848(+)::chr7:123019836-123019848(+) tgaaggaactgt >mm10_chr7:123025911-123025922(-)::chr7:123025910-123025922(-) atgaggaagagg >mm10_chr7:123025931-123025942(-)::chr7:123025930-123025942(-) aggaggaagagg >mm10_chr7:123025945-123025956(-)::chr7:123025944-123025956(-) aagaggaagagg >mm10_chr7:123025951-123025962(-)::chr7:123025950-123025962(-) aggaggaagagg >mm10_chr7:123035257-123035268(+)::chr7:123035256-123035268(+) AGCAGGAAGGAG >mm10_chr7:123047679-123047690(-)::chr7:123047678-123047690(-) acgaggaagaca >mm10_chr7:123047691-123047702(-)::chr7:123047690-123047702(-) ataaggaagtga >mm10_chr7:123052527-123052538(-)::chr7:123052526-123052538(-) acaaggaagtaa >mm10_chr7:123052570-123052581(-)::chr7:123052569-123052581(-) acaaggaaatgg >mm10_chr7:123082365-123082376(+)::chr7:123082364-123082376(+) GGAAGGAAATTG >mm10_chr7:123082413-123082424(-)::chr7:123082412-123082424(-) GGGAGGAAGATG >mm10_chr7:123084628-123084639(-)::chr7:123084627-123084639(-) GGGAGGAAGGGG >mm10_chr7:123084854-123084865(+)::chr7:123084853-123084865(+) TCGAGGAAGTGA >mm10_chr7:123121165-123121176(-)::chr7:123121164-123121176(-) ACAAGGAAGTCT >mm10_chr7:123149045-123149056(+)::chr7:123149044-123149056(+) GTCAGGAAGGAG >mm10_chr7:123149052-123149063(+)::chr7:123149051-123149063(+) AGGAGGAAGACA >mm10_chr7:123170698-123170709(+)::chr7:123170697-123170709(+) ATGGGGAAGTGG >mm10_chr7:123170725-123170736(+)::chr7:123170724-123170736(+) TGGAGGAAGTCG >mm10_chr7:123220618-123220629(-)::chr7:123220617-123220629(-) GGAAGGAAGGCA >mm10_chr7:123220622-123220633(-)::chr7:123220621-123220633(-) GGAAGGAAGGAA >mm10_chr7:123220626-123220637(-)::chr7:123220625-123220637(-) GAAAGGAAGGAA >mm10_chr7:123220631-123220642(-)::chr7:123220630-123220642(-) ACAAGGAAAGGA >mm10_chr7:123240274-123240285(-)::chr7:123240273-123240285(-) AACAGGAAGCGG >mm10_chr7:123273176-123273187(-)::chr7:123273175-123273187(-) CAAAGGAAGATG >mm10_chr7:123338608-123338619(-)::chr7:123338607-123338619(-) ggaaggaaggaa >mm10_chr7:123347613-123347624(+)::chr7:123347612-123347624(+) AACAGGAAGAAC >mm10_chr7:123347643-123347654(+)::chr7:123347642-123347654(+) ATACGGAAGAGG >mm10_chr7:123354523-123354534(+)::chr7:123354522-123354534(+) AAGAGGAAGTGG >mm10_chr7:123354564-123354575(+)::chr7:123354563-123354575(+) TGAAGGAAGGGG >mm10_chr7:123377880-123377891(-)::chr7:123377879-123377891(-) ACCCGGAAGTTT >mm10_chr7:123397340-123397351(+)::chr7:123397339-123397351(+) accaggaagcag >mm10_chr7:123429990-123430001(-)::chr7:123429989-123430001(-) AGAAGGAAGTGA >mm10_chr7:123475906-123475917(+)::chr7:123475905-123475917(+) TCCAGGAAGTGG >mm10_chr7:124389038-124389049(-)::chr7:124389037-124389049(-) AGAAGGAAGTGG >mm10_chr7:124826025-124826036(-)::chr7:124826024-124826036(-) AAAGGGAAGTCA >mm10_chr7:124828784-124828795(+)::chr7:124828783-124828795(+) ACAAGGAAAGAC >mm10_chr7:124880508-124880519(-)::chr7:124880507-124880519(-) TGAAGGAAGTAA >mm10_chr7:124912381-124912392(+)::chr7:124912380-124912392(+) ATCAGGAAGGAg >mm10_chr7:124912388-124912399(+)::chr7:124912387-124912399(+) AGGAggaaggaa >mm10_chr7:124912405-124912416(+)::chr7:124912404-124912416(+) aggaggaaggga >mm10_chr7:124925782-124925793(-)::chr7:124925781-124925793(-) atgaggaagtca >mm10_chr7:124930840-124930851(-)::chr7:124930839-124930851(-) AGGAGGAAATGG >mm10_chr7:124933850-124933861(-)::chr7:124933849-124933861(-) TTAGGGAAGTTG >mm10_chr7:124936054-124936065(+)::chr7:124936053-124936065(+) AACAGGAACTAA >mm10_chr7:124943002-124943013(+)::chr7:124943001-124943013(+) agaaggaagaaa >mm10_chr7:125033887-125033898(-)::chr7:125033886-125033898(-) GGAAGGAAATAC >mm10_chr7:125333042-125333053(-)::chr7:125333041-125333053(-) AAGAGGAAGAAC >mm10_chr7:125333050-125333061(-)::chr7:125333049-125333061(-) ATAAGGAAAAGA >mm10_chr7:125333080-125333091(-)::chr7:125333079-125333091(-) ATGAGGAAGATA >mm10_chr7:125333102-125333113(-)::chr7:125333101-125333113(-) CTGAGGAAGTTC >mm10_chr7:125393940-125393951(-)::chr7:125393939-125393951(-) GCAAGGAAGTGG >mm10_chr7:125420913-125420924(-)::chr7:125420912-125420924(-) taaaggaagagg >mm10_chr7:125420934-125420945(-)::chr7:125420933-125420945(-) aagaggaagacg >mm10_chr7:125420940-125420951(-)::chr7:125420939-125420951(-) AGgaggaagagg >mm10_chr7:125427603-125427614(-)::chr7:125427602-125427614(-) TCCAGGAAGGGA >mm10_chr7:125448334-125448345(+)::chr7:125448333-125448345(+) taaaggacgtgg >mm10_chr7:125472464-125472475(-)::chr7:125472463-125472475(-) GGAAGGAAGGCT >mm10_chr7:125472468-125472479(-)::chr7:125472467-125472479(-) AAGAGGAAGGAA >mm10_chr7:125473944-125473955(+)::chr7:125473943-125473955(+) GGAAGGAAGGAG >mm10_chr7:125491620-125491631(-)::chr7:125491619-125491631(-) TCCGGGAAGTAC >mm10_chr7:125542060-125542071(+)::chr7:125542059-125542071(+) TAGGGGAAGTAA >mm10_chr7:125543821-125543832(+)::chr7:125543820-125543832(+) ATAAGGAAGGAG >mm10_chr7:125551205-125551216(-)::chr7:125551204-125551216(-) AGCAGGAAGGCC >mm10_chr7:125552261-125552272(+)::chr7:125552260-125552272(+) CGTAGGAAGCGG >mm10_chr7:125558933-125558944(+)::chr7:125558932-125558944(+) ACAAGGAAATCT >mm10_chr7:125558960-125558971(+)::chr7:125558959-125558971(+) AGAAGGAAATTG >mm10_chr7:125561547-125561558(+)::chr7:125561546-125561558(+) AGACGGAAGGAA >mm10_chr7:125561551-125561562(+)::chr7:125561550-125561562(+) GGAAGGAAGTTG >mm10_chr7:125575938-125575949(+)::chr7:125575937-125575949(+) TTCAGGAAGTGG >mm10_chr7:125577111-125577122(+)::chr7:125577110-125577122(+) CCAGGGAAGTAC >mm10_chr7:125577119-125577130(-)::chr7:125577118-125577130(-) CCCAGGAAGTAC >mm10_chr7:125639039-125639050(+)::chr7:125639038-125639050(+) AACAGGAAGTCA >mm10_chr7:125793884-125793895(-)::chr7:125793883-125793895(-) atcaggaagaag >mm10_chr7:125827668-125827679(+)::chr7:125827667-125827679(+) AACAGGAAATAC >mm10_chr7:125846802-125846813(-)::chr7:125846801-125846813(-) GACAGGAAGTTT >mm10_chr7:125878167-125878178(+)::chr7:125878166-125878178(+) GGCAGGAAGTAC >mm10_chr7:125878195-125878206(+)::chr7:125878194-125878206(+) AGGAGGAAGACA >mm10_chr7:126041626-126041637(+)::chr7:126041625-126041637(+) ATGAGGAAGAAT >mm10_chr7:126041652-126041663(-)::chr7:126041651-126041663(-) acgaggaagaga >mm10_chr7:126102709-126102720(-)::chr7:126102708-126102720(-) ATGAGGAAGGAC >mm10_chr7:126144496-126144507(+)::chr7:126144495-126144507(+) tgagggaagtta >mm10_chr7:126165495-126165506(+)::chr7:126165494-126165506(+) aaaAGGAAGTAA >mm10_chr7:126165499-126165510(+)::chr7:126165498-126165510(+) GGAAGTAAGTCA >mm10_chr7:126167699-126167710(-)::chr7:126167698-126167710(-) TCCAGGAAGTGC >mm10_chr7:126236228-126236239(+)::chr7:126236227-126236239(+) TGAAGGAAGTGA >mm10_chr7:126236284-126236295(+)::chr7:126236283-126236295(+) CTCAGGAAGTGT >mm10_chr7:126237589-126237600(-)::chr7:126237588-126237600(-) agcaggaagcag >mm10_chr7:126237596-126237607(-)::chr7:126237595-126237607(-) agcaggaagcag >mm10_chr7:126237603-126237614(-)::chr7:126237602-126237614(-) agcaggaagcag >mm10_chr7:126237610-126237621(-)::chr7:126237609-126237621(-) agcaggaagcag >mm10_chr7:126237617-126237628(-)::chr7:126237616-126237628(-) agcaggaagcag >mm10_chr7:126237624-126237635(-)::chr7:126237623-126237635(-) agcaggaagcag >mm10_chr7:126237634-126237645(-)::chr7:126237633-126237645(-) GAGAGGAAgaag >mm10_chr7:126282273-126282284(-)::chr7:126282272-126282284(-) acaaggaagcag >mm10_chr7:126341764-126341775(+)::chr7:126341763-126341775(+) aaaaggcagtgt >mm10_chr7:126475138-126475149(+)::chr7:126475137-126475149(+) ACGCGGAAGGAA >mm10_chr7:126475142-126475153(+)::chr7:126475141-126475153(+) GGAAGGAAGCGA >mm10_chr7:126487951-126487962(+)::chr7:126487950-126487962(+) TACAGGAAGAGC >mm10_chr7:126509571-126509582(-)::chr7:126509570-126509582(-) aaaaggaaggtg >mm10_chr7:126533199-126533210(-)::chr7:126533198-126533210(-) atgaggaagttt >mm10_chr7:126535771-126535782(-)::chr7:126535770-126535782(-) TTAAggaactgg >mm10_chr7:126566421-126566432(-)::chr7:126566420-126566432(-) TCCGGGAAGTGA >mm10_chr7:126584923-126584934(+)::chr7:126584922-126584934(+) AAGAGGAAGTGT >mm10_chr7:126585782-126585793(+)::chr7:126585781-126585793(+) AAGAGGAAGCAA >mm10_chr7:126585824-126585835(+)::chr7:126585823-126585835(+) AGAAGGAAGAAG >mm10_chr7:126589489-126589500(-)::chr7:126589488-126589500(-) aagaggaagagg >mm10_chr7:126589495-126589506(-)::chr7:126589494-126589506(-) aggaggaagagg >mm10_chr7:126597630-126597641(+)::chr7:126597629-126597641(+) aacaGGAAATGT >mm10_chr7:126620219-126620230(-)::chr7:126620218-126620230(-) ATCAGGAAGGAC >mm10_chr7:126621508-126621519(+)::chr7:126621507-126621519(+) TCAAGGAAGCCA >mm10_chr7:126644525-126644536(-)::chr7:126644524-126644536(-) GGGAGGAAGCAG >mm10_chr7:126644535-126644546(-)::chr7:126644534-126644546(-) TCAAGGAAGAGG >mm10_chr7:126676416-126676427(+)::chr7:126676415-126676427(+) GGGAGGAAGTGG >mm10_chr7:126695888-126695899(+)::chr7:126695887-126695899(+) AGGCGGAAGTGC >mm10_chr7:126695923-126695934(+)::chr7:126695922-126695934(+) GGGCGGAAGTGA >mm10_chr7:126695941-126695952(+)::chr7:126695940-126695952(+) TGGAGGAAGTGG >mm10_chr7:126755003-126755014(+)::chr7:126755002-126755014(+) TAAAGGAAATTC >mm10_chr7:126755046-126755057(-)::chr7:126755045-126755057(-) GTAAGGAAGCGC >mm10_chr7:126791870-126791881(-)::chr7:126791869-126791881(-) GGCAGGAAGTTC >mm10_chr7:126792496-126792507(-)::chr7:126792495-126792507(-) GGCCGGAAGTAG >mm10_chr7:126792544-126792555(+)::chr7:126792543-126792555(+) CGCCGGAAGTGA >mm10_chr7:126797907-126797918(-)::chr7:126797906-126797918(-) GACAGGAAGTAT >mm10_chr7:126797939-126797950(-)::chr7:126797938-126797950(-) ATAGGGAAGTCG >mm10_chr7:126797961-126797972(-)::chr7:126797960-126797972(-) TACAGGAAGAGG >mm10_chr7:126799869-126799880(-)::chr7:126799868-126799880(-) AGAAGGAAATCA >mm10_chr7:126825261-126825272(+)::chr7:126825260-126825272(+) GCAAGGAAGCAG >mm10_chr7:126830731-126830742(+)::chr7:126830730-126830742(+) AGCAGGAAGAAG >mm10_chr7:126884748-126884759(+)::chr7:126884747-126884759(+) CAAAGGAAATAG >mm10_chr7:126963825-126963836(-)::chr7:126963824-126963836(-) AAAAAGAAGTAA >mm10_chr7:126975630-126975641(-)::chr7:126975629-126975641(-) CTAAGGAAGTGC >mm10_chr7:126975834-126975845(+)::chr7:126975833-126975845(+) TTACGGAAGTTC >mm10_chr7:126976285-126976296(-)::chr7:126976284-126976296(-) CAAAGGAAGAAA >mm10_chr7:127014583-127014594(-)::chr7:127014582-127014594(-) TTAGGGAAGTCA >mm10_chr7:127016840-127016851(+)::chr7:127016839-127016851(+) GGCCGGAAGTCA >mm10_chr7:127070734-127070745(-)::chr7:127070733-127070745(-) AAAGGGAAGTAG >mm10_chr7:127071032-127071043(-)::chr7:127071031-127071043(-) AGAAGGAAGTGG >mm10_chr7:127112475-127112486(+)::chr7:127112474-127112486(+) AGCAGGAAGAGG >mm10_chr7:127134319-127134330(-)::chr7:127134318-127134330(-) ACAAGGAAGCTG >mm10_chr7:127134328-127134339(-)::chr7:127134327-127134339(-) TGAAGGAAGACA >mm10_chr7:127134355-127134366(-)::chr7:127134354-127134366(-) ACTAGGAAGTGG >mm10_chr7:127196039-127196050(+)::chr7:127196038-127196050(+) ACCCGGAAGTGG >mm10_chr7:127206831-127206842(+)::chr7:127206830-127206842(+) GGAAGGAACTAG >mm10_chr7:127206867-127206878(+)::chr7:127206866-127206878(+) ATGAGGAAATGG >mm10_chr7:127208857-127208868(+)::chr7:127208856-127208868(+) AAGAGGAAGTGA >mm10_chr7:127233064-127233075(-)::chr7:127233063-127233075(-) ACCAGGAAGGAG >mm10_chr7:127233237-127233248(-)::chr7:127233236-127233248(-) GACGGGAAGCGG >mm10_chr7:127271336-127271347(-)::chr7:127271335-127271347(-) ACAAGGAAGCCT >mm10_chr7:127364296-127364307(-)::chr7:127364295-127364307(-) agaaggaagcaa >mm10_chr7:127364363-127364374(+)::chr7:127364362-127364374(+) aaccggaagtcc >mm10_chr7:127394152-127394163(+)::chr7:127394151-127394163(+) gcaaggaagtta >mm10_chr7:127437089-127437100(-)::chr7:127437088-127437100(-) aggaggaagagg >mm10_chr7:127437101-127437112(-)::chr7:127437100-127437112(-) aagaggaagagg >mm10_chr7:127437107-127437118(-)::chr7:127437106-127437118(-) aggaggaagagg >mm10_chr7:127437141-127437152(-)::chr7:127437140-127437152(-) aggaggaaggag >mm10_chr7:127437171-127437182(-)::chr7:127437170-127437182(-) aggaggaagatg >mm10_chr7:127452175-127452186(+)::chr7:127452174-127452186(+) aggaggaagcta >mm10_chr7:127452218-127452229(+)::chr7:127452217-127452229(+) aataggaaggac >mm10_chr7:127472294-127472305(-)::chr7:127472293-127472305(-) ATAGGGAAGAGG >mm10_chr7:127478176-127478187(+)::chr7:127478175-127478187(+) gagaggaaggag >mm10_chr7:127478198-127478209(+)::chr7:127478197-127478209(+) aggaggaagagg >mm10_chr7:127478218-127478229(+)::chr7:127478217-127478229(+) gcagggAAGTTC >mm10_chr7:127478346-127478357(+)::chr7:127478345-127478357(+) AGGAGGAAGAGT >mm10_chr7:127478771-127478782(+)::chr7:127478770-127478782(+) AAGGGGAAGTCG >mm10_chr7:127513187-127513198(+)::chr7:127513186-127513198(+) ATAAGGAATTAA >mm10_chr7:127557812-127557823(+)::chr7:127557811-127557823(+) TGGAGGAAGTGA >mm10_chr7:127557846-127557857(+)::chr7:127557845-127557857(+) AGAAGTAAGTCT >mm10_chr7:127557863-127557874(+)::chr7:127557862-127557874(+) TGTAGGAAGTAA >mm10_chr7:127558032-127558043(+)::chr7:127558031-127558043(+) ACGAGGAAGAGG >mm10_chr7:127558038-127558049(+)::chr7:127558037-127558049(+) AAGAGGAAGGAC >mm10_chr7:127565037-127565048(-)::chr7:127565036-127565048(-) GACAGGAAGAAC >mm10_chr7:127573139-127573150(-)::chr7:127573138-127573150(-) ACACGGAAGTTA >mm10_chr7:127615251-127615262(+)::chr7:127615250-127615262(+) AGCAGGAAGTGA >mm10_chr7:127652477-127652488(+)::chr7:127652476-127652488(+) tgcaggaaggtg >mm10_chr7:127685276-127685287(+)::chr7:127685275-127685287(+) agaaggaagaag >mm10_chr7:127685318-127685329(+)::chr7:127685317-127685329(+) AGAAGGAAGAAG >mm10_chr7:127685325-127685336(+)::chr7:127685324-127685336(+) AGAAGGAAGTGG >mm10_chr7:127712347-127712358(+)::chr7:127712346-127712358(+) AAAAGGAAGTCC >mm10_chr7:127746275-127746286(+)::chr7:127746274-127746286(+) aggaggaaggag >mm10_chr7:127746282-127746293(+)::chr7:127746281-127746293(+) aggaggaaggag >mm10_chr7:127749066-127749077(-)::chr7:127749065-127749077(-) ACCAGGAAGAGT >mm10_chr7:127749101-127749112(-)::chr7:127749100-127749112(-) CAAAGGAAGGGG >mm10_chr7:127753547-127753558(-)::chr7:127753546-127753558(-) ATGAGGAAGTTA >mm10_chr7:127753599-127753610(-)::chr7:127753598-127753610(-) GGAAGGAAGTAG >mm10_chr7:127753603-127753614(-)::chr7:127753602-127753614(-) AGAAGGAAGGAA >mm10_chr7:127788504-127788515(+)::chr7:127788503-127788515(+) atgaggaagatg >mm10_chr7:127788522-127788533(+)::chr7:127788521-127788533(+) AGGAGGAAGCTG >mm10_chr7:127788546-127788557(+)::chr7:127788545-127788557(+) AGAAGGAAGCAG >mm10_chr7:127800620-127800631(+)::chr7:127800619-127800631(+) GGAAGGAAGGAA >mm10_chr7:127800624-127800635(+)::chr7:127800623-127800635(+) GGAAGGAAGCCT >mm10_chr7:127817384-127817395(+)::chr7:127817383-127817395(+) TCCAGGAAGTCC >mm10_chr7:127839076-127839087(+)::chr7:127839075-127839087(+) GCAAGGAAGAAA >mm10_chr7:127839108-127839119(+)::chr7:127839107-127839119(+) AGGAGGAAATTG >mm10_chr7:127876172-127876183(+)::chr7:127876171-127876183(+) CGGCGGAAGTGG >mm10_chr7:127876189-127876200(-)::chr7:127876188-127876200(-) GCAAGGAAGGCG >mm10_chr7:127876203-127876214(+)::chr7:127876202-127876214(+) GGGAGGAAGGAA >mm10_chr7:127876860-127876871(+)::chr7:127876859-127876871(+) GACAGGAAGTGT >mm10_chr7:127876876-127876887(+)::chr7:127876875-127876887(+) AGGCGGAAGTTT >mm10_chr7:127876912-127876923(-)::chr7:127876911-127876923(-) ACCAGGAAGTGT >mm10_chr7:127912515-127912526(-)::chr7:127912514-127912526(-) TGCCGGAAGTGA >mm10_chr7:127922525-127922536(-)::chr7:127922524-127922536(-) AAGAGGAAGTTG >mm10_chr7:127922553-127922564(-)::chr7:127922552-127922564(-) TGCAGGAAGTAT >mm10_chr7:127935484-127935495(-)::chr7:127935483-127935495(-) AGCAGGAACTCG >mm10_chr7:127935516-127935527(-)::chr7:127935515-127935527(-) AGGAGGAAGAGG >mm10_chr7:127968340-127968351(+)::chr7:127968339-127968351(+) GGAAGGAAATCA >mm10_chr7:127975844-127975855(-)::chr7:127975843-127975855(-) ACAAGGAAAAGG >mm10_chr7:127998085-127998096(-)::chr7:127998084-127998096(-) cagaggaagttg >mm10_chr7:128033090-128033101(-)::chr7:128033089-128033101(-) AACAGGAAGTGT >mm10_chr7:128033266-128033277(-)::chr7:128033265-128033277(-) aggaggaagaag >mm10_chr7:128033329-128033340(+)::chr7:128033328-128033340(+) aggaggaaggaa >mm10_chr7:128033333-128033344(+)::chr7:128033332-128033344(+) ggaaggaagaga >mm10_chr7:128065779-128065790(-)::chr7:128065778-128065790(-) aacaggaagtgg >mm10_chr7:128065812-128065823(+)::chr7:128065811-128065823(+) gagaggaagtat >mm10_chr7:128089301-128089312(-)::chr7:128089300-128089312(-) aggaggaagtaa >mm10_chr7:128139637-128139648(+)::chr7:128139636-128139648(+) tcaaggaaatgc >mm10_chr7:128139694-128139705(-)::chr7:128139693-128139705(-) agaaggaagtgt >mm10_chr7:128151126-128151137(+)::chr7:128151125-128151137(+) atagggaagtTA >mm10_chr7:128237545-128237556(+)::chr7:128237544-128237556(+) TCTAGGAAGTGG >mm10_chr7:128240776-128240787(+)::chr7:128240775-128240787(+) AAGAGGAAGAGG >mm10_chr7:128240782-128240793(+)::chr7:128240781-128240793(+) AAGAGGAAGGAA >mm10_chr7:128298132-128298143(-)::chr7:128298131-128298143(-) AACAGGAAATGT >mm10_chr7:128379418-128379429(+)::chr7:128379417-128379429(+) GGGAGGAAGAGG >mm10_chr7:128379424-128379435(+)::chr7:128379423-128379435(+) AAGAGGAAGAAG >mm10_chr7:128385511-128385522(+)::chr7:128385510-128385522(+) GTGAGGAAGTGA >mm10_chr7:128532987-128532998(+)::chr7:128532986-128532998(+) GGCAGGAAGCAG >mm10_chr7:128622883-128622894(+)::chr7:128622882-128622894(+) ACCAGGAAGAGA >mm10_chr7:128668999-128669010(+)::chr7:128668998-128669010(+) GTGAGGAAGTAG >mm10_chr7:128725099-128725110(+)::chr7:128725098-128725110(+) AGCAGGAAGCTC >mm10_chr7:128725127-128725138(-)::chr7:128725126-128725138(-) TGAAGGAAAATA >mm10_chr7:128849469-128849480(-)::chr7:128849468-128849480(-) aacaggaagagg >mm10_chr7:128903928-128903939(-)::chr7:128903927-128903939(-) aaaaggaagttc >mm10_chr7:128903991-128904002(+)::chr7:128903990-128904002(+) accaggaagaTT >mm10_chr7:128913013-128913024(+)::chr7:128913012-128913024(+) ACCAGGAAGACA >mm10_chr7:128967027-128967038(-)::chr7:128967026-128967038(-) GGAAGGAAGGAC >mm10_chr7:129003538-129003549(-)::chr7:129003537-129003549(-) AGCAGGAAGTTG >mm10_chr7:129033133-129033144(-)::chr7:129033132-129033144(-) ACCAGGAAATGT >mm10_chr7:129183988-129183999(-)::chr7:129183987-129183999(-) AAAAGGAACTAC >mm10_chr7:129259322-129259333(+)::chr7:129259321-129259333(+) GCGAGGAAGTTC >mm10_chr7:129280132-129280143(-)::chr7:129280131-129280143(-) aggaggaagcag >mm10_chr7:129280155-129280166(-)::chr7:129280154-129280166(-) ggaaggaagagg >mm10_chr7:129282826-129282837(-)::chr7:129282825-129282837(-) TCAAGGAACTGA >mm10_chr7:129287586-129287597(+)::chr7:129287585-129287597(+) atgaggaagtga >mm10_chr7:129291170-129291181(+)::chr7:129291169-129291181(+) ACAGGGAAGTCA >mm10_chr7:129291202-129291213(+)::chr7:129291201-129291213(+) atcaggaagtgg >mm10_chr7:129330725-129330736(+)::chr7:129330724-129330736(+) GACAGGAAGAAT >mm10_chr7:129330732-129330743(+)::chr7:129330731-129330743(+) AGAATGAAGTGT >mm10_chr7:129330771-129330782(-)::chr7:129330770-129330782(-) AGGAGGAAGCAA >mm10_chr7:129330783-129330794(-)::chr7:129330782-129330794(-) TGCAGGAAGAGA >mm10_chr7:129398635-129398646(+)::chr7:129398634-129398646(+) CCCAGGAAGTGG >mm10_chr7:129411113-129411124(-)::chr7:129411112-129411124(-) AGGAGGATGTAG >mm10_chr7:129411120-129411131(-)::chr7:129411119-129411131(-) AGAAGGAAGGAG >mm10_chr7:129420897-129420908(-)::chr7:129420896-129420908(-) GGCAGGAAGTTT >mm10_chr7:129430435-129430446(+)::chr7:129430434-129430446(+) gcagggaagtca >mm10_chr7:129430457-129430468(+)::chr7:129430456-129430468(+) agcaggaagagg >mm10_chr7:129465671-129465682(-)::chr7:129465670-129465682(-) GGGAGGAAGGAG >mm10_chr7:129465732-129465743(-)::chr7:129465731-129465743(-) AGCAGGAAGAAA >mm10_chr7:129592296-129592307(-)::chr7:129592295-129592307(-) AAGAGGAAATGG >mm10_chr7:129592350-129592361(-)::chr7:129592349-129592361(-) ACCAGGAAGAGA >mm10_chr7:129651161-129651172(-)::chr7:129651160-129651172(-) AAGAGGAAGTGC >mm10_chr7:129651710-129651721(+)::chr7:129651709-129651721(+) ATGAGGAAGGAC >mm10_chr7:129651738-129651749(-)::chr7:129651737-129651749(-) TCCAGGAAGAAT >mm10_chr7:129760013-129760024(+)::chr7:129760012-129760024(+) ATCAGGAAGTcc >mm10_chr7:129820384-129820395(+)::chr7:129820383-129820395(+) GGAAGGAAGTAC >mm10_chr7:129820401-129820412(+)::chr7:129820400-129820412(+) GGAAGGAAGGAA >mm10_chr7:129820405-129820416(+)::chr7:129820404-129820416(+) GGAAGGAAGTGT >mm10_chr7:130062809-130062820(+)::chr7:130062808-130062820(+) agACGGAAGGAC >mm10_chr7:130236180-130236191(+)::chr7:130236179-130236191(+) ataaggaagcag >mm10_chr7:130236824-130236835(+)::chr7:130236823-130236835(+) ACAAGGATGTTT >mm10_chr7:130236864-130236875(-)::chr7:130236863-130236875(-) ATGAGGAAGTTC >mm10_chr7:130245164-130245175(+)::chr7:130245163-130245175(+) aagaggaagaag >mm10_chr7:130245171-130245182(+)::chr7:130245170-130245182(+) agaaggaattga >mm10_chr7:130249961-130249972(+)::chr7:130249960-130249972(+) CCCAGGAAGTAG >mm10_chr7:130253598-130253609(-)::chr7:130253597-130253609(-) GCCAGGAAATGA >mm10_chr7:130257194-130257205(+)::chr7:130257193-130257205(+) aggaggaagtgc >mm10_chr7:130493467-130493478(-)::chr7:130493466-130493478(-) ACAAGGAAGGTT >mm10_chr7:130517099-130517110(+)::chr7:130517098-130517110(+) agaaggaagtca >mm10_chr7:130517167-130517178(+)::chr7:130517166-130517178(+) ggcaggaagtga >mm10_chr7:130519814-130519825(+)::chr7:130519813-130519825(+) GCCAGGACGTAG >mm10_chr7:130591024-130591035(+)::chr7:130591023-130591035(+) AAGAGGAAGTTG >mm10_chr7:130603163-130603174(+)::chr7:130603162-130603174(+) ACAAGGAAGACT >mm10_chr7:130631782-130631793(-)::chr7:130631781-130631793(-) AGAAGGAAGTCA >mm10_chr7:130632679-130632690(+)::chr7:130632678-130632690(+) AGGAGGAAGCAG >mm10_chr7:130645912-130645923(-)::chr7:130645911-130645923(-) TGAAGGAAGGAT >mm10_chr7:130650270-130650281(-)::chr7:130650269-130650281(-) CTAAGGAAGGGC >mm10_chr7:130658029-130658040(+)::chr7:130658028-130658040(+) AGAAGGAAGGCC >mm10_chr7:130658073-130658084(+)::chr7:130658072-130658084(+) GGGAGGAAGTTT >mm10_chr7:130770860-130770871(+)::chr7:130770859-130770871(+) AGGAGGAAGTCC >mm10_chr7:130789342-130789353(+)::chr7:130789341-130789353(+) GAAAGGAAGGAA >mm10_chr7:130789346-130789357(+)::chr7:130789345-130789357(+) GGAAGGAAGTAG >mm10_chr7:130789353-130789364(+)::chr7:130789352-130789364(+) AGTAGGAAGTAG >mm10_chr7:130789360-130789371(+)::chr7:130789359-130789371(+) AGTAGGAAGTAC >mm10_chr7:130789395-130789406(-)::chr7:130789394-130789406(-) GTAGGGAAGTTG >mm10_chr7:130859886-130859897(+)::chr7:130859885-130859897(+) aggaggaagagg >mm10_chr7:130860706-130860717(+)::chr7:130860705-130860717(+) TGGAGGAAGTCT >mm10_chr7:130862668-130862679(-)::chr7:130862667-130862679(-) GATAGGAAGAAT >mm10_chr7:130865739-130865750(+)::chr7:130865738-130865750(+) ACTAGGAAGACG >mm10_chr7:130891048-130891059(+)::chr7:130891047-130891059(+) AGAAGTAAGTCA >mm10_chr7:130943452-130943463(+)::chr7:130943451-130943463(+) AGAAGGAAGGAG >mm10_chr7:130952443-130952454(-)::chr7:130952442-130952454(-) ACAAGGAAGTGC >mm10_chr7:130999505-130999516(-)::chr7:130999504-130999516(-) accaggaagagg >mm10_chr7:131019267-131019278(-)::chr7:131019266-131019278(-) TCAGGGAAGTGC >mm10_chr7:131019750-131019761(+)::chr7:131019749-131019761(+) AGCAGGAAGAGG >mm10_chr7:131020041-131020052(-)::chr7:131020040-131020052(-) AGAAGGAAGCCC >mm10_chr7:131020097-131020108(+)::chr7:131020096-131020108(+) AACAGGAAGTAG >mm10_chr7:131362720-131362731(-)::chr7:131362719-131362731(-) TGAAGGAAACGC >mm10_chr7:131420043-131420054(-)::chr7:131420042-131420054(-) gtcaggaagcaa >mm10_chr7:131560399-131560410(+)::chr7:131560398-131560410(+) GGAGGGAAGCGG >mm10_chr7:131576467-131576478(+)::chr7:131576466-131576478(+) aggaggaagaag >mm10_chr7:131576479-131576490(+)::chr7:131576478-131576490(+) agaaggaagagg >mm10_chr7:131576485-131576496(+)::chr7:131576484-131576496(+) aagaggaagaag >mm10_chr7:131576500-131576511(+)::chr7:131576499-131576511(+) aggaggaagaag >mm10_chr7:131576509-131576520(+)::chr7:131576508-131576520(+) aagaggaagaag >mm10_chr7:131576516-131576527(+)::chr7:131576515-131576527(+) agaaggaaaaaa >mm10_chr7:131576535-131576546(+)::chr7:131576534-131576546(+) aagaggaagagg >mm10_chr7:131576550-131576561(+)::chr7:131576549-131576561(+) aagaggaagagg >mm10_chr7:131645761-131645772(-)::chr7:131645760-131645772(-) ATGAGGAAGTGA >mm10_chr7:131647729-131647740(+)::chr7:131647728-131647740(+) ctaaggaagagt >mm10_chr7:131753423-131753434(-)::chr7:131753422-131753434(-) TGGAGGAAGTTT >mm10_chr7:131839726-131839737(-)::chr7:131839725-131839737(-) GCAAGGAAGGAA >mm10_chr7:132226906-132226917(-)::chr7:132226905-132226917(-) ACCAGGAAGATC >mm10_chr7:132402545-132402556(+)::chr7:132402544-132402556(+) accaggaagaac >mm10_chr7:132461570-132461581(+)::chr7:132461569-132461581(+) AGGAGGAAGTGC >mm10_chr7:132461591-132461602(-)::chr7:132461590-132461602(-) AGACGGAAGCCA >mm10_chr7:132461604-132461615(-)::chr7:132461603-132461615(-) GCAGGGAAGTCA >mm10_chr7:132569226-132569237(+)::chr7:132569225-132569237(+) aggaggaagaaa >mm10_chr7:132569256-132569267(+)::chr7:132569255-132569267(+) aggaggaagaag >mm10_chr7:132569288-132569299(+)::chr7:132569287-132569299(+) aggaggaaggaa >mm10_chr7:132589706-132589717(+)::chr7:132589705-132589717(+) AGGAGGAAGTCA >mm10_chr7:132638977-132638988(+)::chr7:132638976-132638988(+) CTAAGGAAATAG >mm10_chr7:132656898-132656909(+)::chr7:132656897-132656909(+) TCCAGGAAATAG >mm10_chr7:132670522-132670533(-)::chr7:132670521-132670533(-) AGAAGGAACTGA >mm10_chr7:132674535-132674546(+)::chr7:132674534-132674546(+) AGCAGGAAGGTC >mm10_chr7:132679332-132679343(+)::chr7:132679331-132679343(+) AGCAGGAAGTCG >mm10_chr7:132683167-132683178(-)::chr7:132683166-132683178(-) TGGAGGAAGAAG >mm10_chr7:132713587-132713598(+)::chr7:132713586-132713598(+) GGCAGGAAGCAA >mm10_chr7:132749531-132749542(+)::chr7:132749530-132749542(+) ATCAGGAAGTAG >mm10_chr7:132783431-132783442(+)::chr7:132783430-132783442(+) AGACGGAAGTAT >mm10_chr7:132793764-132793775(+)::chr7:132793763-132793775(+) AGCGGGAAGTGG >mm10_chr7:132801704-132801715(+)::chr7:132801703-132801715(+) ACAAGGAAATGG >mm10_chr7:132802276-132802287(+)::chr7:132802275-132802287(+) AGCAGGAAGCTA >mm10_chr7:132807659-132807670(+)::chr7:132807658-132807670(+) AACAGGAACTAC >mm10_chr7:132813144-132813155(-)::chr7:132813143-132813155(-) TCACGGAAGTTT >mm10_chr7:132813452-132813463(+)::chr7:132813451-132813463(+) TTAAGGAAGGAA >mm10_chr7:132813706-132813717(+)::chr7:132813705-132813717(+) AGGAGGAAATCG >mm10_chr7:132813723-132813734(-)::chr7:132813722-132813734(-) TTCAGGAAGTGA >mm10_chr7:132815940-132815951(-)::chr7:132815939-132815951(-) TAGAGGAAGAGA >mm10_chr7:132816006-132816017(+)::chr7:132816005-132816017(+) AAAAGGAAGCCT >mm10_chr7:132859138-132859149(-)::chr7:132859137-132859149(-) ACAGGGAAGTAA >mm10_chr7:132859916-132859927(+)::chr7:132859915-132859927(+) AGAGGGAAGTGA >mm10_chr7:132888740-132888751(+)::chr7:132888739-132888751(+) AGCAGGAAGGAA >mm10_chr7:132888744-132888755(+)::chr7:132888743-132888755(+) GGAAGGAAGTTA >mm10_chr7:132905087-132905098(-)::chr7:132905086-132905098(-) TTACGGAAGTCC >mm10_chr7:133003331-133003342(+)::chr7:133003330-133003342(+) TTAAGGAAGGCC >mm10_chr7:133003341-133003352(-)::chr7:133003340-133003352(-) GCCAGGAAATGG >mm10_chr7:133003376-133003387(+)::chr7:133003375-133003387(+) TTCCGGAAGTAT >mm10_chr7:133003389-133003400(+)::chr7:133003388-133003400(+) AGCAGGAAGGGA >mm10_chr7:133034507-133034518(+)::chr7:133034506-133034518(+) AGGAGGAAGAAC >mm10_chr7:133050827-133050838(-)::chr7:133050826-133050838(-) AGCAGGAAGTAG >mm10_chr7:133050881-133050892(-)::chr7:133050880-133050892(-) TTAAGGAAGAAC >mm10_chr7:133052956-133052967(-)::chr7:133052955-133052967(-) AGGAGGAAGGCC >mm10_chr7:133053004-133053015(-)::chr7:133053003-133053015(-) AGAAGGAAGTCG >mm10_chr7:133059575-133059586(-)::chr7:133059574-133059586(-) ACGAGGAAGTTT >mm10_chr7:133064645-133064656(+)::chr7:133064644-133064656(+) TACAGGAAGAGC >mm10_chr7:133079165-133079176(+)::chr7:133079164-133079176(+) AACAGGAAGCAG >mm10_chr7:133112587-133112598(+)::chr7:133112586-133112598(+) GGAAGGAAGAGC >mm10_chr7:133112604-133112615(+)::chr7:133112603-133112615(+) AGCAGGAAGGCA >mm10_chr7:133115031-133115042(+)::chr7:133115030-133115042(+) AGAGGGAAGCGG >mm10_chr7:133115044-133115055(+)::chr7:133115043-133115055(+) AGGAGGAAGGAA >mm10_chr7:133115048-133115059(+)::chr7:133115047-133115059(+) GGAAGGAAGTGG >mm10_chr7:133115096-133115107(-)::chr7:133115095-133115107(-) GCAGGGAAGTGT >mm10_chr7:133122199-133122210(+)::chr7:133122198-133122210(+) AGGAGGAAGTGG >mm10_chr7:133123799-133123810(+)::chr7:133123798-133123810(+) GGACGGAAGCGG >mm10_chr7:133181749-133181760(-)::chr7:133181748-133181760(-) CCAAGGAAGGGT >mm10_chr7:133185523-133185534(-)::chr7:133185522-133185534(-) GAGAGGAAATAC >mm10_chr7:133205928-133205939(-)::chr7:133205927-133205939(-) AGCAGGAAGGCT >mm10_chr7:133235889-133235900(+)::chr7:133235888-133235900(+) agcaggaagtgt >mm10_chr7:133246800-133246811(-)::chr7:133246799-133246811(-) AGCAGGAAGAAC >mm10_chr7:133246807-133246818(-)::chr7:133246806-133246818(-) AGACGGAAGCAG >mm10_chr7:133317294-133317305(-)::chr7:133317293-133317305(-) gacaggaagatt >mm10_chr7:133317307-133317318(-)::chr7:133317306-133317318(-) actaggaagtgg >mm10_chr7:133440892-133440903(+)::chr7:133440891-133440903(+) AATAGGAAGTGA >mm10_chr7:133493542-133493553(+)::chr7:133493541-133493553(+) gagaggaagagg >mm10_chr7:133493548-133493559(+)::chr7:133493547-133493559(+) aagaggaagagg >mm10_chr7:133493554-133493565(+)::chr7:133493553-133493565(+) aagaggaagaag >mm10_chr7:133493606-133493617(-)::chr7:133493605-133493617(-) TGGAGGAAGTAT >mm10_chr7:133527154-133527165(+)::chr7:133527153-133527165(+) ATTAGGAAGAAG >mm10_chr7:133607529-133607540(+)::chr7:133607528-133607540(+) GACAGGAAGTAG >mm10_chr7:133637755-133637766(-)::chr7:133637754-133637766(-) GACAGGAACGCG >mm10_chr7:133676257-133676268(-)::chr7:133676256-133676268(-) AACAGGAAGTGC >mm10_chr7:133709080-133709091(+)::chr7:133709079-133709091(+) GGAAGGAACGCA >mm10_chr7:133709139-133709150(+)::chr7:133709138-133709150(+) ATGAGGAAGATG >mm10_chr7:133709431-133709442(+)::chr7:133709430-133709442(+) aggaggaagaag >mm10_chr7:133761094-133761105(+)::chr7:133761093-133761105(+) AGGAGGAAGAAA >mm10_chr7:133782750-133782761(-)::chr7:133782749-133782761(-) AGCAGGAAGCAG >mm10_chr7:133843119-133843130(+)::chr7:133843118-133843130(+) aggaggaagaag >mm10_chr7:133862380-133862391(+)::chr7:133862379-133862391(+) ACAAGGAAGACC >mm10_chr7:133877152-133877163(+)::chr7:133877151-133877163(+) CAAAGGAAGTGG >mm10_chr7:133924354-133924365(-)::chr7:133924353-133924365(-) AGAAGGAAATGA >mm10_chr7:133937557-133937568(-)::chr7:133937556-133937568(-) AGCAGGAAGGAC >mm10_chr7:133996846-133996857(-)::chr7:133996845-133996857(-) AGCAGGAAATAA >mm10_chr7:133996853-133996864(-)::chr7:133996852-133996864(-) TGCAGGAAGCAG >mm10_chr7:134090987-134090998(-)::chr7:134090986-134090998(-) ACAAGGAAGTCC >mm10_chr7:134194076-134194087(-)::chr7:134194075-134194087(-) agaaggaactca >mm10_chr7:134218814-134218825(+)::chr7:134218813-134218825(+) ACAAGGAAGGAA >mm10_chr7:134218818-134218829(+)::chr7:134218817-134218829(+) GGAAGGAAGAGA >mm10_chr7:134218847-134218858(+)::chr7:134218846-134218858(+) GGGAGGAAGAAA >mm10_chr7:134261557-134261568(-)::chr7:134261556-134261568(-) TGAAAGAAGTAC >mm10_chr7:134261624-134261635(+)::chr7:134261623-134261635(+) TCCAGGAAGTTG >mm10_chr7:134413859-134413870(+)::chr7:134413858-134413870(+) TCCAGGAAGTTG >mm10_chr7:134448234-134448245(+)::chr7:134448233-134448245(+) AGAAGGAAAAga >mm10_chr7:134516517-134516528(+)::chr7:134516516-134516528(+) AGAGGGAAGTAC >mm10_chr7:134516542-134516553(+)::chr7:134516541-134516553(+) AGAAGGAAAAAC >mm10_chr7:134525986-134525997(+)::chr7:134525985-134525997(+) ACAAGGAAAAAT >mm10_chr7:134526916-134526927(+)::chr7:134526915-134526927(+) aggaggaagaag >mm10_chr7:134526931-134526942(+)::chr7:134526930-134526942(+) aggaggaagaag >mm10_chr7:134569534-134569545(+)::chr7:134569533-134569545(+) AGAAGGAACTAT >mm10_chr7:134571282-134571293(-)::chr7:134571281-134571293(-) aagaggaagtca >mm10_chr7:134571288-134571299(-)::chr7:134571287-134571299(-) aagaggaagagg >mm10_chr7:134642615-134642626(+)::chr7:134642614-134642626(+) agaaggaaatgt >mm10_chr7:134651555-134651566(-)::chr7:134651554-134651566(-) GGAAGGAAATGC >mm10_chr7:134651559-134651570(-)::chr7:134651558-134651570(-) GAAAGGAAGGAA >mm10_chr7:134651626-134651637(-)::chr7:134651625-134651637(-) AGGAGGAAGGAA >mm10_chr7:134665891-134665902(+)::chr7:134665890-134665902(+) ACAGGGAAGTAC >mm10_chr7:134693340-134693351(-)::chr7:134693339-134693351(-) tgagggaagtta >mm10_chr7:134693386-134693397(-)::chr7:134693385-134693397(-) gggaggaagaga >mm10_chr7:134729567-134729578(-)::chr7:134729566-134729578(-) CCAAGGAAGCAC >mm10_chr7:134729643-134729654(+)::chr7:134729642-134729654(+) GGAAGGAAGTGG >mm10_chr7:134836966-134836977(-)::chr7:134836965-134836977(-) GAGAGGAAGACA >mm10_chr7:134865228-134865239(-)::chr7:134865227-134865239(-) ACAAGGAAGTCT >mm10_chr7:134904558-134904569(+)::chr7:134904557-134904569(+) aggaggaagaag >mm10_chr7:134904585-134904596(+)::chr7:134904584-134904596(+) aggaggaagagg >mm10_chr7:134904614-134904625(+)::chr7:134904613-134904625(+) tggaggaagagg >mm10_chr7:134904634-134904645(+)::chr7:134904633-134904645(+) agaaggaaaagg >mm10_chr7:134904697-134904708(+)::chr7:134904696-134904708(+) aagaggaagagg >mm10_chr7:134904704-134904715(+)::chr7:134904703-134904715(+) agagggaagaaa >mm10_chr7:134914506-134914517(-)::chr7:134914505-134914517(-) GTAAGGAAATGG >mm10_chr7:134944886-134944897(-)::chr7:134944885-134944897(-) agcaggaagagg >mm10_chr7:134981510-134981521(-)::chr7:134981509-134981521(-) AGAAGGAAGTAC >mm10_chr7:134981544-134981555(-)::chr7:134981543-134981555(-) AGGAGGAAGGGG >mm10_chr7:134986587-134986598(+)::chr7:134986586-134986598(+) ATCAGGAAGTGA >mm10_chr7:134994228-134994239(-)::chr7:134994227-134994239(-) tggaggaagtat >mm10_chr7:135059369-135059380(+)::chr7:135059368-135059380(+) ATGAGGAAGGGG >mm10_chr7:135059376-135059387(+)::chr7:135059375-135059387(+) AGGGGGAAGTGT >mm10_chr7:135060850-135060861(-)::chr7:135060849-135060861(-) ggcaggaagcag >mm10_chr7:135069027-135069038(+)::chr7:135069026-135069038(+) AGCAGGAAGAAG >mm10_chr7:135075946-135075957(-)::chr7:135075945-135075957(-) AGCAGGAAGCAG >mm10_chr7:135157389-135157400(-)::chr7:135157388-135157400(-) AGGAGGAAGTTA >mm10_chr7:135165461-135165472(-)::chr7:135165460-135165472(-) AGAAGGAAGCTG >mm10_chr7:135236303-135236314(-)::chr7:135236302-135236314(-) ATGAGGAAGAGA >mm10_chr7:135322464-135322475(+)::chr7:135322463-135322475(+) tgaatgaagtta >mm10_chr7:135322481-135322492(+)::chr7:135322480-135322492(+) accaggaagaca >mm10_chr7:135325946-135325957(+)::chr7:135325945-135325957(+) aacaggaagtcc >mm10_chr7:135517361-135517372(-)::chr7:135517360-135517372(-) GACAGGAAATGG >mm10_chr7:135523991-135524002(-)::chr7:135523990-135524002(-) GGAAGGAAGGGT >mm10_chr7:135523995-135524006(-)::chr7:135523994-135524006(-) TTAAGGAAGGAA >mm10_chr7:135592879-135592890(+)::chr7:135592878-135592890(+) AGGAGGAAGGAT >mm10_chr7:135595579-135595590(+)::chr7:135595578-135595590(+) TCAAGGAAATCC >mm10_chr7:135649041-135649052(-)::chr7:135649040-135649052(-) ggcaggaagCAC >mm10_chr7:135649056-135649067(-)::chr7:135649055-135649067(-) aagaggaagaga >mm10_chr7:135649062-135649073(-)::chr7:135649061-135649073(-) aagaggaagagg >mm10_chr7:135694423-135694434(-)::chr7:135694422-135694434(-) AGCAGGAAGCAA >mm10_chr7:135694487-135694498(-)::chr7:135694486-135694498(-) AAGAGGAAGTCT >mm10_chr7:135700602-135700613(-)::chr7:135700601-135700613(-) ACTAGGAAGTCA >mm10_chr7:135704498-135704509(+)::chr7:135704497-135704509(+) ATAAGAAAGTTG >mm10_chr7:135719557-135719568(+)::chr7:135719556-135719568(+) ATAAGGAAAGAC >mm10_chr7:135719607-135719618(-)::chr7:135719606-135719618(-) AACAGGAAGCCC >mm10_chr7:135735300-135735311(+)::chr7:135735299-135735311(+) gaaaggaaggta >mm10_chr7:135735336-135735347(+)::chr7:135735335-135735347(+) gagaggaagagg >mm10_chr7:135816130-135816141(-)::chr7:135816129-135816141(-) aggaggaagagg >mm10_chr7:135816143-135816154(-)::chr7:135816142-135816154(-) agaaggaagaaa >mm10_chr7:135816150-135816161(-)::chr7:135816149-135816161(-) aagaggaagaag >mm10_chr7:135816159-135816170(-)::chr7:135816158-135816170(-) ggaaggaagaag >mm10_chr7:135816163-135816174(-)::chr7:135816162-135816174(-) gaaaggaaggaa >mm10_chr7:136001850-136001861(+)::chr7:136001849-136001861(+) AAAAGGAAGAAG >mm10_chr7:136028173-136028184(+)::chr7:136028172-136028184(+) ACAAGGAAGCAC >mm10_chr7:136065938-136065949(+)::chr7:136065937-136065949(+) ATTAGGAAGTTA >mm10_chr7:136067919-136067930(-)::chr7:136067918-136067930(-) AGCAGGAAGGGC >mm10_chr7:136067941-136067952(-)::chr7:136067940-136067952(-) ATGAGGAAGATG >mm10_chr7:136070895-136070906(+)::chr7:136070894-136070906(+) AGAAGGAAGTTG >mm10_chr7:136128567-136128578(-)::chr7:136128566-136128578(-) ACCCGGAAGTTG >mm10_chr7:136128599-136128610(-)::chr7:136128598-136128610(-) GACAGGAAGAGA >mm10_chr7:136129946-136129957(-)::chr7:136129945-136129957(-) GGGAGGAAATAT >mm10_chr7:136155029-136155040(-)::chr7:136155028-136155040(-) AGAAGGAAAAAG >mm10_chr7:136155096-136155107(-)::chr7:136155095-136155107(-) GACAGGAAGAGG >mm10_chr7:136218670-136218681(-)::chr7:136218669-136218681(-) aagaggaagcag >mm10_chr7:136218695-136218706(-)::chr7:136218694-136218706(-) aggaggaaggga >mm10_chr7:136219785-136219796(+)::chr7:136219784-136219796(+) TCGAGGAAGTAC >mm10_chr7:136220163-136220174(-)::chr7:136220162-136220174(-) ATGAGGAAGCGC >mm10_chr7:136220205-136220216(-)::chr7:136220204-136220216(-) ACAAGGAAGTGG >mm10_chr7:136378419-136378430(-)::chr7:136378418-136378430(-) GGCAGGAAGTTA >mm10_chr7:136406696-136406707(+)::chr7:136406695-136406707(+) GCACGGAAGTTT >mm10_chr7:136430778-136430789(+)::chr7:136430777-136430789(+) GGCAGGAAGCCA >mm10_chr7:136472800-136472811(+)::chr7:136472799-136472811(+) tgtaggaagaga >mm10_chr7:136864875-136864886(-)::chr7:136864874-136864886(-) GGAAGGAAGAGT >mm10_chr7:136864879-136864890(-)::chr7:136864878-136864890(-) AACAGGAAGGAA >mm10_chr7:136894377-136894388(+)::chr7:136894376-136894388(+) GGGAGGAAGCAC >mm10_chr7:136937009-136937020(-)::chr7:136937008-136937020(-) ttaaggaagggc >mm10_chr7:137038661-137038672(+)::chr7:137038660-137038672(+) AAGAGGAAATTA >mm10_chr7:137373109-137373120(+)::chr7:137373108-137373120(+) GGAAGAAAGTTA >mm10_chr7:137373170-137373181(+)::chr7:137373169-137373181(+) AGGAGGAAGTGA >mm10_chr7:137377249-137377260(+)::chr7:137377248-137377260(+) ACCAGGAAATGA >mm10_chr7:137382865-137382876(-)::chr7:137382864-137382876(-) AGGAGGAAGAAC >mm10_chr7:137395605-137395616(+)::chr7:137395604-137395616(+) ACAAGGAATTAA >mm10_chr7:137405360-137405371(+)::chr7:137405359-137405371(+) CAGAGGAAGTCC >mm10_chr7:137405391-137405402(+)::chr7:137405390-137405402(+) accaggaagtac >mm10_chr7:137699938-137699949(+)::chr7:137699937-137699949(+) AGCAGGAAGCTG >mm10_chr7:137715295-137715306(+)::chr7:137715294-137715306(+) AGGAGGAACTCG >mm10_chr7:137720617-137720628(-)::chr7:137720616-137720628(-) acgaggaagagt >mm10_chr7:138103374-138103385(+)::chr7:138103373-138103385(+) AGGAGGAAGCAC >mm10_chr7:138181309-138181320(-)::chr7:138181308-138181320(-) AGAAGGAAATTG >mm10_chr7:138315869-138315880(-)::chr7:138315868-138315880(-) ATGAGGAAGCAG >mm10_chr7:138713936-138713947(+)::chr7:138713935-138713947(+) AAAAGGAAGTAA >mm10_chr7:138919605-138919616(+)::chr7:138919604-138919616(+) AACAGGAAGCAG >mm10_chr7:139251791-139251802(-)::chr7:139251790-139251802(-) AACAGGAAGGTC >mm10_chr7:139281432-139281443(-)::chr7:139281431-139281443(-) AAGAGGAAATGC >mm10_chr7:139284120-139284131(-)::chr7:139284119-139284131(-) TCCAGGAAGAGG >mm10_chr7:139285538-139285549(-)::chr7:139285537-139285549(-) GCCAGGAAGTGC >mm10_chr7:139295906-139295917(+)::chr7:139295905-139295917(+) aggaggaagggg >mm10_chr7:139369991-139370002(+)::chr7:139369990-139370002(+) GGAGGGAAGTTG >mm10_chr7:139444795-139444806(-)::chr7:139444794-139444806(-) tagaggaaggaa >mm10_chr7:139444825-139444836(-)::chr7:139444824-139444836(-) aaaaggaagagg >mm10_chr7:139444863-139444874(-)::chr7:139444862-139444874(-) agaaggaagggg >mm10_chr7:139451806-139451817(-)::chr7:139451805-139451817(-) TACAGGAAGTCT >mm10_chr7:139452866-139452877(-)::chr7:139452865-139452877(-) AGAAGGAAGCAA >mm10_chr7:139453465-139453476(-)::chr7:139453464-139453476(-) AGCAGGAAGAAC >mm10_chr7:139473060-139473071(-)::chr7:139473059-139473071(-) GGAAGGAAGCAG >mm10_chr7:139473064-139473075(-)::chr7:139473063-139473075(-) GGCAGGAAGGAA >mm10_chr7:139538230-139538241(-)::chr7:139538229-139538241(-) AGGCGGAAGTTG >mm10_chr7:139715062-139715073(-)::chr7:139715061-139715073(-) ATAAGGAACGTC >mm10_chr7:139937491-139937502(-)::chr7:139937490-139937502(-) ACCGGGAAATAC >mm10_chr7:139937720-139937731(+)::chr7:139937719-139937731(+) ATAAGGAACTGC >mm10_chr7:139938274-139938285(+)::chr7:139938273-139938285(+) ACGAGGAAGCTG >mm10_chr7:140060302-140060313(+)::chr7:140060301-140060313(+) agaaggaagagg >mm10_chr7:140127105-140127116(-)::chr7:140127104-140127116(-) ATAGGGAAGGAG >mm10_chr7:140753719-140753730(+)::chr7:140753718-140753730(+) aacaggaagttg >mm10_chr7:140796477-140796488(+)::chr7:140796476-140796488(+) ACAAGGAAAAGA >mm10_chr7:140835295-140835306(+)::chr7:140835294-140835306(+) AGACGGAAGAGT >mm10_chr7:140856331-140856342(-)::chr7:140856330-140856342(-) TCCCGGAAGTTA >mm10_chr7:140882386-140882397(+)::chr7:140882385-140882397(+) TGCCGGAAGTGA >mm10_chr7:140882402-140882413(-)::chr7:140882401-140882413(-) GCACGGAAGTGC >mm10_chr7:140899209-140899220(-)::chr7:140899208-140899220(-) GCAAGGGAGTAA >mm10_chr7:140902334-140902345(-)::chr7:140902333-140902345(-) GAGAGGAAGAGG >mm10_chr7:140941563-140941574(-)::chr7:140941562-140941574(-) GGTAGGAAGAAG >mm10_chr7:140971522-140971533(-)::chr7:140971521-140971533(-) GACAGGAAGTTC >mm10_chr7:140971567-140971578(-)::chr7:140971566-140971578(-) AACAGGAAGTAG >mm10_chr7:141022198-141022209(-)::chr7:141022197-141022209(-) ATAGGGAAGAGA >mm10_chr7:141022254-141022265(-)::chr7:141022253-141022265(-) ttgaggaagtca >mm10_chr7:141024888-141024899(-)::chr7:141024887-141024899(-) CACAGGAAGTTT >mm10_chr7:141070237-141070248(-)::chr7:141070236-141070248(-) AGCAGGAAATAG >mm10_chr7:141100654-141100665(+)::chr7:141100653-141100665(+) TGTAGGAAGTTC >mm10_chr7:141100681-141100692(-)::chr7:141100680-141100692(-) GCCGGGAAGTTT >mm10_chr7:141117811-141117822(-)::chr7:141117810-141117822(-) ACAAGGAAGTCA >mm10_chr7:141122406-141122417(-)::chr7:141122405-141122417(-) TAAAGGAAGTGG >mm10_chr7:141143295-141143306(-)::chr7:141143294-141143306(-) GGGAGGAAGTAC >mm10_chr7:141170030-141170041(-)::chr7:141170029-141170041(-) gcaaggaagagc >mm10_chr7:141172925-141172936(+)::chr7:141172924-141172936(+) ACCCGGAAGTCC >mm10_chr7:141194150-141194161(+)::chr7:141194149-141194161(+) GGAAGGCAGTGC >mm10_chr7:141204625-141204636(+)::chr7:141204624-141204636(+) TCCAGGAAGAGG >mm10_chr7:141204681-141204692(+)::chr7:141204680-141204692(+) AACAGGAAGAAC >mm10_chr7:141228774-141228785(-)::chr7:141228773-141228785(-) ACCCGGAAGTGC >mm10_chr7:141268603-141268614(-)::chr7:141268602-141268614(-) AATAGGAAGCTA >mm10_chr7:141296194-141296205(-)::chr7:141296193-141296205(-) CTAAGGAAGAAA >mm10_chr7:141334387-141334398(-)::chr7:141334386-141334398(-) AGCGGGAAGTCC >mm10_chr7:141334394-141334405(-)::chr7:141334393-141334405(-) ACAGGGAAGCGG >mm10_chr7:141343258-141343269(+)::chr7:141343257-141343269(+) GGAAGGAAGCTG >mm10_chr7:141343286-141343297(+)::chr7:141343285-141343297(+) ACAAGGAACTGC >mm10_chr7:141343996-141344007(-)::chr7:141343995-141344007(-) AGCAGGAAGGAG >mm10_chr7:141360268-141360279(+)::chr7:141360267-141360279(+) AGCAGGAAGAGA >mm10_chr7:141360277-141360288(-)::chr7:141360276-141360288(-) GGACGGAAGTCT >mm10_chr7:141360303-141360314(-)::chr7:141360302-141360314(-) ACCAGGAAGAGT >mm10_chr7:141361656-141361667(-)::chr7:141361655-141361667(-) ACGCGGAAGTTG >mm10_chr7:141368509-141368520(-)::chr7:141368508-141368520(-) AGGAGGAAGGTA >mm10_chr7:141368534-141368545(-)::chr7:141368533-141368545(-) TGTAGGAAGTAT >mm10_chr7:141368565-141368576(-)::chr7:141368564-141368576(-) AGGAGGAAGAGG >mm10_chr7:141369026-141369037(+)::chr7:141369025-141369037(+) GGCAGGAAGAGG >mm10_chr7:141369032-141369043(+)::chr7:141369031-141369043(+) AAGAGGAAGATG >mm10_chr7:141372466-141372477(+)::chr7:141372465-141372477(+) AGGAGGAAGGAG >mm10_chr7:141381355-141381366(+)::chr7:141381354-141381366(+) ACAAGGAAGTGC >mm10_chr7:141416713-141416724(-)::chr7:141416712-141416724(-) AGCAGGAAGTGC >mm10_chr7:141418699-141418710(-)::chr7:141418698-141418710(-) AGAAGGAAAAAA >mm10_chr7:141418742-141418753(+)::chr7:141418741-141418753(+) ACCAGGAAGAAG >mm10_chr7:141419224-141419235(-)::chr7:141419223-141419235(-) ATAAGGAAGTTG >mm10_chr7:141447279-141447290(-)::chr7:141447278-141447290(-) TACCGGAAGTGT >mm10_chr7:141447536-141447547(-)::chr7:141447535-141447547(-) GGAAGGAAGACA >mm10_chr7:141447644-141447655(-)::chr7:141447643-141447655(-) GAAAGGAAGACG >mm10_chr7:141456186-141456197(-)::chr7:141456185-141456197(-) AGCCGGAAGTGT >mm10_chr7:141456229-141456240(-)::chr7:141456228-141456240(-) AGGAGGAAGAAC >mm10_chr7:141464004-141464015(+)::chr7:141464003-141464015(+) ACAAGGAAGAAA >mm10_chr7:141476352-141476363(-)::chr7:141476351-141476363(-) ACCGGGAAGTCG >mm10_chr7:141476770-141476781(-)::chr7:141476769-141476781(-) AGCAGGAACTAG >mm10_chr7:141525363-141525374(-)::chr7:141525362-141525374(-) ATGAGGAAGTCA >mm10_chr7:141535766-141535777(-)::chr7:141535765-141535777(-) ATAAGGAAGTGT >mm10_chr7:141539809-141539820(+)::chr7:141539808-141539820(+) GGCCGGAAGACG >mm10_chr7:141539829-141539840(+)::chr7:141539828-141539840(+) AACCGGAAGTCC >mm10_chr7:141540875-141540886(-)::chr7:141540874-141540886(-) GGAAGGAAGAAC >mm10_chr7:141543467-141543478(+)::chr7:141543466-141543478(+) AGAAGGAAGGAG >mm10_chr7:141549598-141549609(-)::chr7:141549597-141549609(-) AGCAGGAAGTAA >mm10_chr7:141559764-141559775(-)::chr7:141559763-141559775(-) aggaggaagggA >mm10_chr7:141559773-141559784(-)::chr7:141559772-141559784(-) aagaggaagagg >mm10_chr7:141574213-141574224(-)::chr7:141574212-141574224(-) aggaggaagagg >mm10_chr7:141574228-141574239(-)::chr7:141574227-141574239(-) aggaggaagagg >mm10_chr7:141592303-141592314(+)::chr7:141592302-141592314(+) AGCAGGAAGCAA >mm10_chr7:141592318-141592329(+)::chr7:141592317-141592329(+) AGCAGGAAGAGG >mm10_chr7:141592347-141592358(+)::chr7:141592346-141592358(+) TTGAGGAAGTCC >mm10_chr7:141612258-141612269(+)::chr7:141612257-141612269(+) AAAAGAAAGTGA >mm10_chr7:141612973-141612984(-)::chr7:141612972-141612984(-) TGCAGGAAGATG >mm10_chr7:141660862-141660873(-)::chr7:141660861-141660873(-) AGGAGGAAGTGA >mm10_chr7:141660885-141660896(-)::chr7:141660884-141660896(-) agaaggaagagg >mm10_chr7:141660892-141660903(-)::chr7:141660891-141660903(-) aggaggaagaag >mm10_chr7:141660907-141660918(-)::chr7:141660906-141660918(-) agaaggaagagg >mm10_chr7:141660934-141660945(-)::chr7:141660933-141660945(-) aggaggaagaga >mm10_chr7:141677083-141677094(-)::chr7:141677082-141677094(-) ACAAGGAAGTAT >mm10_chr7:141764393-141764404(+)::chr7:141764392-141764404(+) AGGAGGAAGGTG >mm10_chr7:141781732-141781743(-)::chr7:141781731-141781743(-) AAGAGGAAGGTG >mm10_chr7:141911727-141911738(+)::chr7:141911726-141911738(+) ATGAGGAAGTTC >mm10_chr7:142056526-142056537(-)::chr7:142056525-142056537(-) AGGAGGAAGAGG >mm10_chr7:142112475-142112486(-)::chr7:142112474-142112486(-) ACCAGGAAGTGA >mm10_chr7:142120859-142120870(-)::chr7:142120858-142120870(-) ACAAGGAAGTGA >mm10_chr7:142120917-142120928(-)::chr7:142120916-142120928(-) AGCAGGAAGCCA >mm10_chr7:142157245-142157256(-)::chr7:142157244-142157256(-) ACCAGGAAGTGA >mm10_chr7:142201293-142201304(+)::chr7:142201292-142201304(+) ATAAGGAAGTGA >mm10_chr7:142356213-142356224(+)::chr7:142356212-142356224(+) AGGAGGAAGGAG >mm10_chr7:142356220-142356231(+)::chr7:142356219-142356231(+) AGGAGGAAGAAA >mm10_chr7:142357216-142357227(+)::chr7:142357215-142357227(+) AGGAGGAAGGAG >mm10_chr7:142390445-142390456(+)::chr7:142390444-142390456(+) TGCAGGAAGTCA >mm10_chr7:142394669-142394680(-)::chr7:142394668-142394680(-) ctaaggaagatg >mm10_chr7:142398198-142398209(-)::chr7:142398197-142398209(-) TGCAGGAAGGGC >mm10_chr7:142398227-142398238(-)::chr7:142398226-142398238(-) GGAAGGAAGTTG >mm10_chr7:142421859-142421870(+)::chr7:142421858-142421870(+) agcaggaagata >mm10_chr7:142421871-142421882(+)::chr7:142421870-142421882(+) aggaggaagagg >mm10_chr7:142421889-142421900(+)::chr7:142421888-142421900(+) aaaaggaagagg >mm10_chr7:142421904-142421915(+)::chr7:142421903-142421915(+) aggaggaagagg >mm10_chr7:142422489-142422500(+)::chr7:142422488-142422500(+) aggaggaagagg >mm10_chr7:142422495-142422506(+)::chr7:142422494-142422506(+) aagaggaagggg >mm10_chr7:142422535-142422546(+)::chr7:142422534-142422546(+) aggaggaagaTA >mm10_chr7:142449284-142449295(-)::chr7:142449283-142449295(-) agaaggaagtca >mm10_chr7:142499580-142499591(-)::chr7:142499579-142499591(-) CTCAGGAAGTTA >mm10_chr7:142534660-142534671(-)::chr7:142534659-142534671(-) GGAAGGAAGGAC >mm10_chr7:142534664-142534675(-)::chr7:142534663-142534675(-) ACAAGGAAGGAA >mm10_chr7:142590254-142590265(-)::chr7:142590253-142590265(-) AAGAGGAAGAAG >mm10_chr7:142590260-142590271(-)::chr7:142590259-142590271(-) TCCAGGAAGAGG >mm10_chr7:142764993-142765004(-)::chr7:142764992-142765004(-) tcaaggaagaaa >mm10_chr7:142765021-142765032(+)::chr7:142765020-142765032(+) aaaaggaagttc >mm10_chr7:142997602-142997613(-)::chr7:142997601-142997613(-) accaggaagttg >mm10_chr7:143002605-143002616(-)::chr7:143002604-143002616(-) agaaggaagagg >mm10_chr7:143002612-143002623(-)::chr7:143002611-143002623(-) agaaggaagaag >mm10_chr7:143002619-143002630(-)::chr7:143002618-143002630(-) agaaggaagaag >mm10_chr7:143002633-143002644(-)::chr7:143002632-143002644(-) agaaggaagggg >mm10_chr7:143002640-143002651(-)::chr7:143002639-143002651(-) agaaggaagaag >mm10_chr7:143012298-143012309(+)::chr7:143012297-143012309(+) AGGAGGAAGAGA >mm10_chr7:143012360-143012371(-)::chr7:143012359-143012371(-) ACCAGGAAGAAG >mm10_chr7:143014533-143014544(-)::chr7:143014532-143014544(-) AGAAGGATGTTG >mm10_chr7:143014540-143014551(-)::chr7:143014539-143014551(-) AAGAGGAAGAAG >mm10_chr7:143014546-143014557(-)::chr7:143014545-143014557(-) CCAAGGAAGAGG >mm10_chr7:143014585-143014596(-)::chr7:143014584-143014596(-) CCAAGGAAGGTA >mm10_chr7:143015300-143015311(+)::chr7:143015299-143015311(+) agaaggAATTGG >mm10_chr7:143015319-143015330(+)::chr7:143015318-143015330(+) TCAAGGAAGTAG >mm10_chr7:143015368-143015379(+)::chr7:143015367-143015379(+) AAGGGGAAGTAG >mm10_chr7:143041283-143041294(+)::chr7:143041282-143041294(+) AATAGGAAGCAG >mm10_chr7:143041332-143041343(-)::chr7:143041331-143041343(-) GCCAGGAAGGGA >mm10_chr7:143058580-143058591(-)::chr7:143058579-143058591(-) GGCAGGAAGCAT >mm10_chr7:143069126-143069137(-)::chr7:143069125-143069137(-) AGGAGGAAGTGC >mm10_chr7:143082141-143082152(-)::chr7:143082140-143082152(-) TTCAGGAAGTCC >mm10_chr7:143082166-143082177(+)::chr7:143082165-143082177(+) AGGAGGAAGTGC >mm10_chr7:143106861-143106872(-)::chr7:143106860-143106872(-) ATGAGGAAGTGT >mm10_chr7:143109135-143109146(+)::chr7:143109134-143109146(+) TGGAGGAAGAGG >mm10_chr7:143109160-143109171(+)::chr7:143109159-143109171(+) CAGAGGAAGTCT >mm10_chr7:143180889-143180900(+)::chr7:143180888-143180900(+) AAGAGGAAGTTC >mm10_chr7:143188431-143188442(+)::chr7:143188430-143188442(+) ACGAGGAAGCAG >mm10_chr7:143188448-143188459(+)::chr7:143188447-143188459(+) ATCAGGAAGTAG >mm10_chr7:143269397-143269408(+)::chr7:143269396-143269408(+) ATAAGGAAGCCA >mm10_chr7:143273321-143273332(-)::chr7:143273320-143273332(-) AGCAGGAAGCTG >mm10_chr7:143350853-143350864(-)::chr7:143350852-143350864(-) AACAGGAAGCTG >mm10_chr7:143390393-143390404(-)::chr7:143390392-143390404(-) tggaggaagtgt >mm10_chr7:143408211-143408222(+)::chr7:143408210-143408222(+) ttgaggaagtca >mm10_chr7:143473731-143473742(+)::chr7:143473730-143473742(+) ACCAGGAAGTGC >mm10_chr7:143478628-143478639(+)::chr7:143478627-143478639(+) CTAAGGAAGAGT >mm10_chr7:143484199-143484210(+)::chr7:143484198-143484210(+) AGGAGGAAGTAG >mm10_chr7:143535928-143535939(-)::chr7:143535927-143535939(-) GAGAGGAAGTAT >mm10_chr7:143535948-143535959(-)::chr7:143535947-143535959(-) ACGAGGAAGTTC >mm10_chr7:143546594-143546605(-)::chr7:143546593-143546605(-) TAGAGGAAGGTA >mm10_chr7:143608884-143608895(+)::chr7:143608883-143608895(+) tggaggaagagt >mm10_chr7:143614947-143614958(-)::chr7:143614946-143614958(-) GGGAGGAAGTAA >mm10_chr7:143615183-143615194(+)::chr7:143615182-143615194(+) ATAAGGAAGAGG >mm10_chr7:143712084-143712095(+)::chr7:143712083-143712095(+) AGAAGGAAGGCA >mm10_chr7:143712918-143712929(+)::chr7:143712917-143712929(+) ATCAGGAAGGCT >mm10_chr7:143722304-143722315(-)::chr7:143722303-143722315(-) AGGAGGAAGTCT >mm10_chr7:143740343-143740354(+)::chr7:143740342-143740354(+) AGCAGGAAATAC >mm10_chr7:143758550-143758561(-)::chr7:143758549-143758561(-) aagaggaaggga >mm10_chr7:143758566-143758577(-)::chr7:143758565-143758577(-) ggcaggaagtag >mm10_chr7:143793400-143793411(+)::chr7:143793399-143793411(+) ATACGGAAGTAA >mm10_chr7:143826291-143826302(-)::chr7:143826290-143826302(-) CAAAGGAAGTGT >mm10_chr7:143930709-143930720(-)::chr7:143930708-143930720(-) gaaaggaagagg >mm10_chr7:143930721-143930732(-)::chr7:143930720-143930732(-) atgaggaagatg >mm10_chr7:143930752-143930763(-)::chr7:143930751-143930763(-) aaaaggaagatt >mm10_chr7:143950927-143950938(-)::chr7:143950926-143950938(-) ggcaggaactcg >mm10_chr7:143950940-143950951(-)::chr7:143950939-143950951(-) acagggaagtta >mm10_chr7:143957043-143957054(-)::chr7:143957042-143957054(-) AGGAGGAAGAAG >mm10_chr7:143980456-143980467(+)::chr7:143980455-143980467(+) ACCAGGAAGTCA >mm10_chr7:143989755-143989766(+)::chr7:143989754-143989766(+) aacaggaagcaa >mm10_chr7:143989789-143989800(+)::chr7:143989788-143989800(+) AGAAGGAAGCAA >mm10_chr7:144009097-144009108(+)::chr7:144009096-144009108(+) gggaggaagaac >mm10_chr7:144031073-144031084(+)::chr7:144031072-144031084(+) TCAAGGAAGCAG >mm10_chr7:144035304-144035315(-)::chr7:144035303-144035315(-) TCCAGGAAGAAC >mm10_chr7:144037192-144037203(-)::chr7:144037191-144037203(-) aagaggaagggg >mm10_chr7:144037246-144037257(-)::chr7:144037245-144037257(-) gagaggaaggta >mm10_chr7:144052276-144052287(-)::chr7:144052275-144052287(-) TGCAGGAAGAAC >mm10_chr7:144079298-144079309(-)::chr7:144079297-144079309(-) agaaggaagtag >mm10_chr7:144079305-144079316(-)::chr7:144079304-144079316(-) agaaggaagaag >mm10_chr7:144175948-144175959(+)::chr7:144175947-144175959(+) AGAAGGAAGGTA >mm10_chr7:144175970-144175981(+)::chr7:144175969-144175981(+) TGTAGGAAGCGT >mm10_chr7:144176993-144177004(-)::chr7:144176992-144177004(-) AGAAGGAAGGAG >mm10_chr7:144287420-144287431(+)::chr7:144287419-144287431(+) GTGAGGAAGTTG >mm10_chr7:144322209-144322220(+)::chr7:144322208-144322220(+) atcaggaagcag >mm10_chr7:144322227-144322238(+)::chr7:144322226-144322238(+) gacaggaagtag >mm10_chr7:144358924-144358935(-)::chr7:144358923-144358935(-) agcaggaagcag >mm10_chr7:144358931-144358942(-)::chr7:144358930-144358942(-) agcaggaagcag >mm10_chr7:144358938-144358949(-)::chr7:144358937-144358949(-) Ggcaggaagcag >mm10_chr7:144384260-144384271(-)::chr7:144384259-144384271(-) ggcaggaagcag >mm10_chr7:144384315-144384326(-)::chr7:144384314-144384326(-) ggcaggaagggc >mm10_chr7:144412612-144412623(-)::chr7:144412611-144412623(-) ACACGGAAGCGG >mm10_chr7:144417793-144417804(+)::chr7:144417792-144417804(+) ACCAGGAAGGAG >mm10_chr7:144439337-144439348(+)::chr7:144439336-144439348(+) AGAAGGAGGTGT >mm10_chr7:144441702-144441713(-)::chr7:144441701-144441713(-) CGCAGGAAGCTG >mm10_chr7:144447303-144447314(-)::chr7:144447302-144447314(-) TTGAGGAAGTTG >mm10_chr7:144447337-144447348(-)::chr7:144447336-144447348(-) ATAAGGAACTAA >mm10_chr7:144449865-144449876(+)::chr7:144449864-144449876(+) GGAAGGAAGCCA >mm10_chr7:144481206-144481217(-)::chr7:144481205-144481217(-) TGGAGGAAGAAG >mm10_chr7:144481214-144481225(+)::chr7:144481213-144481225(+) TCCAGGAAGGCG >mm10_chr7:144488711-144488722(-)::chr7:144488710-144488722(-) ATCAGGAAGACG >mm10_chr7:144490735-144490746(+)::chr7:144490734-144490746(+) GGCAGGAAGTGC >mm10_chr7:144556472-144556483(-)::chr7:144556471-144556483(-) GCAAGGAAGAAC >mm10_chr7:144567041-144567052(+)::chr7:144567040-144567052(+) AGAAAGAAGTCA >mm10_chr7:144581737-144581748(+)::chr7:144581736-144581748(+) GCCAGGAAGGGC >mm10_chr7:144600643-144600654(+)::chr7:144600642-144600654(+) ACCAGGAAGCAG >mm10_chr7:144604955-144604966(+)::chr7:144604954-144604966(+) ATAAGGAAGCTA >mm10_chr7:144626814-144626825(-)::chr7:144626813-144626825(-) GGAAGGAAGCAG >mm10_chr7:144626818-144626829(-)::chr7:144626817-144626829(-) TTAAGGAAGGAA >mm10_chr7:144628368-144628379(-)::chr7:144628367-144628379(-) TGCGGGAAGTAG >mm10_chr7:144628423-144628434(-)::chr7:144628422-144628434(-) GAAAGGAAGCTT >mm10_chr7:144641241-144641252(+)::chr7:144641240-144641252(+) GTAAGGAAGTGT >mm10_chr7:144648585-144648596(-)::chr7:144648584-144648596(-) CGGCGGAAGTGT >mm10_chr7:144648615-144648626(-)::chr7:144648614-144648626(-) AGAAGGAAGGAC >mm10_chr7:144660338-144660349(+)::chr7:144660337-144660349(+) gggaggaagagg >mm10_chr7:144660362-144660373(+)::chr7:144660361-144660373(+) aagaggaaggag >mm10_chr7:144660377-144660388(+)::chr7:144660376-144660388(+) aggaggaagagg >mm10_chr7:144668755-144668766(+)::chr7:144668754-144668766(+) ACCAGGAAGCAC >mm10_chr7:144669074-144669085(+)::chr7:144669073-144669085(+) GGCAGGAAATGG >mm10_chr7:144671157-144671168(-)::chr7:144671156-144671168(-) ACCAGGAAGATG >mm10_chr7:144675260-144675271(+)::chr7:144675259-144675271(+) ACAAGGAAGGTG >mm10_chr7:144689648-144689659(+)::chr7:144689647-144689659(+) TGAAGGAAGTGA >mm10_chr7:144689662-144689673(+)::chr7:144689661-144689673(+) AAAAGGAAGAAA >mm10_chr7:144689688-144689699(+)::chr7:144689687-144689699(+) CAAAGGAAATGG >mm10_chr7:144689702-144689713(+)::chr7:144689701-144689713(+) ACAAGGAAGAAG >mm10_chr7:144690002-144690013(-)::chr7:144690001-144690013(-) ACCAGGAAGAGT >mm10_chr7:144717643-144717654(+)::chr7:144717642-144717654(+) aggaggaagaag >mm10_chr7:144717667-144717678(+)::chr7:144717666-144717678(+) aggaggaagagg >mm10_chr7:144717778-144717789(+)::chr7:144717777-144717789(+) aggaggaagagg >mm10_chr7:144717793-144717804(+)::chr7:144717792-144717804(+) aggaggaagaga >mm10_chr7:144758064-144758075(-)::chr7:144758063-144758075(-) ggaaggaagctg >mm10_chr7:144758068-144758079(-)::chr7:144758067-144758079(-) gcaaggaaggaa >mm10_chr7:144767572-144767583(-)::chr7:144767571-144767583(-) AACAGGAAGAGC >mm10_chr7:144770318-144770329(+)::chr7:144770317-144770329(+) AGGAGGAAGCCA >mm10_chr7:144770368-144770379(+)::chr7:144770367-144770379(+) TGCAGGAAGGAA >mm10_chr7:144770372-144770383(+)::chr7:144770371-144770383(+) GGAAGGAAATGA >mm10_chr7:144773836-144773847(-)::chr7:144773835-144773847(-) AAGAGGAAGGGA >mm10_chr7:144773842-144773853(-)::chr7:144773841-144773853(-) ACTAGGAAGAGG >mm10_chr7:144774557-144774568(-)::chr7:144774556-144774568(-) AGGAGGAAGGCT >mm10_chr7:144776771-144776782(+)::chr7:144776770-144776782(+) ACGAGGAAGTGT >mm10_chr7:144779891-144779902(-)::chr7:144779890-144779902(-) CACAGGAAGTCA >mm10_chr7:144779918-144779929(-)::chr7:144779917-144779929(-) ATGAGGAAGAAT >mm10_chr7:144796935-144796946(-)::chr7:144796934-144796946(-) AGCAGGATGTTA >mm10_chr7:144796942-144796953(-)::chr7:144796941-144796953(-) AGGAGGAAGCAG >mm10_chr7:144813658-144813669(-)::chr7:144813657-144813669(-) CCGAGGAAGAGA >mm10_chr7:144813702-144813713(-)::chr7:144813701-144813713(-) AGAAGGAAGGCA >mm10_chr7:144889061-144889072(+)::chr7:144889060-144889072(+) CGAAGGAAAATA >mm10_chr7:144889072-144889083(+)::chr7:144889071-144889083(+) ACCAGGAAGGTT >mm10_chr7:144915161-144915172(+)::chr7:144915160-144915172(+) ACACGGAAGTGT >mm10_chr7:144928234-144928245(+)::chr7:144928233-144928245(+) AACAGGAAGGCT >mm10_chr7:144932489-144932500(-)::chr7:144932488-144932500(-) AAGAGGAAGTTT >mm10_chr7:144939407-144939418(+)::chr7:144939406-144939418(+) TGACGGAAGGGA >mm10_chr7:144939425-144939436(+)::chr7:144939424-144939436(+) GGGAGGAAGATG >mm10_chr7:144941678-144941689(+)::chr7:144941677-144941689(+) ACGAGGAACTTC >mm10_chr7:145019776-145019787(-)::chr7:145019775-145019787(-) aagaggaagaag >mm10_chr7:145019794-145019805(-)::chr7:145019793-145019805(-) aggaggaagaag >mm10_chr7:145019803-145019814(-)::chr7:145019802-145019814(-) aagaggaagagg >mm10_chr7:145020467-145020478(+)::chr7:145020466-145020478(+) GACAGGAAATAC >mm10_chr7:145020496-145020507(-)::chr7:145020495-145020507(-) ACCAGGAAGTGC >mm10_chr7:145025485-145025496(+)::chr7:145025484-145025496(+) AGGAGGAAGTTG >mm10_chr7:145087375-145087386(+)::chr7:145087374-145087386(+) AAGAGGAAGAAG >mm10_chr7:145097676-145097687(+)::chr7:145097675-145097687(+) AACAGGAAGTAG >mm10_chr7:145097733-145097744(+)::chr7:145097732-145097744(+) ACACGGAAGCAG >mm10_chr7:145102390-145102401(-)::chr7:145102389-145102401(-) GACAGGAAGTAC >mm10_chr7:145111701-145111712(+)::chr7:145111700-145111712(+) GAAAGGAAGCCG >mm10_chr7:145129937-145129948(+)::chr7:145129936-145129948(+) ATAGGGAAGTGG >mm10_chr7:145130701-145130712(-)::chr7:145130700-145130712(-) ggaaggatgtgg >mm10_chr7:145130705-145130716(-)::chr7:145130704-145130716(-) tagaggaaggat >mm10_chr7:145130716-145130727(-)::chr7:145130715-145130727(-) caaaggaaggat >mm10_chr7:145130743-145130754(-)::chr7:145130742-145130754(-) aggaggaagaaa >mm10_chr7:145130750-145130761(-)::chr7:145130749-145130761(-) gaaaggaaggag >mm10_chr7:145130758-145130769(-)::chr7:145130757-145130769(-) aggaggaagaaa >mm10_chr7:145194609-145194620(+)::chr7:145194608-145194620(+) ACTAGGAAGTGG >mm10_chr7:145239463-145239474(+)::chr7:145239462-145239474(+) ACAAGGAAATGT >mm10_chr7:145239929-145239940(+)::chr7:145239928-145239940(+) GGAAGGAAGCAG >mm10_chr7:145283516-145283527(+)::chr7:145283515-145283527(+) CCAAGGAAGGGA >mm10_chr7:145283560-145283571(+)::chr7:145283559-145283571(+) ACAAGGAAGGAA >mm10_chr7:145283564-145283575(+)::chr7:145283563-145283575(+) GGAAGGAAGTCT >mm10_chr7:145305703-145305714(-)::chr7:145305702-145305714(-) AGTAGGAAGTTC >mm10_chr7:145305710-145305721(-)::chr7:145305709-145305721(-) TCAGGGAAGTAG >mm10_chr8:3178094-3178105(-)::chr8:3178093-3178105(-) GACAGGAAGTGA >mm10_chr8:3178135-3178146(-)::chr8:3178134-3178146(-) ACCAGGAAGGAG >mm10_chr8:3199173-3199184(+)::chr8:3199172-3199184(+) aaaaggaagaag >mm10_chr8:3199193-3199204(+)::chr8:3199192-3199204(+) agaaggaagaaa >mm10_chr8:3199206-3199217(+)::chr8:3199205-3199217(+) aagaggaagaag >mm10_chr8:3199220-3199231(+)::chr8:3199219-3199231(+) agaaggaagagg >mm10_chr8:3225353-3225364(+)::chr8:3225352-3225364(+) ACAATGAAGTTC >mm10_chr8:3225370-3225381(-)::chr8:3225369-3225381(-) AGTAGGAAGGGG >mm10_chr8:3236513-3236524(-)::chr8:3236512-3236524(-) AGAAGGAAGTTT >mm10_chr8:3251296-3251307(-)::chr8:3251295-3251307(-) ACCAGGAAGGGG >mm10_chr8:3255641-3255652(+)::chr8:3255640-3255652(+) AAAAGGAAGAGA >mm10_chr8:3255680-3255691(-)::chr8:3255679-3255691(-) ggaaggaaggga >mm10_chr8:3255684-3255695(-)::chr8:3255683-3255695(-) ggaaggaaggaa >mm10_chr8:3274404-3274415(+)::chr8:3274403-3274415(+) gaaaggaagtta >mm10_chr8:3274422-3274433(+)::chr8:3274421-3274433(+) aaaaggaagaaa >mm10_chr8:3274435-3274446(+)::chr8:3274434-3274446(+) agaaggaagaag >mm10_chr8:3304658-3304669(+)::chr8:3304657-3304669(+) aagaggaagaag >mm10_chr8:3304673-3304684(+)::chr8:3304672-3304684(+) aggaggaaatag >mm10_chr8:3304680-3304691(+)::chr8:3304679-3304691(+) aataggaaggag >mm10_chr8:3304696-3304707(+)::chr8:3304695-3304707(+) agaaggaagaag >mm10_chr8:3304706-3304717(+)::chr8:3304705-3304717(+) aggaggaaggag >mm10_chr8:3311535-3311546(+)::chr8:3311534-3311546(+) AAAAGGAAGGCC >mm10_chr8:3313999-3314010(-)::chr8:3313998-3314010(-) GGCAGGAAGTAG >mm10_chr8:3328042-3328053(+)::chr8:3328041-3328053(+) ggcaggaagtag >mm10_chr8:3349488-3349499(+)::chr8:3349487-3349499(+) ggacggaagtgg >mm10_chr8:3393011-3393022(-)::chr8:3393010-3393022(-) AGCCGGAAGCGG >mm10_chr8:3397508-3397519(+)::chr8:3397507-3397519(+) tcagggaagtgc >mm10_chr8:3397720-3397731(+)::chr8:3397719-3397731(+) agcaggaagttc >mm10_chr8:3411998-3412009(-)::chr8:3411997-3412009(-) AGAAGGAATTGG >mm10_chr8:3424430-3424441(-)::chr8:3424429-3424441(-) AAAGGGAAGTTA >mm10_chr8:3429886-3429897(-)::chr8:3429885-3429897(-) gggaggaagagg >mm10_chr8:3430107-3430118(-)::chr8:3430106-3430118(-) AGCAGGAAGTGA >mm10_chr8:3515604-3515615(-)::chr8:3515603-3515615(-) ACAAGGAACTTA >mm10_chr8:3516924-3516935(+)::chr8:3516923-3516935(+) GGAAGGAAACCG >mm10_chr8:3576389-3576400(-)::chr8:3576388-3576400(-) GGGAGGAAGGAG >mm10_chr8:3593618-3593629(+)::chr8:3593617-3593629(+) AGGAGGAAGGAT >mm10_chr8:3593633-3593644(+)::chr8:3593632-3593644(+) GGAAGGAGGTAC >mm10_chr8:3619063-3619074(-)::chr8:3619062-3619074(-) ATGAGGAAGAAA >mm10_chr8:3619084-3619095(-)::chr8:3619083-3619095(-) AGGAGGAAGAGG >mm10_chr8:3620600-3620611(-)::chr8:3620599-3620611(-) GGGAGGAAGTGT >mm10_chr8:3621421-3621432(+)::chr8:3621420-3621432(+) TTAAGGAAGTGA >mm10_chr8:3621488-3621499(-)::chr8:3621487-3621499(-) ATACGGAAGCGG >mm10_chr8:3648569-3648580(-)::chr8:3648568-3648580(-) AAGAGGAAGGGA >mm10_chr8:3648582-3648593(+)::chr8:3648581-3648593(+) TGGAGGAAGTTG >mm10_chr8:3656958-3656969(+)::chr8:3656957-3656969(+) AGAGGGAAGTTG >mm10_chr8:3661020-3661031(-)::chr8:3661019-3661031(-) tgaaggaaagcg >mm10_chr8:3661080-3661091(-)::chr8:3661079-3661091(-) ACCAGGAAGTGA >mm10_chr8:3677326-3677337(+)::chr8:3677325-3677337(+) agaaggaaaggt >mm10_chr8:3709094-3709105(-)::chr8:3709093-3709105(-) aggaggaaatgg >mm10_chr8:3711912-3711923(-)::chr8:3711911-3711923(-) GGCAGGAAGTGA >mm10_chr8:3954756-3954767(+)::chr8:3954755-3954767(+) ACAAGGAACTGT >mm10_chr8:3954775-3954786(+)::chr8:3954774-3954786(+) CAAAGGAAGATG >mm10_chr8:3954789-3954800(+)::chr8:3954788-3954800(+) AGAAGGAAGTGG >mm10_chr8:4133074-4133085(-)::chr8:4133073-4133085(-) aacaggaaggag >mm10_chr8:4253040-4253051(-)::chr8:4253039-4253051(-) TCGCGGAAGTTA >mm10_chr8:4263389-4263400(+)::chr8:4263388-4263400(+) gggaggaaggga >mm10_chr8:4263397-4263408(+)::chr8:4263396-4263408(+) gggaggaaggag >mm10_chr8:4263404-4263415(+)::chr8:4263403-4263415(+) aggaggaagagg >mm10_chr8:4263410-4263421(+)::chr8:4263409-4263421(+) aagaggaagaga >mm10_chr8:4269855-4269866(-)::chr8:4269854-4269866(-) AGAAGGAAGTAT >mm10_chr8:4275905-4275916(-)::chr8:4275904-4275916(-) CGCAGGAAGGTC >mm10_chr8:4322625-4322636(-)::chr8:4322624-4322636(-) AGAGGGAAGTAA >mm10_chr8:4582128-4582139(+)::chr8:4582127-4582139(+) agaaggaaattg >mm10_chr8:4586729-4586740(-)::chr8:4586728-4586740(-) ggaaggaaataa >mm10_chr8:4586733-4586744(-)::chr8:4586732-4586744(-) aaaaggaaggaa >mm10_chr8:4677922-4677933(-)::chr8:4677921-4677933(-) GGAGGGAAGTAA >mm10_chr8:4678265-4678276(-)::chr8:4678264-4678276(-) GGAAGGAACTGA >mm10_chr8:4678269-4678280(-)::chr8:4678268-4678280(-) GTAAGGAAGGAA >mm10_chr8:4779691-4779702(+)::chr8:4779690-4779702(+) AAAAGGAAGTTG >mm10_chr8:5007340-5007351(-)::chr8:5007339-5007351(-) AGCAGGaagcac >mm10_chr8:5007380-5007391(-)::chr8:5007379-5007391(-) AGAAGGAACTGA >mm10_chr8:5164531-5164542(-)::chr8:5164530-5164542(-) AAAAGGAAGTAC >mm10_chr8:6191667-6191678(-)::chr8:6191666-6191678(-) aacaggaagtat >mm10_chr8:6201901-6201912(-)::chr8:6201900-6201912(-) ACAAGGAAAGAA >mm10_chr8:6590118-6590129(+)::chr8:6590117-6590129(+) ACAaggaagagg >mm10_chr8:6590124-6590135(+)::chr8:6590123-6590135(+) aagaggaagagg >mm10_chr8:6590130-6590141(+)::chr8:6590129-6590141(+) aagaggaagagg >mm10_chr8:6590136-6590147(+)::chr8:6590135-6590147(+) aagaggaagagg >mm10_chr8:6590142-6590153(+)::chr8:6590141-6590153(+) aagaggaagtgg >mm10_chr8:6590154-6590165(+)::chr8:6590153-6590165(+) aagaggaagagg >mm10_chr8:7183700-7183711(-)::chr8:7183699-7183711(-) AAAAGGAAATAA >mm10_chr8:7183716-7183727(+)::chr8:7183715-7183727(+) AAAAGGAAATAG >mm10_chr8:7183729-7183740(-)::chr8:7183728-7183740(-) AAGAGGAAGTTG >mm10_chr8:7183735-7183746(-)::chr8:7183734-7183746(-) TAAAGGAAGAGG >mm10_chr8:7408103-7408114(-)::chr8:7408102-7408114(-) taaaggaaggac >mm10_chr8:7601957-7601968(+)::chr8:7601956-7601968(+) gggaggaaatac >mm10_chr8:7691821-7691832(+)::chr8:7691820-7691832(+) ACCAGGAAATTG >mm10_chr8:8043475-8043486(+)::chr8:8043474-8043486(+) atgaggaaggaa >mm10_chr8:8128679-8128690(-)::chr8:8128678-8128690(-) AGCAGGAAATGG >mm10_chr8:8180236-8180247(-)::chr8:8180235-8180247(-) ACAAGGAAGACG >mm10_chr8:8180274-8180285(-)::chr8:8180273-8180285(-) AACAGGAAGCAG >mm10_chr8:8199614-8199625(+)::chr8:8199613-8199625(+) ACCAGGAAGAGG >mm10_chr8:8199640-8199651(-)::chr8:8199639-8199651(-) TCCAGGAAGAGA >mm10_chr8:8241446-8241457(+)::chr8:8241445-8241457(+) ACAAGGAAGCAG >mm10_chr8:8258442-8258453(+)::chr8:8258441-8258453(+) GGCAGGAAGTTC >mm10_chr8:8274300-8274311(-)::chr8:8274299-8274311(-) agcaggaagcag >mm10_chr8:8274337-8274348(+)::chr8:8274336-8274348(+) tgaaggaattta >mm10_chr8:8274400-8274411(+)::chr8:8274399-8274411(+) ATACGGAAATAT >mm10_chr8:8300270-8300281(+)::chr8:8300269-8300281(+) GCCAGGAAGACA >mm10_chr8:8326870-8326881(+)::chr8:8326869-8326881(+) AAGGGGAAGTAG >mm10_chr8:8350597-8350608(+)::chr8:8350596-8350608(+) AGAAGGATGTCA >mm10_chr8:8384026-8384037(-)::chr8:8384025-8384037(-) TTAAGGAAGTCT >mm10_chr8:8388828-8388839(-)::chr8:8388827-8388839(-) agaaggcagtat >mm10_chr8:8498893-8498904(+)::chr8:8498892-8498904(+) ACAAGGAAATAA >mm10_chr8:8602695-8602706(-)::chr8:8602694-8602706(-) TTCAGGAAGTGG >mm10_chr8:8605063-8605074(-)::chr8:8605062-8605074(-) GCCAGGAAGATA >mm10_chr8:8605090-8605101(+)::chr8:8605089-8605101(+) ACAAGGAAAAAT >mm10_chr8:8605122-8605133(+)::chr8:8605121-8605133(+) AACAGGAAGGAG >mm10_chr8:8605129-8605140(+)::chr8:8605128-8605140(+) AGGAGGAAGGCA >mm10_chr8:8623651-8623662(-)::chr8:8623650-8623662(-) AGCAGGAAGTCT >mm10_chr8:8688543-8688554(+)::chr8:8688542-8688554(+) ACCAGGAAGACA >mm10_chr8:8704989-8705000(+)::chr8:8704988-8705000(+) aacaggaagtaa >mm10_chr8:8705004-8705015(+)::chr8:8705003-8705015(+) atacGgaagttg >mm10_chr8:8772546-8772557(-)::chr8:8772545-8772557(-) ACCAGGAAGCTA >mm10_chr8:8774919-8774930(-)::chr8:8774918-8774930(-) ggaaggaagctg >mm10_chr8:8774923-8774934(-)::chr8:8774922-8774934(-) aggaggaaggaa >mm10_chr8:8775333-8775344(+)::chr8:8775332-8775344(+) gagaggaaggaa >mm10_chr8:8775337-8775348(+)::chr8:8775336-8775348(+) ggaaggaagcag >mm10_chr8:8801660-8801671(+)::chr8:8801659-8801671(+) GCCAGGAAGAGG >mm10_chr8:8801670-8801681(+)::chr8:8801669-8801681(+) GGCAGGAAGCAG >mm10_chr8:9171946-9171957(+)::chr8:9171945-9171957(+) ACCAGGAAATGT >mm10_chr8:9274204-9274215(-)::chr8:9274203-9274215(-) ATTAGGAAGGAG >mm10_chr8:9365468-9365479(+)::chr8:9365467-9365479(+) agaaggaagaag >mm10_chr8:9365475-9365486(+)::chr8:9365474-9365486(+) agaaggaagaag >mm10_chr8:9365482-9365493(+)::chr8:9365481-9365493(+) agaaggaagaag >mm10_chr8:9365489-9365500(+)::chr8:9365488-9365500(+) agaaggaagaag >mm10_chr8:9365496-9365507(+)::chr8:9365495-9365507(+) agaaggaagaag >mm10_chr8:9365503-9365514(+)::chr8:9365502-9365514(+) agaaggaagaag >mm10_chr8:9367849-9367860(-)::chr8:9367848-9367860(-) AGAAGGAAACAA >mm10_chr8:9367856-9367867(-)::chr8:9367855-9367867(-) AAAAGGAAGAAG >mm10_chr8:9645743-9645754(-)::chr8:9645742-9645754(-) GTAAGGAAGCAG >mm10_chr8:9645772-9645783(-)::chr8:9645771-9645783(-) ACAAGGATGTGG >mm10_chr8:9958389-9958400(-)::chr8:9958388-9958400(-) ATGAGGAAGCAG >mm10_chr8:10030762-10030773(+)::chr8:10030761-10030773(+) TTAAGGAAATGT >mm10_chr8:10378588-10378599(-)::chr8:10378587-10378599(-) gCAGGGAAGTGG >mm10_chr8:10378599-10378610(-)::chr8:10378598-10378610(-) aggaggaagagg >mm10_chr8:10378617-10378628(-)::chr8:10378616-10378628(-) aagaggaagagg >mm10_chr8:10378623-10378634(-)::chr8:10378622-10378634(-) agaaggaagagg >mm10_chr8:10378635-10378646(-)::chr8:10378634-10378646(-) GTAaggaggtgg >mm10_chr8:10378643-10378654(-)::chr8:10378642-10378654(-) TGGAGGAAGTAa >mm10_chr8:10519196-10519207(-)::chr8:10519195-10519207(-) agagggaagtca >mm10_chr8:10587183-10587194(-)::chr8:10587182-10587194(-) GAGAGGAAGGAG >mm10_chr8:10587218-10587229(-)::chr8:10587217-10587229(-) TGAAGGCAGTGA >mm10_chr8:10588837-10588848(+)::chr8:10588836-10588848(+) ATACGGATGTAC >mm10_chr8:10619792-10619803(+)::chr8:10619791-10619803(+) GTGCGGAAGTAG >mm10_chr8:10668214-10668225(+)::chr8:10668213-10668225(+) AGAAGGAAGACG >mm10_chr8:10672400-10672411(+)::chr8:10672399-10672411(+) GGAAGGAAGAGC >mm10_chr8:10782381-10782392(-)::chr8:10782380-10782392(-) AGAAGGAAGTGG >mm10_chr8:10858085-10858096(+)::chr8:10858084-10858096(+) AAGAGGAAGAGG >mm10_chr8:10858091-10858102(+)::chr8:10858090-10858102(+) AAGAGGAAGTCC >mm10_chr8:10863480-10863491(+)::chr8:10863479-10863491(+) agaaggaagaga >mm10_chr8:10863536-10863547(+)::chr8:10863535-10863547(+) gtaaggaagaga >mm10_chr8:10863545-10863556(+)::chr8:10863544-10863556(+) agaaggaagggg >mm10_chr8:10888050-10888061(+)::chr8:10888049-10888061(+) TCCAGGAAGAGG >mm10_chr8:10910645-10910656(-)::chr8:10910644-10910656(-) GACAGGAAATAA >mm10_chr8:10910702-10910713(-)::chr8:10910701-10910713(-) ATAAGGAAACAC >mm10_chr8:10924826-10924837(+)::chr8:10924825-10924837(+) GGAAGGTAGTTA >mm10_chr8:10930615-10930626(-)::chr8:10930614-10930626(-) ATTAGGAAGACA >mm10_chr8:10937432-10937443(-)::chr8:10937431-10937443(-) TGGAGGAAGGAA >mm10_chr8:10946247-10946258(-)::chr8:10946246-10946258(-) agcaggaaggcc >mm10_chr8:10946293-10946304(+)::chr8:10946292-10946304(+) tcaaggaagagg >mm10_chr8:10953823-10953834(+)::chr8:10953822-10953834(+) ACCGGGAAGCGC >mm10_chr8:10978589-10978600(+)::chr8:10978588-10978600(+) GGGAGGAAGGCG >mm10_chr8:10990970-10990981(-)::chr8:10990969-10990981(-) TAGAGGAAGTTT >mm10_chr8:10994828-10994839(+)::chr8:10994827-10994839(+) ACCAGGAAGCCA >mm10_chr8:10997478-10997489(-)::chr8:10997477-10997489(-) GTAAGGAAGACA >mm10_chr8:11001323-11001334(-)::chr8:11001322-11001334(-) AGAAGGAAGGAG >mm10_chr8:11001367-11001378(+)::chr8:11001366-11001378(+) TAAAGGAAGCAG >mm10_chr8:11009610-11009621(+)::chr8:11009609-11009621(+) CCCGGGAAGTGG >mm10_chr8:11079891-11079902(-)::chr8:11079890-11079902(-) ACGAGGAAGTTG >mm10_chr8:11094655-11094666(+)::chr8:11094654-11094666(+) AGGAGGAAGGAG >mm10_chr8:11094715-11094726(+)::chr8:11094714-11094726(+) AGGAGGAAGGAA >mm10_chr8:11094719-11094730(+)::chr8:11094718-11094730(+) GGAAGGAAGTGA >mm10_chr8:11236943-11236954(+)::chr8:11236942-11236954(+) ACCAGGAAGGAG >mm10_chr8:11236947-11236958(+)::chr8:11236946-11236958(+) GGAAGGAGGTAC >mm10_chr8:11399923-11399934(-)::chr8:11399922-11399934(-) GGAAGGAAATGC >mm10_chr8:11399927-11399938(-)::chr8:11399926-11399938(-) TCCAGGAAGGAA >mm10_chr8:11458258-11458269(-)::chr8:11458257-11458269(-) TCAAGGAAGCCA >mm10_chr8:11461049-11461060(-)::chr8:11461048-11461060(-) ATGGGGAAGTGG >mm10_chr8:11461067-11461078(-)::chr8:11461066-11461078(-) GTCAGGAAGAGA >mm10_chr8:11464198-11464209(+)::chr8:11464197-11464209(+) ATCAGGAAGTCA >mm10_chr8:11464234-11464245(-)::chr8:11464233-11464245(-) AAAAGGAAGTAT >mm10_chr8:11472768-11472779(-)::chr8:11472767-11472779(-) agcaggaagaga >mm10_chr8:11472808-11472819(-)::chr8:11472807-11472819(-) gtaaggaagagt >mm10_chr8:11497564-11497575(+)::chr8:11497563-11497575(+) ACCCGGAAGTGC >mm10_chr8:11517520-11517531(-)::chr8:11517519-11517531(-) AACGGGAAGTTA >mm10_chr8:11517568-11517579(+)::chr8:11517567-11517579(+) ACCAGGAAGGTT >mm10_chr8:11536620-11536631(+)::chr8:11536619-11536631(+) AGAAGGAAGCAC >mm10_chr8:11550358-11550369(-)::chr8:11550357-11550369(-) TTAAGGAAGACT >mm10_chr8:11555853-11555864(-)::chr8:11555852-11555864(-) AGGCGGAAGTGG >mm10_chr8:11569348-11569359(-)::chr8:11569347-11569359(-) CCTAGGAAGTAA >mm10_chr8:11569369-11569380(+)::chr8:11569368-11569380(+) TACAGGAAATAC >mm10_chr8:11575271-11575282(+)::chr8:11575270-11575282(+) AGCAGGAAGCCA >mm10_chr8:11575431-11575442(-)::chr8:11575430-11575442(-) AGAAGGAAGAGC >mm10_chr8:11575444-11575455(+)::chr8:11575443-11575455(+) AAGAGGAAGAGT >mm10_chr8:11592370-11592381(+)::chr8:11592369-11592381(+) GGAAGGAACTTG >mm10_chr8:11614752-11614763(+)::chr8:11614751-11614763(+) ACCAGGAAGGGA >mm10_chr8:11622969-11622980(+)::chr8:11622968-11622980(+) AGAAGGAAGTCA >mm10_chr8:11622989-11623000(-)::chr8:11622988-11623000(-) GCAGGGAAGTCT >mm10_chr8:11701524-11701535(+)::chr8:11701523-11701535(+) AGGAGGAAGAGA >mm10_chr8:11701535-11701546(+)::chr8:11701534-11701546(+) AGCAGGAAGCGC >mm10_chr8:11746768-11746779(-)::chr8:11746767-11746779(-) tacaggaagtag >mm10_chr8:11767618-11767629(-)::chr8:11767617-11767629(-) ttcaggaagtcc >mm10_chr8:11767645-11767656(-)::chr8:11767644-11767656(-) gacaggaagtaa >mm10_chr8:11780009-11780020(+)::chr8:11780008-11780020(+) GGAAGGAAGATG >mm10_chr8:11780031-11780042(+)::chr8:11780030-11780042(+) AGCAggaagggg >mm10_chr8:11798363-11798374(+)::chr8:11798362-11798374(+) AGGAGGAAGCTA >mm10_chr8:11827363-11827374(-)::chr8:11827362-11827374(-) ggaaagaagtgg >mm10_chr8:11957279-11957290(+)::chr8:11957278-11957290(+) AGCAGGAAGGGG >mm10_chr8:11973540-11973551(+)::chr8:11973539-11973551(+) AGCAGGAAGGTG >mm10_chr8:11973554-11973565(+)::chr8:11973553-11973565(+) TGGAGGAAGAAG >mm10_chr8:11973566-11973577(+)::chr8:11973565-11973577(+) AGAGGGAAGCGG >mm10_chr8:12319983-12319994(+)::chr8:12319982-12319994(+) ATAAAGAAGTGA >mm10_chr8:12456699-12456710(-)::chr8:12456698-12456710(-) agaaggaagaag >mm10_chr8:12456706-12456717(-)::chr8:12456705-12456717(-) agaaggaagaag >mm10_chr8:12456713-12456724(-)::chr8:12456712-12456724(-) agaaggaagaag >mm10_chr8:12456720-12456731(-)::chr8:12456719-12456731(-) agaaggaagaag >mm10_chr8:12510645-12510656(+)::chr8:12510644-12510656(+) ATGAGGAAGGGA >mm10_chr8:12672105-12672116(+)::chr8:12672104-12672116(+) TCGCGGAAGCGG >mm10_chr8:12672143-12672154(-)::chr8:12672142-12672154(-) CGCGGGAAGCGG >mm10_chr8:12702423-12702434(+)::chr8:12702422-12702434(+) ACAAGGAAGTTG >mm10_chr8:12702484-12702495(+)::chr8:12702483-12702495(+) AGGAGGAAGTGT >mm10_chr8:12711257-12711268(+)::chr8:12711256-12711268(+) atgaggaagagg >mm10_chr8:12711263-12711274(+)::chr8:12711262-12711274(+) aagaggaagagg >mm10_chr8:12711269-12711280(+)::chr8:12711268-12711280(+) aagaggaagaaa >mm10_chr8:12711300-12711311(+)::chr8:12711299-12711311(+) aggaggaagagg >mm10_chr8:12711327-12711338(+)::chr8:12711326-12711338(+) aagaggaagagg >mm10_chr8:12718883-12718894(-)::chr8:12718882-12718894(-) GAAAGGAAGCAA >mm10_chr8:12718901-12718912(-)::chr8:12718900-12718912(-) ATGAGGAAGAAG >mm10_chr8:12732673-12732684(-)::chr8:12732672-12732684(-) ATCAGGAAGTAT >mm10_chr8:12760490-12760501(-)::chr8:12760489-12760501(-) aggaggaagtag >mm10_chr8:12760532-12760543(-)::chr8:12760531-12760543(-) aagaggaagaga >mm10_chr8:12781142-12781153(+)::chr8:12781141-12781153(+) ATAAGGAAGGAG >mm10_chr8:12783114-12783125(-)::chr8:12783113-12783125(-) AAGAGGAAGGAG >mm10_chr8:12783120-12783131(-)::chr8:12783119-12783131(-) AAAAGGAAGAGG >mm10_chr8:12808123-12808134(+)::chr8:12808122-12808134(+) GAAAGGAAGTCC >mm10_chr8:12812374-12812385(-)::chr8:12812373-12812385(-) ATGAGGAAATAA >mm10_chr8:12812386-12812397(-)::chr8:12812385-12812397(-) ACCAGGAAGATG >mm10_chr8:12891582-12891593(+)::chr8:12891581-12891593(+) GGAAGGAAGAAG >mm10_chr8:12891622-12891633(-)::chr8:12891621-12891633(-) ACCAGGAAGGTC >mm10_chr8:12926148-12926159(-)::chr8:12926147-12926159(-) TTCAGGAAGTCt >mm10_chr8:12948913-12948924(+)::chr8:12948912-12948924(+) GAAAGGCAGTAG >mm10_chr8:12948990-12949001(-)::chr8:12948989-12949001(-) ACCAGGAAATGT >mm10_chr8:12962863-12962874(-)::chr8:12962862-12962874(-) aagaggaaggga >mm10_chr8:12962878-12962889(-)::chr8:12962877-12962889(-) gggaggaagtgc >mm10_chr8:12995639-12995650(-)::chr8:12995638-12995650(-) TCAAGGAAGCCT >mm10_chr8:13048260-13048271(-)::chr8:13048259-13048271(-) CCCAGGAAGTAA >mm10_chr8:13063309-13063320(-)::chr8:13063308-13063320(-) TCCAGGAAGTAC >mm10_chr8:13063356-13063367(+)::chr8:13063355-13063367(+) ATGAGGAAGTCT >mm10_chr8:13117950-13117961(-)::chr8:13117949-13117961(-) AGAAGGAAATCA >mm10_chr8:13204516-13204527(-)::chr8:13204515-13204527(-) acaaggaaaagg >mm10_chr8:13204612-13204623(+)::chr8:13204611-13204623(+) taaaggaactga >mm10_chr8:13263207-13263218(-)::chr8:13263206-13263218(-) ACGGGGAAGTCA >mm10_chr8:13263232-13263243(+)::chr8:13263231-13263243(+) ACAAGTAAGTTT >mm10_chr8:13333108-13333119(-)::chr8:13333107-13333119(-) ggaaggaagaac >mm10_chr8:13346450-13346461(+)::chr8:13346449-13346461(+) ACCAGGAAGTGG >mm10_chr8:13356766-13356777(-)::chr8:13356765-13356777(-) AGCAGGAAGGAA >mm10_chr8:13370476-13370487(+)::chr8:13370475-13370487(+) TTAAGGAAGCGG >mm10_chr8:13370488-13370499(+)::chr8:13370487-13370499(+) AACAGGAAGGGC >mm10_chr8:13405799-13405810(+)::chr8:13405798-13405810(+) AAGAGGAAGGGC >mm10_chr8:13405858-13405869(-)::chr8:13405857-13405869(-) CGCAGGAAATTC >mm10_chr8:13426976-13426987(-)::chr8:13426975-13426987(-) TTCAGGAAGTGC >mm10_chr8:13427545-13427556(+)::chr8:13427544-13427556(+) AACAGGAAGGAA >mm10_chr8:13427567-13427578(+)::chr8:13427566-13427578(+) GCGAGGAAGTGT >mm10_chr8:13471327-13471338(+)::chr8:13471326-13471338(+) AGCAGGAAGATT >mm10_chr8:13507313-13507324(+)::chr8:13507312-13507324(+) ATAAGGAAGGAG >mm10_chr8:13531129-13531140(+)::chr8:13531128-13531140(+) TAGAGGAAGGAA >mm10_chr8:13539060-13539071(-)::chr8:13539059-13539071(-) ACAAGGAAGTCT >mm10_chr8:13615147-13615158(+)::chr8:13615146-13615158(+) ACTAGGAAGAGG >mm10_chr8:13615168-13615179(+)::chr8:13615167-13615179(+) ACGGGGAAGTGC >mm10_chr8:13617319-13617330(+)::chr8:13617318-13617330(+) ATAAGGAAATTG >mm10_chr8:13644282-13644293(+)::chr8:13644281-13644293(+) AGCAGGAAGGAG >mm10_chr8:13669689-13669700(+)::chr8:13669688-13669700(+) TGGAGGAAGTGC >mm10_chr8:13674479-13674490(-)::chr8:13674478-13674490(-) TTGAGGAAGTGT >mm10_chr8:13674501-13674512(+)::chr8:13674500-13674512(+) TCAAGGAAGCGT >mm10_chr8:13867585-13867596(+)::chr8:13867584-13867596(+) AGCAGGAAATGG >mm10_chr8:13867863-13867874(+)::chr8:13867862-13867874(+) TCCAGGAAGAGA >mm10_chr8:13869607-13869618(-)::chr8:13869606-13869618(-) CGGAGGAAGCAA >mm10_chr8:13874992-13875003(-)::chr8:13874991-13875003(-) caagggaagtga >mm10_chr8:13886444-13886455(-)::chr8:13886443-13886455(-) AGGAGGAAGAAC >mm10_chr8:13914343-13914354(-)::chr8:13914342-13914354(-) AGAAGGAAGGAG >mm10_chr8:13914374-13914385(-)::chr8:13914373-13914385(-) GTCAGGAAGTCA >mm10_chr8:13965012-13965023(+)::chr8:13965011-13965023(+) AGAAGGAAAAGG >mm10_chr8:13965063-13965074(-)::chr8:13965062-13965074(-) CGCAGGAAGTTG >mm10_chr8:13965360-13965371(-)::chr8:13965359-13965371(-) AACAGGAAGACC >mm10_chr8:13965412-13965423(+)::chr8:13965411-13965423(+) GGCAGGAAGTGG >mm10_chr8:13965423-13965434(+)::chr8:13965422-13965434(+) GGAAGGAAGAGA >mm10_chr8:14004491-14004502(-)::chr8:14004490-14004502(-) ATGAGGAAGTTG >mm10_chr8:14091617-14091628(+)::chr8:14091616-14091628(+) tcaaggaagtgg >mm10_chr8:14747663-14747674(-)::chr8:14747662-14747674(-) ttaaggaagtgt >mm10_chr8:14747682-14747693(-)::chr8:14747681-14747693(-) accaggaagttc >mm10_chr8:14755146-14755157(+)::chr8:14755145-14755157(+) AGAAAGAAGTGT >mm10_chr8:14859601-14859612(-)::chr8:14859600-14859612(-) AGAAGGAAATCT >mm10_chr8:14888538-14888549(+)::chr8:14888537-14888549(+) AGAGGGAAGGGA >mm10_chr8:14927143-14927154(-)::chr8:14927142-14927154(-) agcaggaagcaa >mm10_chr8:14938609-14938620(+)::chr8:14938608-14938620(+) aaacggaagccg >mm10_chr8:14938645-14938656(-)::chr8:14938644-14938656(-) cagaggaagtca >mm10_chr8:14941351-14941362(-)::chr8:14941350-14941362(-) ATGAGGAAGGTG >mm10_chr8:14949916-14949927(+)::chr8:14949915-14949927(+) GAAAGGAAGTTC >mm10_chr8:14982292-14982303(+)::chr8:14982291-14982303(+) CAAAGGAAGCAC >mm10_chr8:15002313-15002324(+)::chr8:15002312-15002324(+) ACAAGGAAGCCC >mm10_chr8:15004961-15004972(+)::chr8:15004960-15004972(+) GGAAGGAAATGG >mm10_chr8:15034338-15034349(+)::chr8:15034337-15034349(+) GACAGGAAGAGC >mm10_chr8:15085959-15085970(-)::chr8:15085958-15085970(-) ACAGGGAAGTCA >mm10_chr8:15486409-15486420(-)::chr8:15486408-15486420(-) AGAAAGAAGTGA >mm10_chr8:15527942-15527953(-)::chr8:15527941-15527953(-) GCAAGGAAGACC >mm10_chr8:15788541-15788552(+)::chr8:15788540-15788552(+) agcaggaagagt >mm10_chr8:16513311-16513322(+)::chr8:16513310-16513322(+) GCAGGGAAGGCG >mm10_chr8:17319851-17319862(+)::chr8:17319850-17319862(+) ttaaggaaggac >mm10_chr8:17319922-17319933(-)::chr8:17319921-17319933(-) aaaaggaaagta >mm10_chr8:17533044-17533055(+)::chr8:17533043-17533055(+) TTAAGGAAGGAG >mm10_chr8:17598348-17598359(+)::chr8:17598347-17598359(+) cagaggaagacg >mm10_chr8:17632357-17632368(-)::chr8:17632356-17632368(-) AGGAGGAAGTCA >mm10_chr8:17836847-17836858(+)::chr8:17836846-17836858(+) aggaggaagatt >mm10_chr8:18367712-18367723(-)::chr8:18367711-18367723(-) tgagggaagtca >mm10_chr8:18607394-18607405(+)::chr8:18607393-18607405(+) AAAAGTAAGTAG >mm10_chr8:18643383-18643394(-)::chr8:18643382-18643394(-) aagaggaagagg >mm10_chr8:18643389-18643400(-)::chr8:18643388-18643400(-) Aggaggaagagg >mm10_chr8:18643415-18643426(-)::chr8:18643414-18643426(-) AGGAGGAAGGGG >mm10_chr8:18767730-18767741(-)::chr8:18767729-18767741(-) AGGAGGAAGGCA >mm10_chr8:18767747-18767758(+)::chr8:18767746-18767758(+) AGACGGAAGGAT >mm10_chr8:18768116-18768127(+)::chr8:18768115-18768127(+) AGCAGGAAGTTC >mm10_chr8:18770915-18770926(-)::chr8:18770914-18770926(-) ACAAGGAAAACG >mm10_chr8:18821669-18821680(+)::chr8:18821668-18821680(+) aaaaggaagcaa >mm10_chr8:18846064-18846075(+)::chr8:18846063-18846075(+) TGCAGGAAGCAT >mm10_chr8:18913408-18913419(+)::chr8:18913407-18913419(+) gggaggaaatat >mm10_chr8:18914589-18914600(-)::chr8:18914588-18914600(-) GGAGGGAAGTCC >mm10_chr8:18933646-18933657(-)::chr8:18933645-18933657(-) GGAAGGACGCGG >mm10_chr8:18936438-18936449(-)::chr8:18936437-18936449(-) AGGAGGAAGTAA >mm10_chr8:19102949-19102960(+)::chr8:19102948-19102960(+) CAAAGGAAGGGC >mm10_chr8:19163914-19163925(+)::chr8:19163913-19163925(+) ACAAGGAAATGT >mm10_chr8:19163924-19163935(-)::chr8:19163923-19163935(-) TGAAGGAAGCAC >mm10_chr8:19215857-19215868(-)::chr8:19215856-19215868(-) atgaggaagttg >mm10_chr8:19253923-19253934(-)::chr8:19253922-19253934(-) aggaggaagagc >mm10_chr8:19253938-19253949(-)::chr8:19253937-19253949(-) aggaggaagaag >mm10_chr8:19253956-19253967(-)::chr8:19253955-19253967(-) aggaggaagagg >mm10_chr8:21773644-21773655(-)::chr8:21773643-21773655(-) AAAAGGAAGCAG >mm10_chr8:21783618-21783629(-)::chr8:21783617-21783629(-) accaggaaatag >mm10_chr8:21783638-21783649(-)::chr8:21783637-21783649(-) taaagaaagtaa >mm10_chr8:21786191-21786202(-)::chr8:21786190-21786202(-) aacaggaagtgg >mm10_chr8:21901843-21901854(+)::chr8:21901842-21901854(+) acaaggaaatgg >mm10_chr8:21901886-21901897(+)::chr8:21901885-21901897(+) acaaggaagtaa >mm10_chr8:22036359-22036370(-)::chr8:22036358-22036370(-) ataaggaaaagc >mm10_chr8:22039815-22039826(-)::chr8:22039814-22039826(-) AAAAGGAAGCTG >mm10_chr8:22047936-22047947(+)::chr8:22047935-22047947(+) AATAGGAAATGG >mm10_chr8:22060612-22060623(+)::chr8:22060611-22060623(+) TGCAGGAAGGCG >mm10_chr8:22125087-22125098(+)::chr8:22125086-22125098(+) AGGGGGAAGTGG >mm10_chr8:22297093-22297104(+)::chr8:22297092-22297104(+) TAAAGGAAGGAA >mm10_chr8:22297097-22297108(+)::chr8:22297096-22297108(+) GGAAGGAAATGT >mm10_chr8:22297133-22297144(-)::chr8:22297132-22297144(-) AGGAGGAAGTCA >mm10_chr8:22398936-22398947(-)::chr8:22398935-22398947(-) atcaggaagttc >mm10_chr8:22398945-22398956(-)::chr8:22398944-22398956(-) ggtaggaagatc >mm10_chr8:22398970-22398981(+)::chr8:22398969-22398981(+) agaaggaatttc >mm10_chr8:22443182-22443193(+)::chr8:22443181-22443193(+) AGAAGGAAGCAG >mm10_chr8:22443223-22443234(+)::chr8:22443222-22443234(+) ACCAGGAAGTTC >mm10_chr8:22474638-22474649(-)::chr8:22474637-22474649(-) TGGAGGAAGAAC >mm10_chr8:22476881-22476892(-)::chr8:22476880-22476892(-) GGCAGGAAGTGA >mm10_chr8:22476941-22476952(-)::chr8:22476940-22476952(-) GGAAGGAAGAAA >mm10_chr8:22526915-22526926(-)::chr8:22526914-22526926(-) GCAGGGAAGTTG >mm10_chr8:22538581-22538592(-)::chr8:22538580-22538592(-) GGAAGGGAGTTA >mm10_chr8:22538585-22538596(-)::chr8:22538584-22538596(-) ACCAGGAAGGGA >mm10_chr8:22586148-22586159(+)::chr8:22586147-22586159(+) aGGAGGAAGAGG >mm10_chr8:22586154-22586165(+)::chr8:22586153-22586165(+) AAGAGGAAGAAG >mm10_chr8:22616941-22616952(-)::chr8:22616940-22616952(-) ACAAGGAAGTCA >mm10_chr8:22616975-22616986(-)::chr8:22616974-22616986(-) GCGAGGAAGTGC >mm10_chr8:22706057-22706068(-)::chr8:22706056-22706068(-) ACCAGGAAGGTG >mm10_chr8:22706550-22706561(-)::chr8:22706549-22706561(-) TTGAGGAAGTGG >mm10_chr8:22706562-22706573(-)::chr8:22706561-22706573(-) GGCAGGAAGTGT >mm10_chr8:22807359-22807370(+)::chr8:22807358-22807370(+) GTAAGGAAGACC >mm10_chr8:22824052-22824063(+)::chr8:22824051-22824063(+) AGCAGGAAATGG >mm10_chr8:22829741-22829752(-)::chr8:22829740-22829752(-) agtaggaagcat >mm10_chr8:22829780-22829791(+)::chr8:22829779-22829791(+) acgaggaagaga >mm10_chr8:22831142-22831153(+)::chr8:22831141-22831153(+) GCAAGGAAGAAG >mm10_chr8:22838906-22838917(-)::chr8:22838905-22838917(-) caaaggaagtca >mm10_chr8:22867068-22867079(-)::chr8:22867067-22867079(-) accaggaaatta >mm10_chr8:22873923-22873934(-)::chr8:22873922-22873934(-) GGAAGGAAGAGG >mm10_chr8:22889090-22889101(-)::chr8:22889089-22889101(-) ATAAGGAAAACC >mm10_chr8:22891128-22891139(+)::chr8:22891127-22891139(+) ggaaggcagtgc >mm10_chr8:22891146-22891157(+)::chr8:22891145-22891157(+) aacaggaaggag >mm10_chr8:22934565-22934576(+)::chr8:22934564-22934576(+) ACAAGGATGTGA >mm10_chr8:22938430-22938441(+)::chr8:22938429-22938441(+) AGGAGGAAGACG >mm10_chr8:23109478-23109489(+)::chr8:23109477-23109489(+) GCGAGGAAACGG >mm10_chr8:23109485-23109496(+)::chr8:23109484-23109496(+) AACGGGAAGTCT >mm10_chr8:23157918-23157929(+)::chr8:23157917-23157929(+) cgaaggaagaag >mm10_chr8:23157943-23157954(+)::chr8:23157942-23157954(+) aggaggaagcga >mm10_chr8:23168074-23168085(+)::chr8:23168073-23168085(+) TCCAGGAAATAA >mm10_chr8:23204010-23204021(-)::chr8:23204009-23204021(-) cggaggaagtcg >mm10_chr8:23204035-23204046(-)::chr8:23204034-23204046(-) tcaaggaagatc >mm10_chr8:23237915-23237926(-)::chr8:23237914-23237926(-) GGAAGGAACTGG >mm10_chr8:23237919-23237930(-)::chr8:23237918-23237930(-) GGAAGGAAGGAA >mm10_chr8:23237923-23237934(-)::chr8:23237922-23237934(-) TAAAGGAAGGAA >mm10_chr8:23282360-23282371(+)::chr8:23282359-23282371(+) ACAAGGAAGGTA >mm10_chr8:23284560-23284571(+)::chr8:23284559-23284571(+) ACCAGGAAATTC >mm10_chr8:23319622-23319633(+)::chr8:23319621-23319633(+) AGCAGGAAGCAA >mm10_chr8:23325529-23325540(+)::chr8:23325528-23325540(+) aagaggaagagg >mm10_chr8:23325535-23325546(+)::chr8:23325534-23325546(+) aagaggaagagg >mm10_chr8:23325541-23325552(+)::chr8:23325540-23325552(+) aagaggaagggg >mm10_chr8:23425584-23425595(-)::chr8:23425583-23425595(-) ACCAGGAAGTCC >mm10_chr8:23432116-23432127(-)::chr8:23432115-23432127(-) CCAAGGAAGTAG >mm10_chr8:23436843-23436854(+)::chr8:23436842-23436854(+) AGAAGGAAGCAG >mm10_chr8:23438032-23438043(-)::chr8:23438031-23438043(-) ataaggaacaaa >mm10_chr8:23438040-23438051(-)::chr8:23438039-23438051(-) aacaggaaataa >mm10_chr8:23485881-23485892(+)::chr8:23485880-23485892(+) TATAGGAAGAAT >mm10_chr8:23486047-23486058(+)::chr8:23486046-23486058(+) CGGAGGAAGGGT >mm10_chr8:23505057-23505068(+)::chr8:23505056-23505068(+) AGAAGGAAGAAG >mm10_chr8:23505078-23505089(+)::chr8:23505077-23505089(+) GAAAGGAAGAAG >mm10_chr8:23509314-23509325(-)::chr8:23509313-23509325(-) GGAAGGAAGGGT >mm10_chr8:23509318-23509329(-)::chr8:23509317-23509329(-) AAGAGGAAGGAA >mm10_chr8:23605687-23605698(-)::chr8:23605686-23605698(-) ATGAGGAAGTGC >mm10_chr8:23636325-23636336(-)::chr8:23636324-23636336(-) GGAAGGTAGTAT >mm10_chr8:23636329-23636340(-)::chr8:23636328-23636340(-) GGAAGGAAGGTA >mm10_chr8:23636333-23636344(-)::chr8:23636332-23636344(-) GGAAGGAAGGAA >mm10_chr8:23636337-23636348(-)::chr8:23636336-23636348(-) AGCAGGAAGGAA >mm10_chr8:23648652-23648663(+)::chr8:23648651-23648663(+) AGGAGGAAGAAG >mm10_chr8:23648659-23648670(+)::chr8:23648658-23648670(+) AGAAGGAACTGA >mm10_chr8:23659811-23659822(+)::chr8:23659810-23659822(+) aggaggaagagg >mm10_chr8:23659823-23659834(+)::chr8:23659822-23659834(+) aaaaggaagaag >mm10_chr8:23659844-23659855(+)::chr8:23659843-23659855(+) aggaggaagaga >mm10_chr8:23668702-23668713(-)::chr8:23668701-23668713(-) aggaggaagaga >mm10_chr8:23668714-23668725(-)::chr8:23668713-23668725(-) agaaggaagaga >mm10_chr8:23668769-23668780(+)::chr8:23668768-23668780(+) AGAAGGAAGTAG >mm10_chr8:23690225-23690236(-)::chr8:23690224-23690236(-) ATGAGGAAGGAG >mm10_chr8:23898675-23898686(+)::chr8:23898674-23898686(+) ccagggaagtat >mm10_chr8:24017962-24017973(+)::chr8:24017961-24017973(+) AAACGGAAGTGC >mm10_chr8:24189740-24189751(+)::chr8:24189739-24189751(+) AGGAGGAAGGAG >mm10_chr8:24189754-24189765(+)::chr8:24189753-24189765(+) AGCAGGAAATGA >mm10_chr8:24419176-24419187(+)::chr8:24419175-24419187(+) ACCAGGAAGTGA >mm10_chr8:24420587-24420598(-)::chr8:24420586-24420598(-) GACAGGAAATGA >mm10_chr8:24427592-24427603(-)::chr8:24427591-24427603(-) TTCAGGAAGTCC >mm10_chr8:24427837-24427848(-)::chr8:24427836-24427848(-) AGCAGGAAATGC >mm10_chr8:24535207-24535218(-)::chr8:24535206-24535218(-) TGGAGGAAGTGC >mm10_chr8:24547241-24547252(+)::chr8:24547240-24547252(+) TGGAGGAAGAGA >mm10_chr8:24931679-24931690(+)::chr8:24931678-24931690(+) ggcaggaaataa >mm10_chr8:25005715-25005726(-)::chr8:25005714-25005726(-) TGGAGGAAGTTG >mm10_chr8:25009786-25009797(-)::chr8:25009785-25009797(-) ATCAGGAAGTTG >mm10_chr8:25013002-25013013(+)::chr8:25013001-25013013(+) acaaggaaaagg >mm10_chr8:25013008-25013019(+)::chr8:25013007-25013019(+) aaaaggaaggaa >mm10_chr8:25052486-25052497(-)::chr8:25052485-25052497(-) TGTAGGAAATAA >mm10_chr8:25083363-25083374(-)::chr8:25083362-25083374(-) AGGAGGAAGTTC >mm10_chr8:25087722-25087733(+)::chr8:25087721-25087733(+) atgaggaagcta >mm10_chr8:25087780-25087791(-)::chr8:25087779-25087791(-) atcaggaagtca >mm10_chr8:25089714-25089725(+)::chr8:25089713-25089725(+) ATGAGGAAGTAG >mm10_chr8:25103118-25103129(-)::chr8:25103117-25103129(-) AAGAGGAAGCGC >mm10_chr8:25122233-25122244(-)::chr8:25122232-25122244(-) gtaaggaaggct >mm10_chr8:25122292-25122303(+)::chr8:25122291-25122303(+) ggaaggaaggaa >mm10_chr8:25122296-25122307(+)::chr8:25122295-25122307(+) ggaaggaaggaa >mm10_chr8:25122300-25122311(+)::chr8:25122299-25122311(+) ggaaggaaggaa >mm10_chr8:25122304-25122315(+)::chr8:25122303-25122315(+) ggaaggaaggga >mm10_chr8:25152046-25152057(+)::chr8:25152045-25152057(+) acaaggaagtaa >mm10_chr8:25152088-25152099(+)::chr8:25152087-25152099(+) gcagggaagtag >mm10_chr8:25205282-25205293(-)::chr8:25205281-25205293(-) ACAAGGAAGACT >mm10_chr8:25241413-25241424(-)::chr8:25241412-25241424(-) TGAAGGAAGGAG >mm10_chr8:25256454-25256465(+)::chr8:25256453-25256465(+) AAAAGGATGTTT >mm10_chr8:25256497-25256508(+)::chr8:25256496-25256508(+) AACAGGAAATGT >mm10_chr8:25272556-25272567(-)::chr8:25272555-25272567(-) TCAAGGAACTGG >mm10_chr8:25272584-25272595(+)::chr8:25272583-25272595(+) AGAAGGAAATCC >mm10_chr8:25354086-25354097(-)::chr8:25354085-25354097(-) GAGAGGAAGCCG >mm10_chr8:25448107-25448118(+)::chr8:25448106-25448118(+) aagaggaagtca >mm10_chr8:25448499-25448510(+)::chr8:25448498-25448510(+) AGAAGGAAAGAG >mm10_chr8:25504997-25505008(+)::chr8:25504996-25505008(+) aggaggaagagg >mm10_chr8:25505009-25505020(+)::chr8:25505008-25505020(+) aggaggaagagg >mm10_chr8:25507791-25507802(+)::chr8:25507790-25507802(+) AGGAGGAAGATA >mm10_chr8:25514751-25514762(-)::chr8:25514750-25514762(-) GGGAGGAAGCAG >mm10_chr8:25523008-25523019(+)::chr8:25523007-25523019(+) AGGAGGAAGAGC >mm10_chr8:25528353-25528364(-)::chr8:25528352-25528364(-) ccaaggaaatgg >mm10_chr8:25528399-25528410(-)::chr8:25528398-25528410(-) ATGGGGaagtgg >mm10_chr8:25543631-25543642(+)::chr8:25543630-25543642(+) ACCAGGAAGTGT >mm10_chr8:25584413-25584424(+)::chr8:25584412-25584424(+) agagggaaatac >mm10_chr8:25584480-25584491(-)::chr8:25584479-25584491(-) ggaaggaagatg >mm10_chr8:25584484-25584495(-)::chr8:25584483-25584495(-) ggaaggaaggaa >mm10_chr8:25584488-25584499(-)::chr8:25584487-25584499(-) tgtaggaaggaa >mm10_chr8:25584843-25584854(+)::chr8:25584842-25584854(+) attaggaagtgt >mm10_chr8:25601460-25601471(-)::chr8:25601459-25601471(-) GAGAGGAAGGGA >mm10_chr8:25601651-25601662(+)::chr8:25601650-25601662(+) GGCAGGAAGAGG >mm10_chr8:25602125-25602136(-)::chr8:25602124-25602136(-) AGGAGGAAGAGG >mm10_chr8:25720351-25720362(-)::chr8:25720350-25720362(-) GTGGGGAAGTAT >mm10_chr8:25721733-25721744(+)::chr8:25721732-25721744(+) ACGAGGATGTGG >mm10_chr8:25745177-25745188(+)::chr8:25745176-25745188(+) aGGAGGAAGTGA >mm10_chr8:25745998-25746009(+)::chr8:25745997-25746009(+) aggaggaagttc >mm10_chr8:25746034-25746045(+)::chr8:25746033-25746045(+) tgaaggaagaca >mm10_chr8:25785524-25785535(+)::chr8:25785523-25785535(+) TACCGGAAGTGG >mm10_chr8:25806228-25806239(-)::chr8:25806227-25806239(-) CTCAGGAAGTGG >mm10_chr8:25840922-25840933(+)::chr8:25840921-25840933(+) gaaaggaagaaa >mm10_chr8:25847662-25847673(-)::chr8:25847661-25847673(-) agaaggaagaag >mm10_chr8:25848557-25848568(+)::chr8:25848556-25848568(+) TCCAGGAAATAG >mm10_chr8:25953140-25953151(+)::chr8:25953139-25953151(+) AACGGGAAGTGA >mm10_chr8:25978222-25978233(+)::chr8:25978221-25978233(+) AGGAGGAAGAGG >mm10_chr8:25992938-25992949(+)::chr8:25992937-25992949(+) gccaggaagtaa >mm10_chr8:26015212-26015223(+)::chr8:26015211-26015223(+) CCACGGAAGTGT >mm10_chr8:26023841-26023852(-)::chr8:26023840-26023852(-) TTAAGGAAATAG >mm10_chr8:26023882-26023893(-)::chr8:26023881-26023893(-) AGAAGGAAGCAG >mm10_chr8:26024406-26024417(-)::chr8:26024405-26024417(-) AAAAGGAAATCG >mm10_chr8:26024473-26024484(-)::chr8:26024472-26024484(-) AGAAGGAAACAA >mm10_chr8:26106643-26106654(+)::chr8:26106642-26106654(+) ATAAGGAAGATG >mm10_chr8:26158076-26158087(-)::chr8:26158075-26158087(-) GTGCGGAAGTGG >mm10_chr8:26158892-26158903(+)::chr8:26158891-26158903(+) GGGAGGAAGTCG >mm10_chr8:26227882-26227893(-)::chr8:26227881-26227893(-) AGCAGGAAGATC >mm10_chr8:26314250-26314261(+)::chr8:26314249-26314261(+) agtaggaagaga >mm10_chr8:26314309-26314320(-)::chr8:26314308-26314320(-) gaaaggaaatgg >mm10_chr8:26332993-26333004(+)::chr8:26332992-26333004(+) ACAAGGAAGAAA >mm10_chr8:26333018-26333029(+)::chr8:26333017-26333029(+) ATGAGGAAATAC >mm10_chr8:26478023-26478034(+)::chr8:26478022-26478034(+) ATAAGGAAAGAA >mm10_chr8:26478078-26478089(+)::chr8:26478077-26478089(+) tacaggaaatcg >mm10_chr8:26485548-26485559(+)::chr8:26485547-26485559(+) ATAAAGAAGTCA >mm10_chr8:26488078-26488089(+)::chr8:26488077-26488089(+) AGAAGGAAATGC >mm10_chr8:26488089-26488100(-)::chr8:26488088-26488100(-) GACAGGAAGGTG >mm10_chr8:26511225-26511236(-)::chr8:26511224-26511236(-) agcaggatgtga >mm10_chr8:26511341-26511352(-)::chr8:26511340-26511352(-) aagaggaagagg >mm10_chr8:26511347-26511358(-)::chr8:26511346-26511358(-) aggaggaagagg >mm10_chr8:26511356-26511367(-)::chr8:26511355-26511367(-) aggaggaagagg >mm10_chr8:26511371-26511382(-)::chr8:26511370-26511382(-) aagaggaagagg >mm10_chr8:26511377-26511388(-)::chr8:26511376-26511388(-) agaaggaagagg >mm10_chr8:26511393-26511404(-)::chr8:26511392-26511404(-) agaaggaagcag >mm10_chr8:26531414-26531425(+)::chr8:26531413-26531425(+) AATAGGAAGGGA >mm10_chr8:26650176-26650187(-)::chr8:26650175-26650187(-) ACAAGGAAGACT >mm10_chr8:26698662-26698673(-)::chr8:26698661-26698673(-) GAAAGGCAGTAA >mm10_chr8:26711818-26711829(-)::chr8:26711817-26711829(-) GGGAGGAAGTGA >mm10_chr8:26724732-26724743(-)::chr8:26724731-26724743(-) TACAGGAAGCAA >mm10_chr8:26725692-26725703(+)::chr8:26725691-26725703(+) acaaggaagtaa >mm10_chr8:26766060-26766071(+)::chr8:26766059-26766071(+) AGGAGGAAGTAG >mm10_chr8:26768339-26768350(+)::chr8:26768338-26768350(+) ATAGGGAAGTAT >mm10_chr8:26834468-26834479(+)::chr8:26834467-26834479(+) AGAAGGAAGAGG >mm10_chr8:26834482-26834493(+)::chr8:26834481-26834493(+) ACAAGGAAGGGA >mm10_chr8:26834503-26834514(+)::chr8:26834502-26834514(+) AGCAGGACGTGG >mm10_chr8:26872134-26872145(-)::chr8:26872133-26872145(-) atgaggaagtga >mm10_chr8:26880414-26880425(+)::chr8:26880413-26880425(+) TTAAGGAAGAGC >mm10_chr8:26907733-26907744(+)::chr8:26907732-26907744(+) AGAAGGAAGTAG >mm10_chr8:26907764-26907775(+)::chr8:26907763-26907775(+) GAGAGGAAGTCT >mm10_chr8:26910434-26910445(-)::chr8:26910433-26910445(-) AGAAGGAAGATG >mm10_chr8:26910441-26910452(-)::chr8:26910440-26910452(-) AAGAGGAAGAAG >mm10_chr8:26961902-26961913(-)::chr8:26961901-26961913(-) ggaaggaAGGGG >mm10_chr8:26961927-26961938(-)::chr8:26961926-26961938(-) agaaggaagtag >mm10_chr8:26961934-26961945(-)::chr8:26961933-26961945(-) agaaggaagaag >mm10_chr8:26964114-26964125(+)::chr8:26964113-26964125(+) aacaggaagaga >mm10_chr8:26981803-26981814(+)::chr8:26981802-26981814(+) ACCAGGAAGGAG >mm10_chr8:26981837-26981848(-)::chr8:26981836-26981848(-) AGAAGGAAGGCT >mm10_chr8:26985023-26985034(+)::chr8:26985022-26985034(+) AGAAGGAAGGAA >mm10_chr8:26985027-26985038(+)::chr8:26985026-26985038(+) GGAAGGAAATGG >mm10_chr8:27018547-27018558(+)::chr8:27018546-27018558(+) aggaggaagttc >mm10_chr8:27023091-27023102(-)::chr8:27023090-27023102(-) ACCAGGAAGAAA >mm10_chr8:27023819-27023830(+)::chr8:27023818-27023830(+) GGAAGGAAGTAG >mm10_chr8:27039071-27039082(-)::chr8:27039070-27039082(-) ACAAGGAAGTAG >mm10_chr8:27039107-27039118(-)::chr8:27039106-27039118(-) ATAAGGAAACAA >mm10_chr8:27039147-27039158(-)::chr8:27039146-27039158(-) GAATGGAAGTAC >mm10_chr8:27043392-27043403(+)::chr8:27043391-27043403(+) GGAAGGAAATTA >mm10_chr8:27043426-27043437(-)::chr8:27043425-27043437(-) ACCAGGAAGGTG >mm10_chr8:27087872-27087883(-)::chr8:27087871-27087883(-) AGCAGGAAGGGC >mm10_chr8:27087902-27087913(+)::chr8:27087901-27087913(+) CTAAGGAAGGGC >mm10_chr8:27159320-27159331(+)::chr8:27159319-27159331(+) TTAAGGAAATGA >mm10_chr8:27159352-27159363(-)::chr8:27159351-27159363(-) GAGAGGAAGTTG >mm10_chr8:27173044-27173055(+)::chr8:27173043-27173055(+) ACCAGGAAGGAA >mm10_chr8:27173048-27173059(+)::chr8:27173047-27173059(+) GGAAGGAACATA >mm10_chr8:27177467-27177478(+)::chr8:27177466-27177478(+) AGCAGGAAGGCT >mm10_chr8:27188416-27188427(-)::chr8:27188415-27188427(-) TGAAGGAAGAAA >mm10_chr8:27188436-27188447(-)::chr8:27188435-27188447(-) ACCAGGAAGTAG >mm10_chr8:27192422-27192433(+)::chr8:27192421-27192433(+) AGCAGGAAGTAC >mm10_chr8:27192466-27192477(+)::chr8:27192465-27192477(+) GGCAGGAAGTAT >mm10_chr8:27224370-27224381(+)::chr8:27224369-27224381(+) TGGAGGAAGGAC >mm10_chr8:27240585-27240596(-)::chr8:27240584-27240596(-) aacaggaagacc >mm10_chr8:27261174-27261185(+)::chr8:27261173-27261185(+) ATGAGGAAGAGG >mm10_chr8:27278636-27278647(+)::chr8:27278635-27278647(+) ACTAGGAAGCTA >mm10_chr8:27278695-27278706(-)::chr8:27278694-27278706(-) ACCAGGAAGGAG >mm10_chr8:27280333-27280344(-)::chr8:27280332-27280344(-) TGCGGGAAGTGA >mm10_chr8:27360765-27360776(-)::chr8:27360764-27360776(-) acacggaagttc >mm10_chr8:27427572-27427583(-)::chr8:27427571-27427583(-) ACAAGGAAATAG >mm10_chr8:27432839-27432850(-)::chr8:27432838-27432850(-) CTAAGGAAATGG >mm10_chr8:27434005-27434016(+)::chr8:27434004-27434016(+) agcaggaagtgt >mm10_chr8:27994506-27994517(-)::chr8:27994505-27994517(-) GCAAGGAAGGTG >mm10_chr8:28586950-28586961(+)::chr8:28586949-28586961(+) ATGAGGAAGGGG >mm10_chr8:29189101-29189112(-)::chr8:29189100-29189112(-) GCAGGGAAGCGA >mm10_chr8:29482891-29482902(-)::chr8:29482890-29482902(-) tcaagaaagtac >mm10_chr8:31032138-31032149(+)::chr8:31032137-31032149(+) TGAGGGAAGTTG >mm10_chr8:31093828-31093839(-)::chr8:31093827-31093839(-) GTGAGGAAGTGC >mm10_chr8:31106370-31106381(-)::chr8:31106369-31106381(-) AGCAGGAAGGAA >mm10_chr8:31122602-31122613(-)::chr8:31122601-31122613(-) GACAGGAAGTCA >mm10_chr8:31122611-31122622(+)::chr8:31122610-31122622(+) GTCAGGAAGGAT >mm10_chr8:31150274-31150285(+)::chr8:31150273-31150285(+) TGGCGGAAGTTA >mm10_chr8:31150316-31150327(+)::chr8:31150315-31150327(+) GGCCGGAAGTTG >mm10_chr8:31182105-31182116(+)::chr8:31182104-31182116(+) AGGAGGAAGGCA >mm10_chr8:31215260-31215271(-)::chr8:31215259-31215271(-) ACAAGGAACTCT >mm10_chr8:31877537-31877548(+)::chr8:31877536-31877548(+) AAGAGGAAGAAA >mm10_chr8:32099113-32099124(-)::chr8:32099112-32099124(-) TAAAGGAAGTTA >mm10_chr8:32099138-32099149(-)::chr8:32099137-32099149(-) TCAAGGAACTGT >mm10_chr8:32099172-32099183(+)::chr8:32099171-32099183(+) AAAAGGAAGAGA >mm10_chr8:32143917-32143928(+)::chr8:32143916-32143928(+) Gggaggaagagg >mm10_chr8:32143923-32143934(+)::chr8:32143922-32143934(+) aagaggaagagg >mm10_chr8:32143929-32143940(+)::chr8:32143928-32143940(+) aagaggaagagg >mm10_chr8:32143935-32143946(+)::chr8:32143934-32143946(+) aagaggaagagg >mm10_chr8:32143941-32143952(+)::chr8:32143940-32143952(+) aagaggaagaaa >mm10_chr8:32172877-32172888(+)::chr8:32172876-32172888(+) AAGAGGAAGTCT >mm10_chr8:32284941-32284952(+)::chr8:32284940-32284952(+) AACAGGAAATAT >mm10_chr8:32566425-32566436(+)::chr8:32566424-32566436(+) AGAAGGAAACAT >mm10_chr8:33000835-33000846(-)::chr8:33000834-33000846(-) CCAAGGAAATGC >mm10_chr8:33138894-33138905(-)::chr8:33138893-33138905(-) AGAAGGAAAAAA >mm10_chr8:33138901-33138912(-)::chr8:33138900-33138912(-) TCAAGGAAGAAG >mm10_chr8:33320499-33320510(-)::chr8:33320498-33320510(-) ACACGGAAATGA >mm10_chr8:33320512-33320523(-)::chr8:33320511-33320523(-) AGGAGGAAGATG >mm10_chr8:33320525-33320536(+)::chr8:33320524-33320536(+) AGGAGGAAGACG >mm10_chr8:33443731-33443742(+)::chr8:33443730-33443742(+) AGGAGGAAGTTG >mm10_chr8:33549419-33549430(+)::chr8:33549418-33549430(+) ACAAGGAAATGC >mm10_chr8:33655404-33655415(+)::chr8:33655403-33655415(+) TACAGGAAGTAA >mm10_chr8:33660826-33660837(+)::chr8:33660825-33660837(+) TAAAGGAAGTTC >mm10_chr8:33660836-33660847(-)::chr8:33660835-33660847(-) TAAAGGAAGTGA >mm10_chr8:33680692-33680703(-)::chr8:33680691-33680703(-) TAGAGGAAGAGC >mm10_chr8:33682154-33682165(+)::chr8:33682153-33682165(+) aacaggaagtgt >mm10_chr8:33731909-33731920(+)::chr8:33731908-33731920(+) ACCAGGAAGTGG >mm10_chr8:33769738-33769749(-)::chr8:33769737-33769749(-) aggaggaagcgt >mm10_chr8:33785078-33785089(-)::chr8:33785077-33785089(-) AAAAGGAAGTGG >mm10_chr8:33816848-33816859(+)::chr8:33816847-33816859(+) ATGAGGAAGTGG >mm10_chr8:33898972-33898983(+)::chr8:33898971-33898983(+) AAGAGGAAGTGC >mm10_chr8:33899026-33899037(+)::chr8:33899025-33899037(+) GCAATGAAGTAT >mm10_chr8:33899365-33899376(+)::chr8:33899364-33899376(+) TGCAGGAAGATG >mm10_chr8:33902783-33902794(+)::chr8:33902782-33902794(+) ATAAGGAAATCA >mm10_chr8:33917246-33917257(+)::chr8:33917245-33917257(+) ACAAGGAAGCTA >mm10_chr8:33981830-33981841(-)::chr8:33981829-33981841(-) atgaggaaatgt >mm10_chr8:33992916-33992927(+)::chr8:33992915-33992927(+) ACAAGGAAGGGA >mm10_chr8:34108736-34108747(-)::chr8:34108735-34108747(-) GGGAGGAAGTTA >mm10_chr8:34199166-34199177(-)::chr8:34199165-34199177(-) CAAAGGAAGTGA >mm10_chr8:34199218-34199229(-)::chr8:34199217-34199229(-) AGGAGGAAGATG >mm10_chr8:34216457-34216468(-)::chr8:34216456-34216468(-) GGCAGGAAGTTT >mm10_chr8:34216482-34216493(+)::chr8:34216481-34216493(+) AGCAGGAAATAG >mm10_chr8:34218164-34218175(+)::chr8:34218163-34218175(+) atcaggaagcag >mm10_chr8:34218183-34218194(+)::chr8:34218182-34218194(+) aacaggaactag >mm10_chr8:34218229-34218240(-)::chr8:34218228-34218240(-) agaagtaagtca >mm10_chr8:34224408-34224419(-)::chr8:34224407-34224419(-) AAAAGGAAGCAA >mm10_chr8:34255197-34255208(+)::chr8:34255196-34255208(+) tggaggaagtag >mm10_chr8:34291739-34291750(-)::chr8:34291738-34291750(-) ggcaggaagtga >mm10_chr8:34291765-34291776(-)::chr8:34291764-34291776(-) gaagggaagtca >mm10_chr8:34299772-34299783(+)::chr8:34299771-34299783(+) GTCAGGAAGGTG >mm10_chr8:34299781-34299792(+)::chr8:34299780-34299792(+) GTGAGGAAGAAG >mm10_chr8:34418847-34418858(-)::chr8:34418846-34418858(-) ATGAGGAAGTGC >mm10_chr8:34444244-34444255(+)::chr8:34444243-34444255(+) aggaggaagagg >mm10_chr8:34444266-34444277(+)::chr8:34444265-34444277(+) gcaaggaaggaa >mm10_chr8:34444270-34444281(+)::chr8:34444269-34444281(+) ggaaggaagggg >mm10_chr8:34444295-34444306(+)::chr8:34444294-34444306(+) agaaggaaggGC >mm10_chr8:34469944-34469955(+)::chr8:34469943-34469955(+) AAAAGGAAATGT >mm10_chr8:34530274-34530285(+)::chr8:34530273-34530285(+) ACAAGGAACCGT >mm10_chr8:34538329-34538340(+)::chr8:34538328-34538340(+) AAAAGGAAATAA >mm10_chr8:34539508-34539519(+)::chr8:34539507-34539519(+) ATGAGGAAGTGG >mm10_chr8:34545592-34545603(+)::chr8:34545591-34545603(+) ACGAGGAAATCA >mm10_chr8:34545606-34545617(-)::chr8:34545605-34545617(-) ACAATGAAGTCA >mm10_chr8:34572948-34572959(-)::chr8:34572947-34572959(-) AAAAGGAAGAGT >mm10_chr8:34606126-34606137(+)::chr8:34606125-34606137(+) acaaggaaaaag >mm10_chr8:34614393-34614404(-)::chr8:34614392-34614404(-) GCAAGGATGTGC >mm10_chr8:34622930-34622941(-)::chr8:34622929-34622941(-) TTGAGGAAGCGG >mm10_chr8:34623989-34624000(-)::chr8:34623988-34624000(-) TGAAGGAAGAAT >mm10_chr8:34624021-34624032(-)::chr8:34624020-34624032(-) ATGAGGAACTAT >mm10_chr8:34662812-34662823(-)::chr8:34662811-34662823(-) ataaggaagatt >mm10_chr8:34681940-34681951(+)::chr8:34681939-34681951(+) AACAGGAAGCAT >mm10_chr8:34689925-34689936(-)::chr8:34689924-34689936(-) agaaggaagtag >mm10_chr8:34689947-34689958(-)::chr8:34689946-34689958(-) gtgaggaagaga >mm10_chr8:34690210-34690221(-)::chr8:34690209-34690221(-) GGAAGGAAGAAA >mm10_chr8:34690214-34690225(-)::chr8:34690213-34690225(-) GAGAGGAAGGAA >mm10_chr8:34690248-34690259(+)::chr8:34690247-34690259(+) AACAGGAAGACA >mm10_chr8:34692766-34692777(+)::chr8:34692765-34692777(+) GTAAGGAACGGA >mm10_chr8:34692785-34692796(-)::chr8:34692784-34692796(-) AACAGGAAGGAT >mm10_chr8:34702042-34702053(+)::chr8:34702041-34702053(+) aggaggaagagg >mm10_chr8:34702064-34702075(+)::chr8:34702063-34702075(+) aagaggaaggag >mm10_chr8:34702076-34702087(+)::chr8:34702075-34702087(+) aggaggaagagg >mm10_chr8:34702088-34702099(+)::chr8:34702087-34702099(+) aaaaggaagagg >mm10_chr8:34702094-34702105(+)::chr8:34702093-34702105(+) aagaggaagagg >mm10_chr8:34702100-34702111(+)::chr8:34702099-34702111(+) aagaggaagaga >mm10_chr8:34703595-34703606(-)::chr8:34703594-34703606(-) AGGAGGAAGCTG >mm10_chr8:34703652-34703663(-)::chr8:34703651-34703663(-) AGAAGGAAGCTG >mm10_chr8:34762837-34762848(+)::chr8:34762836-34762848(+) ATAAGGAAGTCT >mm10_chr8:34792881-34792892(+)::chr8:34792880-34792892(+) aacaggaagaca >mm10_chr8:34809906-34809917(-)::chr8:34809905-34809917(-) TCCAGGAAGAAA >mm10_chr8:34809978-34809989(-)::chr8:34809977-34809989(-) GGAAGGAAGCCT >mm10_chr8:34810621-34810632(+)::chr8:34810620-34810632(+) AGAAGGAAATGT >mm10_chr8:34812038-34812049(-)::chr8:34812037-34812049(-) GTAAGGAAATTA >mm10_chr8:34812085-34812096(+)::chr8:34812084-34812096(+) GGCCGGAAGTCA >mm10_chr8:34814541-34814552(-)::chr8:34814540-34814552(-) TGCAGGAAGGAC >mm10_chr8:34815339-34815350(+)::chr8:34815338-34815350(+) AGCAGGAAGAAC >mm10_chr8:34817895-34817906(+)::chr8:34817894-34817906(+) GTGAGGAAGTCA >mm10_chr8:34849176-34849187(-)::chr8:34849175-34849187(-) ACCAGGAAGGTC >mm10_chr8:34889516-34889527(+)::chr8:34889515-34889527(+) ACCAGGAAGAGG >mm10_chr8:34904275-34904286(+)::chr8:34904274-34904286(+) aagaggaagagg >mm10_chr8:34929697-34929708(-)::chr8:34929696-34929708(-) TTGAGGAAGTCT >mm10_chr8:34929715-34929726(+)::chr8:34929714-34929726(+) AAGCGGAAGTGT >mm10_chr8:34945301-34945312(+)::chr8:34945300-34945312(+) gaagggaagtag >mm10_chr8:34945319-34945330(+)::chr8:34945318-34945330(+) aacaggaagtga >mm10_chr8:34965716-34965727(-)::chr8:34965715-34965727(-) ATCCGGAAGTGA >mm10_chr8:35068389-35068400(-)::chr8:35068388-35068400(-) aaggggaagtaa >mm10_chr8:35068422-35068433(-)::chr8:35068421-35068433(-) atgaggaagcag >mm10_chr8:35098161-35098172(-)::chr8:35098160-35098172(-) GGCAGGAAGACC >mm10_chr8:35303059-35303070(-)::chr8:35303058-35303070(-) ACAAGGATGTAG >mm10_chr8:35365294-35365305(+)::chr8:35365293-35365305(+) aggaggaagagg >mm10_chr8:35365300-35365311(+)::chr8:35365299-35365311(+) aagaggaagaga >mm10_chr8:35365312-35365323(+)::chr8:35365311-35365323(+) aaaaggaagagg >mm10_chr8:35365318-35365329(+)::chr8:35365317-35365329(+) aagaggaagagg >mm10_chr8:35365342-35365353(+)::chr8:35365341-35365353(+) agaaggaaggag >mm10_chr8:35380047-35380058(-)::chr8:35380046-35380058(-) ATCAGGAAGAAA >mm10_chr8:35380086-35380097(+)::chr8:35380085-35380097(+) ATAAGGAAACAC >mm10_chr8:35380113-35380124(+)::chr8:35380112-35380124(+) TTAAGGAAGCAT >mm10_chr8:35455199-35455210(-)::chr8:35455198-35455210(-) ACCAGGAAGTCA >mm10_chr8:35482156-35482167(+)::chr8:35482155-35482167(+) ttaaggaagtat >mm10_chr8:35495515-35495526(-)::chr8:35495514-35495526(-) TCCCGGAAGTAG >mm10_chr8:35508545-35508556(+)::chr8:35508544-35508556(+) tggaggaagtag >mm10_chr8:35508608-35508619(-)::chr8:35508607-35508619(-) aacaggaagtag >mm10_chr8:35516856-35516867(+)::chr8:35516855-35516867(+) AGAAGGAAGGGC >mm10_chr8:35531082-35531093(+)::chr8:35531081-35531093(+) aagaggaagagg >mm10_chr8:35531105-35531116(+)::chr8:35531104-35531116(+) aggaggaagaTG >mm10_chr8:35537771-35537782(+)::chr8:35537770-35537782(+) accaggaagaaa >mm10_chr8:35537796-35537807(+)::chr8:35537795-35537807(+) ggaaggaacggc >mm10_chr8:35545556-35545567(-)::chr8:35545555-35545567(-) agaaggaagatg >mm10_chr8:35546723-35546734(+)::chr8:35546722-35546734(+) TGGAGGAAGCAA >mm10_chr8:35559023-35559034(+)::chr8:35559022-35559034(+) GCAGGGAAGTTG >mm10_chr8:35574171-35574182(+)::chr8:35574170-35574182(+) TCCAGGAAGAAA >mm10_chr8:35582191-35582202(+)::chr8:35582190-35582202(+) ACCAGGAAGCAG >mm10_chr8:35586053-35586064(-)::chr8:35586052-35586064(-) tgcaggaaggga >mm10_chr8:35586065-35586076(-)::chr8:35586064-35586076(-) ggaaggaaggaa >mm10_chr8:35586075-35586086(-)::chr8:35586074-35586086(-) ggaaggaagggg >mm10_chr8:35586079-35586090(-)::chr8:35586078-35586090(-) ggaaggaaggaa >mm10_chr8:35586083-35586094(-)::chr8:35586082-35586094(-) ggaaggaaggaa >mm10_chr8:35586087-35586098(-)::chr8:35586086-35586098(-) ggaaggaaggaa >mm10_chr8:35586091-35586102(-)::chr8:35586090-35586102(-) ggaaggaaggaa >mm10_chr8:35586095-35586106(-)::chr8:35586094-35586106(-) aaaaggaaggaa >mm10_chr8:35586108-35586119(-)::chr8:35586107-35586119(-) ggaaggaaatgg >mm10_chr8:35586112-35586123(-)::chr8:35586111-35586123(-) agacggaaggaa >mm10_chr8:35586119-35586130(-)::chr8:35586118-35586130(-) ggaaggaagacg >mm10_chr8:35586123-35586134(-)::chr8:35586122-35586134(-) ggaaggaaggaa >mm10_chr8:35586127-35586138(-)::chr8:35586126-35586138(-) ggaaggaaggaa >mm10_chr8:35586131-35586142(-)::chr8:35586130-35586142(-) ggaaggaaggaa >mm10_chr8:35596621-35596632(-)::chr8:35596620-35596632(-) ATGAGGAAGAGC >mm10_chr8:35611966-35611977(+)::chr8:35611965-35611977(+) AGAAAGAagtga >mm10_chr8:35611979-35611990(-)::chr8:35611978-35611990(-) tataggaagtag >mm10_chr8:35612000-35612011(-)::chr8:35611999-35612011(-) aagaggaagttg >mm10_chr8:35613831-35613842(-)::chr8:35613830-35613842(-) AGTAGGAAATAC >mm10_chr8:35624775-35624786(-)::chr8:35624774-35624786(-) TAAAGGAAATGA >mm10_chr8:35624785-35624796(-)::chr8:35624784-35624796(-) TCCAGGAAGATA >mm10_chr8:35640225-35640236(+)::chr8:35640224-35640236(+) acaaggaagttt >mm10_chr8:35640692-35640703(+)::chr8:35640691-35640703(+) aggaggaagagg >mm10_chr8:35640698-35640709(+)::chr8:35640697-35640709(+) aagaggaagaag >mm10_chr8:35641119-35641130(+)::chr8:35641118-35641130(+) ACAAGGAAGAGC >mm10_chr8:35643966-35643977(-)::chr8:35643965-35643977(-) CTAAGGAAGAAG >mm10_chr8:35654498-35654509(-)::chr8:35654497-35654509(-) GAAAGGAAGTTT >mm10_chr8:35666033-35666044(-)::chr8:35666032-35666044(-) GACAGGAAGGGA >mm10_chr8:35666049-35666060(-)::chr8:35666048-35666060(-) TACAGGAAGCAC >mm10_chr8:35692699-35692710(-)::chr8:35692698-35692710(-) ACAAGGAAATGA >mm10_chr8:35701088-35701099(-)::chr8:35701087-35701099(-) AAGAGGAAGGAG >mm10_chr8:35708088-35708099(-)::chr8:35708087-35708099(-) GCCAGGAAGGGT >mm10_chr8:35750981-35750992(-)::chr8:35750980-35750992(-) agaaggaagtgt >mm10_chr8:35791983-35791994(-)::chr8:35791982-35791994(-) aataggaagtaa >mm10_chr8:35792023-35792034(-)::chr8:35792022-35792034(-) ataaggaagtaa >mm10_chr8:35792065-35792076(-)::chr8:35792064-35792076(-) ataaggaagtaa >mm10_chr8:35792682-35792693(+)::chr8:35792681-35792693(+) AACAGGAAGACA >mm10_chr8:35830323-35830334(-)::chr8:35830322-35830334(-) agcaggaagtta >mm10_chr8:35830916-35830927(-)::chr8:35830915-35830927(-) GGAAGGAAGACC >mm10_chr8:35830993-35831004(+)::chr8:35830992-35831004(+) ATGAGGAAATGG >mm10_chr8:35830993-35831004(+)::chr8:35830992-35831004(+) ATGAGGAAATGG >mm10_chr8:35854510-35854521(-)::chr8:35854509-35854521(-) AGGAGGAAGACA >mm10_chr8:35930569-35930580(-)::chr8:35930568-35930580(-) ACAAGGAAGAAA >mm10_chr8:35971291-35971302(+)::chr8:35971290-35971302(+) AGAAGGAAGTGG >mm10_chr8:35980185-35980196(+)::chr8:35980184-35980196(+) ACAAGGAAATCA >mm10_chr8:35985507-35985518(-)::chr8:35985506-35985518(-) AAGAGGAAGGGA >mm10_chr8:36006657-36006668(-)::chr8:36006656-36006668(-) ACAAGGAAAAGA >mm10_chr8:36006669-36006680(-)::chr8:36006668-36006680(-) AGAAGGAAGAAG >mm10_chr8:36026693-36026704(-)::chr8:36026692-36026704(-) CACAGGAAGTGA >mm10_chr8:36026739-36026750(-)::chr8:36026738-36026750(-) TTAAGGAAGAAG >mm10_chr8:36028770-36028781(+)::chr8:36028769-36028781(+) ATAAGGAAGTAG >mm10_chr8:36028824-36028835(+)::chr8:36028823-36028835(+) AGAAGGAAGTGA >mm10_chr8:36079616-36079627(+)::chr8:36079615-36079627(+) agaaggaaggga >mm10_chr8:36079638-36079649(+)::chr8:36079637-36079649(+) agAAGGAAGAGG >mm10_chr8:36079655-36079666(+)::chr8:36079654-36079666(+) aggaggaagtgc >mm10_chr8:36099471-36099482(-)::chr8:36099470-36099482(-) AGAAGGAAGTAA >mm10_chr8:36099478-36099489(-)::chr8:36099477-36099489(-) ATGAGGAAGAAG >mm10_chr8:36122434-36122445(+)::chr8:36122433-36122445(+) TGTAGGAAGTAA >mm10_chr8:36128257-36128268(+)::chr8:36128256-36128268(+) GGAAGGAAGAAG >mm10_chr8:36145421-36145432(-)::chr8:36145420-36145432(-) AGCAGGAAGAAA >mm10_chr8:36148254-36148265(-)::chr8:36148253-36148265(-) AACAGGAAGTTC >mm10_chr8:36251698-36251709(+)::chr8:36251697-36251709(+) ATCAGGAAGTCT >mm10_chr8:36265449-36265460(+)::chr8:36265448-36265460(+) ACAGGGAAGTAC >mm10_chr8:36265483-36265494(-)::chr8:36265482-36265494(-) AGAAGGAAGCTG >mm10_chr8:36296416-36296427(-)::chr8:36296415-36296427(-) ATCAGGAAGTAC >mm10_chr8:36308531-36308542(-)::chr8:36308530-36308542(-) AGAAGGAAAGGA >mm10_chr8:36308538-36308549(-)::chr8:36308537-36308549(-) AACAGGAAGAAG >mm10_chr8:36308588-36308599(-)::chr8:36308587-36308599(-) CTAAGGAAGAGG >mm10_chr8:36347150-36347161(-)::chr8:36347149-36347161(-) AACAGGAAATAC >mm10_chr8:36355030-36355041(+)::chr8:36355029-36355041(+) gacaggaagaga >mm10_chr8:36355105-36355116(+)::chr8:36355104-36355116(+) gagaggaagagg >mm10_chr8:36445933-36445944(+)::chr8:36445932-36445944(+) GACAGGAAGACC >mm10_chr8:36455121-36455132(+)::chr8:36455120-36455132(+) CTGAGGAAGTCC >mm10_chr8:36482834-36482845(-)::chr8:36482833-36482845(-) ggaaggaaggGG >mm10_chr8:36482838-36482849(-)::chr8:36482837-36482849(-) agaaggaaggaa >mm10_chr8:36482868-36482879(-)::chr8:36482867-36482879(-) aaagggaagtgt >mm10_chr8:36495436-36495447(-)::chr8:36495435-36495447(-) TCAAGGAAGTCC >mm10_chr8:36504963-36504974(+)::chr8:36504962-36504974(+) ACTAGGAAATGA >mm10_chr8:36532976-36532987(+)::chr8:36532975-36532987(+) AACAGGAAGCAA >mm10_chr8:36537157-36537168(-)::chr8:36537156-36537168(-) AGAAGGAAGCAT >mm10_chr8:36603254-36603265(+)::chr8:36603253-36603265(+) AACGGGAAGTTT >mm10_chr8:36609075-36609086(-)::chr8:36609074-36609086(-) AGAAGGAAGGCT >mm10_chr8:36609088-36609099(+)::chr8:36609087-36609099(+) AGCAGGAAGAAA >mm10_chr8:36625282-36625293(-)::chr8:36625281-36625293(-) ATCAGGAAGAAA >mm10_chr8:36660008-36660019(-)::chr8:36660007-36660019(-) ACGAGGAAATGT >mm10_chr8:36685169-36685180(-)::chr8:36685168-36685180(-) AGCAGGAAGAAC >mm10_chr8:36685185-36685196(-)::chr8:36685184-36685196(-) GGAAGGAAGCAG >mm10_chr8:36685189-36685200(-)::chr8:36685188-36685200(-) AAAAGGAAGGAA >mm10_chr8:36685578-36685589(+)::chr8:36685577-36685589(+) AGACGGAAATGT >mm10_chr8:36739401-36739412(+)::chr8:36739400-36739412(+) acaaggaagagg >mm10_chr8:36739407-36739418(+)::chr8:36739406-36739418(+) aagaggaaggaa >mm10_chr8:36739932-36739943(-)::chr8:36739931-36739943(-) ATCAGGAAGAGT >mm10_chr8:36746016-36746027(-)::chr8:36746015-36746027(-) CTAAGGAAGTGT >mm10_chr8:36756351-36756362(+)::chr8:36756350-36756362(+) GAAAGGAAGCTG >mm10_chr8:36758668-36758679(+)::chr8:36758667-36758679(+) ATGAGGAAGTTG >mm10_chr8:36762019-36762030(+)::chr8:36762018-36762030(+) AAGAGGAAGAGG >mm10_chr8:36762031-36762042(+)::chr8:36762030-36762042(+) AAAAGGAAGAGG >mm10_chr8:36762037-36762048(+)::chr8:36762036-36762048(+) AAGAGGAAGGAG >mm10_chr8:36762082-36762093(-)::chr8:36762081-36762093(-) GGAAGGAATTCG >mm10_chr8:36812556-36812567(+)::chr8:36812555-36812567(+) TAGAGGAAATGA >mm10_chr8:36812574-36812585(-)::chr8:36812573-36812585(-) aggaggaagtag >mm10_chr8:36820852-36820863(-)::chr8:36820851-36820863(-) TTAAGGAAGGAT >mm10_chr8:36821286-36821297(-)::chr8:36821285-36821297(-) ATAGGGAAGTCA >mm10_chr8:36830390-36830401(-)::chr8:36830389-36830401(-) GGAAGGAAGACG >mm10_chr8:36830394-36830405(-)::chr8:36830393-36830405(-) TACAGGAAGGAA >mm10_chr8:36830419-36830430(-)::chr8:36830418-36830430(-) TCCAGGAAGTAC >mm10_chr8:36858143-36858154(-)::chr8:36858142-36858154(-) ACCAGGAAGAAC >mm10_chr8:36868222-36868233(+)::chr8:36868221-36868233(+) AGTAGGAAATAT >mm10_chr8:36908900-36908911(+)::chr8:36908899-36908911(+) acaaggaagcaa >mm10_chr8:36922590-36922601(-)::chr8:36922589-36922601(-) CACAGGAAGTGG >mm10_chr8:36953505-36953516(-)::chr8:36953504-36953516(-) ACAAGGAAGCTA >mm10_chr8:36994362-36994373(-)::chr8:36994361-36994373(-) CTCAGGAAGTCA >mm10_chr8:37013766-37013777(-)::chr8:37013765-37013777(-) ggcaggaaggac >mm10_chr8:37013782-37013793(+)::chr8:37013781-37013793(+) tacaggaagtgg >mm10_chr8:37013794-37013805(-)::chr8:37013793-37013805(-) atcaggaagtag >mm10_chr8:37062365-37062376(+)::chr8:37062364-37062376(+) GGGAGGAAGAGA >mm10_chr8:37195733-37195744(+)::chr8:37195732-37195744(+) TGTAGGAAGTGA >mm10_chr8:37215262-37215273(-)::chr8:37215261-37215273(-) aggaggaactaa >mm10_chr8:37215675-37215686(+)::chr8:37215674-37215686(+) TTAAGGAAGTAA >mm10_chr8:37215683-37215694(+)::chr8:37215682-37215694(+) GTAAGGAAGTAG >mm10_chr8:37215690-37215701(+)::chr8:37215689-37215701(+) AGTAGGAAGGGT >mm10_chr8:37224834-37224845(+)::chr8:37224833-37224845(+) AAAGGGAAGTAA >mm10_chr8:37224846-37224857(+)::chr8:37224845-37224857(+) GCAGGGAAGTAA >mm10_chr8:37291604-37291615(+)::chr8:37291603-37291615(+) ATAAGGAAGGCT >mm10_chr8:37291642-37291653(-)::chr8:37291641-37291653(-) ACGAGGAAGTGT >mm10_chr8:37420969-37420980(-)::chr8:37420968-37420980(-) TCAAGGAAGTGG >mm10_chr8:37473968-37473979(+)::chr8:37473967-37473979(+) gacaggAAGTTC >mm10_chr8:37527203-37527214(+)::chr8:37527202-37527214(+) TGCAGGAAGAAT >mm10_chr8:39060106-39060117(+)::chr8:39060105-39060117(+) atgaggaagtgt >mm10_chr8:39088989-39089000(+)::chr8:39088988-39089000(+) TCAGGGAAGTAG >mm10_chr8:39089020-39089031(-)::chr8:39089019-39089031(-) TGAAGGAAGTGT >mm10_chr8:40064997-40065008(+)::chr8:40064996-40065008(+) gtgaggaagagg >mm10_chr8:40107107-40107118(-)::chr8:40107106-40107118(-) ttaagaaagtag >mm10_chr8:40115801-40115812(-)::chr8:40115800-40115812(-) CGAAGGATGTGT >mm10_chr8:40339203-40339214(-)::chr8:40339202-40339214(-) GCCAGGAAGTTA >mm10_chr8:40403180-40403191(-)::chr8:40403179-40403191(-) AAAAGGAAATAT >mm10_chr8:40449494-40449505(-)::chr8:40449493-40449505(-) AGCAGGAAGTCA >mm10_chr8:40460987-40460998(+)::chr8:40460986-40460998(+) aggaggaagaga >mm10_chr8:40461011-40461022(+)::chr8:40461010-40461022(+) agaaggaagagg >mm10_chr8:40461017-40461028(+)::chr8:40461016-40461028(+) aagaggaagagA >mm10_chr8:40461052-40461063(+)::chr8:40461051-40461063(+) GACAGGAAGGAG >mm10_chr8:40542717-40542728(-)::chr8:40542716-40542728(-) ataaggaacacg >mm10_chr8:40584900-40584911(-)::chr8:40584899-40584911(-) TGGAGGAAGTGT >mm10_chr8:40849149-40849160(+)::chr8:40849148-40849160(+) ACAAGGAGGTGA >mm10_chr8:40849190-40849201(-)::chr8:40849189-40849201(-) AGAAGGAAATTG >mm10_chr8:40851097-40851108(-)::chr8:40851096-40851108(-) AACAGGAAGAGT >mm10_chr8:40851148-40851159(-)::chr8:40851147-40851159(-) ATAAGGAACTGT >mm10_chr8:40863404-40863415(-)::chr8:40863403-40863415(-) CCAAGGAAGTCA >mm10_chr8:40881167-40881178(+)::chr8:40881166-40881178(+) ACAAGGAAGAAA >mm10_chr8:40890964-40890975(+)::chr8:40890963-40890975(+) AGGAGGAAGCAG >mm10_chr8:40921566-40921577(+)::chr8:40921565-40921577(+) TGAAGGAAGTGG >mm10_chr8:40921616-40921627(-)::chr8:40921615-40921627(-) TGCAGGAAATAC >mm10_chr8:40995024-40995035(+)::chr8:40995023-40995035(+) AGAAGGAAGCGg >mm10_chr8:40995046-40995057(+)::chr8:40995045-40995057(+) aggaggaagggg >mm10_chr8:40995086-40995097(+)::chr8:40995085-40995097(+) gggaggaaggaa >mm10_chr8:41016299-41016310(+)::chr8:41016298-41016310(+) ACTAGGAAGTGA >mm10_chr8:41016336-41016347(-)::chr8:41016335-41016347(-) CTCAGGAAGTGC >mm10_chr8:41017568-41017579(+)::chr8:41017567-41017579(+) ACAAGGAAATCT >mm10_chr8:41017601-41017612(+)::chr8:41017600-41017612(+) GAAAGGAAGATG >mm10_chr8:41037402-41037413(-)::chr8:41037401-41037413(-) ATCAGGAAGCAA >mm10_chr8:41037461-41037472(-)::chr8:41037460-41037472(-) AGAAGGAAGGCC >mm10_chr8:41039692-41039703(+)::chr8:41039691-41039703(+) AGGAGGAAGTAG >mm10_chr8:41053529-41053540(+)::chr8:41053528-41053540(+) GGAAGGAAATCT >mm10_chr8:41067209-41067220(+)::chr8:41067208-41067220(+) TTAAGGAAGTCA >mm10_chr8:41076299-41076310(-)::chr8:41076298-41076310(-) AGGAGGAAGAGT >mm10_chr8:41092114-41092125(-)::chr8:41092113-41092125(-) AAGAGGAAATGG >mm10_chr8:41092120-41092131(-)::chr8:41092119-41092131(-) GTAAGGAAGAGG >mm10_chr8:41093043-41093054(-)::chr8:41093042-41093054(-) ACAAGGAAGTTA >mm10_chr8:41105062-41105073(-)::chr8:41105061-41105073(-) aaacggaagttc >mm10_chr8:41115012-41115023(-)::chr8:41115011-41115023(-) GAAAGGAAGCAA >mm10_chr8:41120695-41120706(-)::chr8:41120694-41120706(-) TACAGGAAGTTG >mm10_chr8:41154076-41154087(-)::chr8:41154075-41154087(-) GGGAGGAAGTGG >mm10_chr8:41155962-41155973(+)::chr8:41155961-41155973(+) agaaggaagGAA >mm10_chr8:41155966-41155977(+)::chr8:41155965-41155977(+) ggaagGAAGGAA >mm10_chr8:41155970-41155981(+)::chr8:41155969-41155981(+) gGAAGGAAGgtg >mm10_chr8:41155988-41155999(-)::chr8:41155987-41155999(-) acaaggaagatt >mm10_chr8:41213890-41213901(+)::chr8:41213889-41213901(+) atcaggaagtag >mm10_chr8:41239876-41239887(+)::chr8:41239875-41239887(+) TGGAGGAAGAAC >mm10_chr8:41241016-41241027(-)::chr8:41241015-41241027(-) ACCAGGAAGGTG >mm10_chr8:41321100-41321111(+)::chr8:41321099-41321111(+) agcaggaaggaa >mm10_chr8:41321104-41321115(+)::chr8:41321103-41321115(+) ggaaggaactga >mm10_chr8:41321113-41321124(+)::chr8:41321112-41321124(+) tgaaggaagtca >mm10_chr8:41359613-41359624(+)::chr8:41359612-41359624(+) TGCAGGAAGAGG >mm10_chr8:41359662-41359673(-)::chr8:41359661-41359673(-) AGAAGGAAGGAA >mm10_chr8:41359669-41359680(-)::chr8:41359668-41359680(-) CGCAGGAAGAAG >mm10_chr8:41373888-41373899(-)::chr8:41373887-41373899(-) AAGAGGAAGTAT >mm10_chr8:41417098-41417109(-)::chr8:41417097-41417109(-) AACAGGAAGCCG >mm10_chr8:41438490-41438501(-)::chr8:41438489-41438501(-) GTAGGGAAGTTC >mm10_chr8:41565785-41565796(-)::chr8:41565784-41565796(-) GAAAGGAAGACC >mm10_chr8:41806112-41806123(-)::chr8:41806111-41806123(-) aagaggaaggtg >mm10_chr8:41825161-41825172(-)::chr8:41825160-41825172(-) TTGAGGAAGTTA >mm10_chr8:41878284-41878295(-)::chr8:41878283-41878295(-) TCAAGGATGTAT >mm10_chr8:42256694-42256705(-)::chr8:42256693-42256705(-) gggaggaagggc >mm10_chr8:42475183-42475194(+)::chr8:42475182-42475194(+) ctaaggaagtgc >mm10_chr8:43408578-43408589(-)::chr8:43408577-43408589(-) GCAAGGAAGTTA >mm10_chr8:43461862-43461873(+)::chr8:43461861-43461873(+) TGGAGGAAGGAC >mm10_chr8:43461872-43461883(+)::chr8:43461871-43461883(+) ACAAGGAAGTGT >mm10_chr8:43495249-43495260(+)::chr8:43495248-43495260(+) tctaggaagttt >mm10_chr8:43727455-43727466(+)::chr8:43727454-43727466(+) ataaggaagtgg >mm10_chr8:43727506-43727517(-)::chr8:43727505-43727517(-) gaaaggaactga >mm10_chr8:43727519-43727530(-)::chr8:43727518-43727530(-) agaaggaagtga >mm10_chr8:44009459-44009470(+)::chr8:44009458-44009470(+) ACAAGGAAAGTA >mm10_chr8:44090104-44090115(+)::chr8:44090103-44090115(+) TCAAGGAAGTGG >mm10_chr8:44090118-44090129(-)::chr8:44090117-44090129(-) AGAAGGAAGTTT >mm10_chr8:44745980-44745991(+)::chr8:44745979-44745991(+) GCCAGGAAGGGC >mm10_chr8:44754571-44754582(+)::chr8:44754570-44754582(+) accaggaagcaa >mm10_chr8:44754635-44754646(+)::chr8:44754634-44754646(+) AGAAGGAAAAAC >mm10_chr8:44831016-44831027(-)::chr8:44831015-44831027(-) agcaggaagctg >mm10_chr8:44911304-44911315(-)::chr8:44911303-44911315(-) ACCAGGAAATAA >mm10_chr8:44935159-44935170(+)::chr8:44935158-44935170(+) CGAATGAAGTCG >mm10_chr8:44937604-44937615(+)::chr8:44937603-44937615(+) AGGAGGAAGTAA >mm10_chr8:44941681-44941692(+)::chr8:44941680-44941692(+) ACAAGGAAATGC >mm10_chr8:44946040-44946051(-)::chr8:44946039-44946051(-) AGCAGGAAGGTT >mm10_chr8:44971336-44971347(-)::chr8:44971335-44971347(-) GAAATGAAGTGG >mm10_chr8:44975396-44975407(+)::chr8:44975395-44975407(+) AAGAGGAAGTGG >mm10_chr8:44998878-44998889(+)::chr8:44998877-44998889(+) TATAGGAAGACA >mm10_chr8:45001042-45001053(-)::chr8:45001041-45001053(-) GCAAGGAAGGTG >mm10_chr8:45129701-45129712(-)::chr8:45129700-45129712(-) ATgaggaagaaa >mm10_chr8:45157621-45157632(-)::chr8:45157620-45157632(-) caaaggaagtag >mm10_chr8:45157655-45157666(-)::chr8:45157654-45157666(-) acaaggaagtaa >mm10_chr8:45395355-45395366(-)::chr8:45395354-45395366(-) aagaggaagagg >mm10_chr8:45395364-45395375(-)::chr8:45395363-45395375(-) aggaggaagaag >mm10_chr8:45395376-45395387(-)::chr8:45395375-45395387(-) aggaggaagtga >mm10_chr8:45395403-45395414(-)::chr8:45395402-45395414(-) aggaggaagagg >mm10_chr8:45395421-45395432(-)::chr8:45395420-45395432(-) aggaggaagcag >mm10_chr8:45411128-45411139(-)::chr8:45411127-45411139(-) CACAGGAAGTAA >mm10_chr8:45481264-45481275(-)::chr8:45481263-45481275(-) acaaggatgttg >mm10_chr8:45481277-45481288(-)::chr8:45481276-45481288(-) ACCaggaagcaa >mm10_chr8:45481313-45481324(-)::chr8:45481312-45481324(-) AACAGGAAGAGT >mm10_chr8:45521799-45521810(-)::chr8:45521798-45521810(-) accaggaagtgt >mm10_chr8:45628170-45628181(-)::chr8:45628169-45628181(-) AACAGGAAGCAG >mm10_chr8:45635369-45635380(+)::chr8:45635368-45635380(+) ACAAGGAAATGC >mm10_chr8:45660798-45660809(+)::chr8:45660797-45660809(+) TAAAGGAAGGAA >mm10_chr8:45660802-45660813(+)::chr8:45660801-45660813(+) GGAAGGAAGCCA >mm10_chr8:45661709-45661720(+)::chr8:45661708-45661720(+) CAAAGGAAGGAA >mm10_chr8:45661713-45661724(+)::chr8:45661712-45661724(+) GGAAGGAAGTCC >mm10_chr8:45710229-45710240(-)::chr8:45710228-45710240(-) ATAGGGAAGTTT >mm10_chr8:45710280-45710291(+)::chr8:45710279-45710291(+) TACGGGAAGTTA >mm10_chr8:45775018-45775029(+)::chr8:45775017-45775029(+) TCAAGGAAGCCA >mm10_chr8:45829677-45829688(+)::chr8:45829676-45829688(+) AAAGGGAAGttg >mm10_chr8:45867079-45867090(+)::chr8:45867078-45867090(+) AGCAGGAAGAGA >mm10_chr8:45905696-45905707(+)::chr8:45905695-45905707(+) AAGAGGAAGAAG >mm10_chr8:45905703-45905714(+)::chr8:45905702-45905714(+) AGAAGGAAATAG >mm10_chr8:45947026-45947037(-)::chr8:45947025-45947037(-) AAAGGGAAGTTG >mm10_chr8:45962853-45962864(+)::chr8:45962852-45962864(+) AGCAGGAAGGAA >mm10_chr8:45962892-45962903(-)::chr8:45962891-45962903(-) AGTAGGAAGGAG >mm10_chr8:46000262-46000273(-)::chr8:46000261-46000273(-) AGGAGGAAGCAC >mm10_chr8:46078621-46078632(-)::chr8:46078620-46078632(-) AGGAGGAAGTCT >mm10_chr8:46079075-46079086(-)::chr8:46079074-46079086(-) ATGAGGAAGTGC >mm10_chr8:46079097-46079108(-)::chr8:46079096-46079108(-) TAAAGGAAGCAG >mm10_chr8:46119740-46119751(+)::chr8:46119739-46119751(+) agaaggaagaag >mm10_chr8:46119747-46119758(+)::chr8:46119746-46119758(+) agaaggaagGGA >mm10_chr8:46119758-46119769(+)::chr8:46119757-46119769(+) AGAAGGAAGAGA >mm10_chr8:46130361-46130372(+)::chr8:46130360-46130372(+) aggaggaagagg >mm10_chr8:46130382-46130393(+)::chr8:46130381-46130393(+) aggaggaagagg >mm10_chr8:46130388-46130399(+)::chr8:46130387-46130399(+) aagaggaagagg >mm10_chr8:46130394-46130405(+)::chr8:46130393-46130405(+) aagaggaagagg >mm10_chr8:46130400-46130411(+)::chr8:46130399-46130411(+) aagaggaagaga >mm10_chr8:46181875-46181886(+)::chr8:46181874-46181886(+) ACCAGGAAGCAA >mm10_chr8:46197038-46197049(-)::chr8:46197037-46197049(-) TTCAGGAAGTAG >mm10_chr8:46307736-46307747(+)::chr8:46307735-46307747(+) TCAAGGAAGGAG >mm10_chr8:46307756-46307767(+)::chr8:46307755-46307767(+) AGGGGGAAGTAG >mm10_chr8:46307763-46307774(+)::chr8:46307762-46307774(+) AGTAGGAAGGCA >mm10_chr8:46310188-46310199(-)::chr8:46310187-46310199(-) AACAGGAAGCTG >mm10_chr8:46310554-46310565(+)::chr8:46310553-46310565(+) ACACGGAAGAAA >mm10_chr8:46310566-46310577(+)::chr8:46310565-46310577(+) AGAAGGAAGGAC >mm10_chr8:46313557-46313568(+)::chr8:46313556-46313568(+) ACCAGGAAGACC >mm10_chr8:46313582-46313593(+)::chr8:46313581-46313593(+) ACCAGGAAGTTA >mm10_chr8:46339474-46339485(-)::chr8:46339473-46339485(-) aagaggaagaaa >mm10_chr8:46340984-46340995(+)::chr8:46340983-46340995(+) ACAAGGAACTAA >mm10_chr8:46385923-46385934(-)::chr8:46385922-46385934(-) ctaaggaagtca >mm10_chr8:46388607-46388618(+)::chr8:46388606-46388618(+) AGcaggaaggaa >mm10_chr8:46431312-46431323(-)::chr8:46431311-46431323(-) TTAAGGAAGGGA >mm10_chr8:46451163-46451174(+)::chr8:46451162-46451174(+) GTGAGGAAGGAC >mm10_chr8:46477987-46477998(-)::chr8:46477986-46477998(-) TGACGGAAGGAA >mm10_chr8:46501178-46501189(-)::chr8:46501177-46501189(-) AGGCGGAAGTGC >mm10_chr8:46502084-46502095(-)::chr8:46502083-46502095(-) CGTAGGAAGGGA >mm10_chr8:46508782-46508793(+)::chr8:46508781-46508793(+) AGCAGGAAGGTG >mm10_chr8:46509277-46509288(-)::chr8:46509276-46509288(-) AACAGGAAGGGA >mm10_chr8:46550159-46550170(-)::chr8:46550158-46550170(-) tgcaggaagttc >mm10_chr8:46585813-46585824(-)::chr8:46585812-46585824(-) TGAAGGAAATCC >mm10_chr8:46595794-46595805(+)::chr8:46595793-46595805(+) AACAGGAAGTTG >mm10_chr8:46628097-46628108(-)::chr8:46628096-46628108(-) TAGAGGAAGTAA >mm10_chr8:46628882-46628893(-)::chr8:46628881-46628893(-) AAGAGGAAGTGA >mm10_chr8:46630516-46630527(-)::chr8:46630515-46630527(-) ACAAGGAAaagt >mm10_chr8:46639491-46639502(-)::chr8:46639490-46639502(-) ACAAGGAAGCTT >mm10_chr8:46639511-46639522(+)::chr8:46639510-46639522(+) GAAAGGAAGGAA >mm10_chr8:46639515-46639526(+)::chr8:46639514-46639526(+) GGAAGGAACTCA >mm10_chr8:46648614-46648625(-)::chr8:46648613-46648625(-) TACAGGAAGCCG >mm10_chr8:46652028-46652039(-)::chr8:46652027-46652039(-) tgaaggatgtga >mm10_chr8:46652048-46652059(-)::chr8:46652047-46652059(-) ttcaggaagtag >mm10_chr8:46652333-46652344(+)::chr8:46652332-46652344(+) CCAAGGAAGACA >mm10_chr8:46652409-46652420(-)::chr8:46652408-46652420(-) tggaggaaggga >mm10_chr8:46713870-46713881(+)::chr8:46713869-46713881(+) TCCAGGAAATAC >mm10_chr8:46717339-46717350(+)::chr8:46717338-46717350(+) ATGAGGAAGCCA >mm10_chr8:46741771-46741782(-)::chr8:46741770-46741782(-) AACAGGAAATGT >mm10_chr8:46748256-46748267(-)::chr8:46748255-46748267(-) tccaggaagtag >mm10_chr8:46755888-46755899(-)::chr8:46755887-46755899(-) aaaaggaacttg >mm10_chr8:46764877-46764888(-)::chr8:46764876-46764888(-) GGAAGGAAGCCA >mm10_chr8:46764895-46764906(-)::chr8:46764894-46764906(-) GGCAGGAAGACA >mm10_chr8:46765122-46765133(-)::chr8:46765121-46765133(-) AAGAGGAAGTCC >mm10_chr8:46770109-46770120(-)::chr8:46770108-46770120(-) AGAAGGAAGTCT >mm10_chr8:46778344-46778355(-)::chr8:46778343-46778355(-) AAGAGGAAGAAC >mm10_chr8:46790928-46790939(+)::chr8:46790927-46790939(+) gtaaggatgtgt >mm10_chr8:46792079-46792090(+)::chr8:46792078-46792090(+) GAGAGGAAGGGT >mm10_chr8:46792868-46792879(+)::chr8:46792867-46792879(+) ATGAGGAAGTAA >mm10_chr8:46792888-46792899(+)::chr8:46792887-46792899(+) ACAGGGAAGTCA >mm10_chr8:46811415-46811426(+)::chr8:46811414-46811426(+) TCCAGGAAGTGA >mm10_chr8:46817407-46817418(+)::chr8:46817406-46817418(+) TCAAGGAAGGGA >mm10_chr8:46834070-46834081(-)::chr8:46834069-46834081(-) AGCAGGAAGCTG >mm10_chr8:46834108-46834119(+)::chr8:46834107-46834119(+) AGGAGGAAGGAG >mm10_chr8:46842860-46842871(-)::chr8:46842859-46842871(-) AAGAGGAAGAAA >mm10_chr8:46845105-46845116(+)::chr8:46845104-46845116(+) GGCAGGAAGAGG >mm10_chr8:46845111-46845122(+)::chr8:46845110-46845122(+) AAGAGGAACTCG >mm10_chr8:46845131-46845142(+)::chr8:46845130-46845142(+) GCCAGGAAATGT >mm10_chr8:46845341-46845352(-)::chr8:46845340-46845352(-) AGAGGGAAGCCG >mm10_chr8:46845376-46845387(+)::chr8:46845375-46845387(+) GGCAGGAAGTGG >mm10_chr8:46849018-46849029(+)::chr8:46849017-46849029(+) ACCAGGAAGCCA >mm10_chr8:46849079-46849090(+)::chr8:46849078-46849090(+) TAGAGGAAGTGC >mm10_chr8:46863736-46863747(+)::chr8:46863735-46863747(+) atgaggaagcat >mm10_chr8:46868828-46868839(-)::chr8:46868827-46868839(-) ATGAGGAAGAGA >mm10_chr8:46870816-46870827(-)::chr8:46870815-46870827(-) ggaaggaagcca >mm10_chr8:46870820-46870831(-)::chr8:46870819-46870831(-) aacaggaaggaa >mm10_chr8:46870834-46870845(-)::chr8:46870833-46870845(-) acaaggaaaata >mm10_chr8:46881527-46881538(+)::chr8:46881526-46881538(+) CCCAGGAAGTCC >mm10_chr8:46899274-46899285(+)::chr8:46899273-46899285(+) ACAagcaagtgg >mm10_chr8:46899293-46899304(+)::chr8:46899292-46899304(+) tggaggaagttg >mm10_chr8:46899346-46899357(-)::chr8:46899345-46899357(-) tgcaggaagtgt >mm10_chr8:47026685-47026696(+)::chr8:47026684-47026696(+) aggaggaagaag >mm10_chr8:47026716-47026727(+)::chr8:47026715-47026727(+) aggaggaagggg >mm10_chr8:47026739-47026750(+)::chr8:47026738-47026750(+) aagaggaaggag >mm10_chr8:47036133-47036144(+)::chr8:47036132-47036144(+) GGAAGGAAGCCT >mm10_chr8:47036165-47036176(+)::chr8:47036164-47036176(+) TGCAGGAAGTCC >mm10_chr8:47036180-47036191(-)::chr8:47036179-47036191(-) TCAAGGAAGCCA >mm10_chr8:47048903-47048914(+)::chr8:47048902-47048914(+) AGAAGGAAGTTG >mm10_chr8:47105832-47105843(+)::chr8:47105831-47105843(+) AGGAGGAAGTGA >mm10_chr8:47243209-47243220(-)::chr8:47243208-47243220(-) AACAGGAAGAGT >mm10_chr8:47319167-47319178(+)::chr8:47319166-47319178(+) agaaggaaaaga >mm10_chr8:47319187-47319198(+)::chr8:47319186-47319198(+) aggaggaagtag >mm10_chr8:47319199-47319210(+)::chr8:47319198-47319210(+) aagaggaagagg >mm10_chr8:47319205-47319216(+)::chr8:47319204-47319216(+) aagaggaaggag >mm10_chr8:47334794-47334805(-)::chr8:47334793-47334805(-) aagaggaagagg >mm10_chr8:47367755-47367766(+)::chr8:47367754-47367766(+) aggaggaagagg >mm10_chr8:47367761-47367772(+)::chr8:47367760-47367772(+) aagaggaagagg >mm10_chr8:47367767-47367778(+)::chr8:47367766-47367778(+) aagaggaagagg >mm10_chr8:47367782-47367793(+)::chr8:47367781-47367793(+) aggaggaAGTCA >mm10_chr8:47367806-47367817(+)::chr8:47367805-47367817(+) TGAAGGAAGAAA >mm10_chr8:47367814-47367825(+)::chr8:47367813-47367825(+) GAAAGGAAGGAA >mm10_chr8:47367818-47367829(+)::chr8:47367817-47367829(+) GGAAGGAAGAAA >mm10_chr8:47412400-47412411(+)::chr8:47412399-47412411(+) GTACGGAAATGC >mm10_chr8:47446902-47446913(-)::chr8:47446901-47446913(-) AGAGGGAAGTGT >mm10_chr8:47459512-47459523(+)::chr8:47459511-47459523(+) AACAGGAAGGAA >mm10_chr8:47459554-47459565(-)::chr8:47459553-47459565(-) GCCAGGAAGTCA >mm10_chr8:47496178-47496189(+)::chr8:47496177-47496189(+) ATGAGGAAGAGG >mm10_chr8:47532819-47532830(-)::chr8:47532818-47532830(-) ATCAGGAAGCGA >mm10_chr8:47533461-47533472(-)::chr8:47533460-47533472(-) AAAAGGAAGTGT >mm10_chr8:47533496-47533507(-)::chr8:47533495-47533507(-) CCCAGGAAGTGC >mm10_chr8:47623101-47623112(+)::chr8:47623100-47623112(+) AGCAGGAAGTAG >mm10_chr8:47673483-47673494(-)::chr8:47673482-47673494(-) TGGAGGAAGTGG >mm10_chr8:47673498-47673509(+)::chr8:47673497-47673509(+) GTCCGGAAGTGA >mm10_chr8:47675657-47675668(-)::chr8:47675656-47675668(-) GGCGGGAAGTCG >mm10_chr8:47691038-47691049(-)::chr8:47691037-47691049(-) GAAAGGAAGGGG >mm10_chr8:47713134-47713145(-)::chr8:47713133-47713145(-) ACCAGGAAATGC >mm10_chr8:47713925-47713936(-)::chr8:47713924-47713936(-) CGGCGGAAGTGG >mm10_chr8:47714576-47714587(-)::chr8:47714575-47714587(-) GAGAGGAAGCGG >mm10_chr8:47743414-47743425(+)::chr8:47743413-47743425(+) GGAAGGAAGTAG >mm10_chr8:47750356-47750367(-)::chr8:47750355-47750367(-) ACAAGGAAGTAC >mm10_chr8:47754920-47754931(-)::chr8:47754919-47754931(-) AAGAGGAAGTTC >mm10_chr8:47755587-47755598(+)::chr8:47755586-47755598(+) accaggaagacc >mm10_chr8:47768978-47768989(+)::chr8:47768977-47768989(+) agcaggaagggg >mm10_chr8:47806641-47806652(+)::chr8:47806640-47806652(+) TCCAGGAAGTAA >mm10_chr8:47806694-47806705(-)::chr8:47806693-47806705(-) TTAAGGAAGCAA >mm10_chr8:47888526-47888537(+)::chr8:47888525-47888537(+) ACACGGAAGAGG >mm10_chr8:47922309-47922320(-)::chr8:47922308-47922320(-) tgaaggaagtca >mm10_chr8:47922340-47922351(+)::chr8:47922339-47922351(+) accaggaagctg >mm10_chr8:48016652-48016663(-)::chr8:48016651-48016663(-) ATGCGGAAGTAG >mm10_chr8:48110172-48110183(-)::chr8:48110171-48110183(-) GGTAGGAAGTCG >mm10_chr8:48110871-48110882(+)::chr8:48110870-48110882(+) AGGAGGAAGGAA >mm10_chr8:48126960-48126971(+)::chr8:48126959-48126971(+) ACAGGGAAGGTA >mm10_chr8:48134823-48134834(-)::chr8:48134822-48134834(-) ACAAGGAAAGGG >mm10_chr8:48153952-48153963(-)::chr8:48153951-48153963(-) GGCAGGAAGAGC >mm10_chr8:48184782-48184793(-)::chr8:48184781-48184793(-) AACAGGAAGAAA >mm10_chr8:48646209-48646220(+)::chr8:48646208-48646220(+) AGACGGAAGCGC >mm10_chr8:48669901-48669912(-)::chr8:48669900-48669912(-) AAGAGGAAGGAA >mm10_chr8:48697738-48697749(-)::chr8:48697737-48697749(-) ataaggaaggca >mm10_chr8:48817270-48817281(-)::chr8:48817269-48817281(-) AGGAGGAAGTGT >mm10_chr8:49619774-49619785(+)::chr8:49619773-49619785(+) AAGAGGAAGCAG >mm10_chr8:49692534-49692545(-)::chr8:49692533-49692545(-) aagaggaagaga >mm10_chr8:49792226-49792237(+)::chr8:49792225-49792237(+) GACAGGAAATAG >mm10_chr8:50655824-50655835(-)::chr8:50655823-50655835(-) AAAAGGAAGGTA >mm10_chr8:50810089-50810100(+)::chr8:50810088-50810100(+) aaaaggaactgc >mm10_chr8:51222160-51222171(+)::chr8:51222159-51222171(+) AACAGGAAGTAT >mm10_chr8:51987203-51987214(-)::chr8:51987202-51987214(-) AAAAGGAAGTTT >mm10_chr8:53170247-53170258(+)::chr8:53170246-53170258(+) ACACGGAAGTTT >mm10_chr8:53177419-53177430(-)::chr8:53177418-53177430(-) acaaggaagtcc >mm10_chr8:53191361-53191372(-)::chr8:53191360-53191372(-) agcaggaagttg >mm10_chr8:53505594-53505605(-)::chr8:53505593-53505605(-) AGCAGGAACTAA >mm10_chr8:53505632-53505643(-)::chr8:53505631-53505643(-) GGAAGGAAGGAA >mm10_chr8:53512128-53512139(-)::chr8:53512127-53512139(-) TGCAGGAAGCAG >mm10_chr8:53788353-53788364(-)::chr8:53788352-53788364(-) ACCAGGAAGCAG >mm10_chr8:54128037-54128048(-)::chr8:54128036-54128048(-) tcaaggaagttg >mm10_chr8:54434050-54434061(-)::chr8:54434049-54434061(-) gaaaggaaatcc >mm10_chr8:54529596-54529607(+)::chr8:54529595-54529607(+) AACAGGAAGGAA >mm10_chr8:54529600-54529611(+)::chr8:54529599-54529611(+) GGAAGGAAGGGG >mm10_chr8:55777694-55777705(-)::chr8:55777693-55777705(-) AGCAGGAAGACC >mm10_chr8:55861191-55861202(-)::chr8:55861190-55861202(-) agaaggaactcc >mm10_chr8:56067094-56067105(-)::chr8:56067093-56067105(-) GGAAGGAAGTGC >mm10_chr8:56193287-56193298(-)::chr8:56193286-56193298(-) ataaggaaaaga >mm10_chr8:56208398-56208409(+)::chr8:56208397-56208409(+) TGAAGGAAATAT >mm10_chr8:56208652-56208663(+)::chr8:56208651-56208663(+) TAAAGGAAGCTG >mm10_chr8:56208694-56208705(+)::chr8:56208693-56208705(+) AAAAGGAAGTAA >mm10_chr8:56208955-56208966(-)::chr8:56208954-56208966(-) AACAGGAAGGAT >mm10_chr8:56269972-56269983(-)::chr8:56269971-56269983(-) gaaaggaagaga >mm10_chr8:56282202-56282213(+)::chr8:56282201-56282213(+) ACAAGGAACTTT >mm10_chr8:56293511-56293522(-)::chr8:56293510-56293522(-) TCAGGGAAGTGT >mm10_chr8:56293543-56293554(-)::chr8:56293542-56293554(-) TGAAGGAAGCCT >mm10_chr8:56301460-56301471(-)::chr8:56301459-56301471(-) AAGAGGAAGGGA >mm10_chr8:56301482-56301493(-)::chr8:56301481-56301493(-) AGAAGGAAATGA >mm10_chr8:56301489-56301500(-)::chr8:56301488-56301500(-) AAGAGGAAGAAG >mm10_chr8:56301511-56301522(+)::chr8:56301510-56301522(+) CTGAGGAAGTGG >mm10_chr8:56410671-56410682(-)::chr8:56410670-56410682(-) AAGAGGAAGTAC >mm10_chr8:56412186-56412197(-)::chr8:56412185-56412197(-) GGCAGGAAGAGA >mm10_chr8:56412229-56412240(-)::chr8:56412228-56412240(-) AGGAGGAAGTAG >mm10_chr8:56436105-56436116(-)::chr8:56436104-56436116(-) GCAAGGAAGAAG >mm10_chr8:56437688-56437699(+)::chr8:56437687-56437699(+) ctaaggaagtct >mm10_chr8:56437711-56437722(+)::chr8:56437710-56437722(+) ctgaggaagtag >mm10_chr8:56450505-56450516(-)::chr8:56450504-56450516(-) GGAAGGAAGCAT >mm10_chr8:56529054-56529065(-)::chr8:56529053-56529065(-) GGAAGGAAAGTA >mm10_chr8:57429438-57429449(-)::chr8:57429437-57429449(-) AACAGGAAGTGA >mm10_chr8:57443536-57443547(-)::chr8:57443535-57443547(-) AGCAGGAAGCGG >mm10_chr8:57463027-57463038(-)::chr8:57463026-57463038(-) ATGAGGAAGTCA >mm10_chr8:57463055-57463066(-)::chr8:57463054-57463066(-) CACAGGAAGTAC >mm10_chr8:57487010-57487021(+)::chr8:57487009-57487021(+) AGGAGGAAGAGG >mm10_chr8:57530867-57530878(+)::chr8:57530866-57530878(+) gaaaggaagcag >mm10_chr8:57531152-57531163(+)::chr8:57531151-57531163(+) AACAGGAAGGCT >mm10_chr8:57541366-57541377(+)::chr8:57541365-57541377(+) ACAAGGAAGCTG >mm10_chr8:57541577-57541588(-)::chr8:57541576-57541588(-) ATGAGGAAATGA >mm10_chr8:57541632-57541643(+)::chr8:57541631-57541643(+) ACACGGAAGCAC >mm10_chr8:57592841-57592852(-)::chr8:57592840-57592852(-) aacaggaagtac >mm10_chr8:57600433-57600444(-)::chr8:57600432-57600444(-) ACAAGGAAACGC >mm10_chr8:57622983-57622994(+)::chr8:57622982-57622994(+) aggaggaagtat >mm10_chr8:57623018-57623029(-)::chr8:57623017-57623029(-) gaaaggaagcag >mm10_chr8:57653632-57653643(+)::chr8:57653631-57653643(+) TGCAGGAAGGAA >mm10_chr8:57653644-57653655(+)::chr8:57653643-57653655(+) ACCAGGAAGTCA >mm10_chr8:57725463-57725474(+)::chr8:57725462-57725474(+) ACAAGGAAGTAG >mm10_chr8:57748997-57749008(+)::chr8:57748996-57749008(+) gcaaggaaggta >mm10_chr8:57778574-57778585(+)::chr8:57778573-57778585(+) ATAAGGAAGGAG >mm10_chr8:57791798-57791809(-)::chr8:57791797-57791809(-) AGAAGGAAGTTG >mm10_chr8:57830898-57830909(-)::chr8:57830897-57830909(-) AAGAGGAAGGAA >mm10_chr8:57830909-57830920(-)::chr8:57830908-57830920(-) ACAAGGAAATGA >mm10_chr8:57970302-57970313(-)::chr8:57970301-57970313(-) AGGAGGAAGAGC >mm10_chr8:58042950-58042961(+)::chr8:58042949-58042961(+) TTCAGGAAGTTG >mm10_chr8:58043010-58043021(+)::chr8:58043009-58043021(+) GCAAGGAAGGCT >mm10_chr8:58444013-58444024(+)::chr8:58444012-58444024(+) tgaaggaagtgt >mm10_chr8:58506657-58506668(-)::chr8:58506656-58506668(-) TAAAGGAAGGAA >mm10_chr8:58605134-58605145(-)::chr8:58605133-58605145(-) ttcaggaagtaa >mm10_chr8:58611143-58611154(+)::chr8:58611142-58611154(+) AAGAGGAAGAAG >mm10_chr8:58611150-58611161(+)::chr8:58611149-58611161(+) AGAAGGAAGACC >mm10_chr8:58611169-58611180(+)::chr8:58611168-58611180(+) ATGAGGAAGGGG >mm10_chr8:58989529-58989540(+)::chr8:58989528-58989540(+) CAAAGGAAGACA >mm10_chr8:59320346-59320357(+)::chr8:59320345-59320357(+) tccaggaaggaa >mm10_chr8:59320350-59320361(+)::chr8:59320349-59320361(+) ggaaggaagtga >mm10_chr8:59320366-59320377(+)::chr8:59320365-59320377(+) taaaggaagaaa >mm10_chr8:60489818-60489829(-)::chr8:60489817-60489829(-) AAgaggaaggag >mm10_chr8:60489895-60489906(+)::chr8:60489894-60489906(+) GAAAGGACGTGC >mm10_chr8:60746124-60746135(-)::chr8:60746123-60746135(-) ACAAGGAAGACT >mm10_chr8:60770571-60770582(+)::chr8:60770570-60770582(+) GGAAGAAAGTAA >mm10_chr8:60871027-60871038(-)::chr8:60871026-60871038(-) CTAAGGAAGTAA >mm10_chr8:60932931-60932942(+)::chr8:60932930-60932942(+) AAAAGGAAGCAC >mm10_chr8:60965599-60965610(+)::chr8:60965598-60965610(+) accaggaactag >mm10_chr8:60965922-60965933(-)::chr8:60965921-60965933(-) agcaggaagggt >mm10_chr8:60969704-60969715(-)::chr8:60969703-60969715(-) AGCAGGAAGCAG >mm10_chr8:60971968-60971979(-)::chr8:60971967-60971979(-) caaaggaaatga >mm10_chr8:61037291-61037302(-)::chr8:61037290-61037302(-) AGCAGGAAGGGT >mm10_chr8:61120072-61120083(-)::chr8:61120071-61120083(-) AGAAGGAAGTAG >mm10_chr8:61161620-61161631(+)::chr8:61161619-61161631(+) acaAGGAAGGAT >mm10_chr8:61211128-61211139(+)::chr8:61211127-61211139(+) ACAAGGAAGGAT >mm10_chr8:61223895-61223906(-)::chr8:61223894-61223906(-) ACCAGGAAGAGG >mm10_chr8:61228424-61228435(-)::chr8:61228423-61228435(-) AACAGGAAGCAA >mm10_chr8:61283584-61283595(-)::chr8:61283583-61283595(-) AGCAGGAAATTA >mm10_chr8:61283594-61283605(-)::chr8:61283593-61283605(-) AGCAGGAAGAAG >mm10_chr8:61283601-61283612(-)::chr8:61283600-61283612(-) TGCAGGAAGCAG >mm10_chr8:61301906-61301917(-)::chr8:61301905-61301917(-) CAAAGGAAGGCC >mm10_chr8:61386676-61386687(-)::chr8:61386675-61386687(-) AGGAGGAAGGCT >mm10_chr8:61398083-61398094(+)::chr8:61398082-61398094(+) atgaggaagttg >mm10_chr8:61405451-61405462(+)::chr8:61405450-61405462(+) AGAAGGAAGGTG >mm10_chr8:61540075-61540086(-)::chr8:61540074-61540086(-) AATAGGAAGCAG >mm10_chr8:61575292-61575303(-)::chr8:61575291-61575303(-) AGCAGGAAGTCA >mm10_chr8:61583950-61583961(-)::chr8:61583949-61583961(-) GCCAGGAAATAC >mm10_chr8:61587571-61587582(+)::chr8:61587570-61587582(+) aggaggaaggaa >mm10_chr8:61587575-61587586(+)::chr8:61587574-61587586(+) ggaaggaagagg >mm10_chr8:61587584-61587595(+)::chr8:61587583-61587595(+) aggaggaagagg >mm10_chr8:61587596-61587607(+)::chr8:61587595-61587607(+) aggaggaAGCAG >mm10_chr8:61603140-61603151(+)::chr8:61603139-61603151(+) tacaggaagtta >mm10_chr8:61606869-61606880(-)::chr8:61606868-61606880(-) ATAAGGAAGTCG >mm10_chr8:61612741-61612752(-)::chr8:61612740-61612752(-) AACAGGAAATGA >mm10_chr8:61612761-61612772(-)::chr8:61612760-61612772(-) ACCAGGAAATAA >mm10_chr8:61703831-61703842(-)::chr8:61703830-61703842(-) ATAAGGAAAAAG >mm10_chr8:61732400-61732411(+)::chr8:61732399-61732411(+) AACAGGAAGTCG >mm10_chr8:61747326-61747337(-)::chr8:61747325-61747337(-) aggaggaaatga >mm10_chr8:61790651-61790662(+)::chr8:61790650-61790662(+) TAGAGGAAGAAG >mm10_chr8:61790658-61790669(+)::chr8:61790657-61790669(+) AGAAGGAagaaa >mm10_chr8:61799898-61799909(-)::chr8:61799897-61799909(-) CAAAGGAAATGG >mm10_chr8:61799945-61799956(-)::chr8:61799944-61799956(-) GACAGGAAGATC >mm10_chr8:61831054-61831065(-)::chr8:61831053-61831065(-) GCCAGGAAGGAA >mm10_chr8:61908526-61908537(+)::chr8:61908525-61908537(+) TGAAGGATGTGG >mm10_chr8:61908559-61908570(+)::chr8:61908558-61908570(+) GAAAGGAAGAAC >mm10_chr8:61908578-61908589(+)::chr8:61908577-61908589(+) AGGAGGAAATCA >mm10_chr8:62967875-62967886(-)::chr8:62967874-62967886(-) AGCAGGAAGCTC >mm10_chr8:62967909-62967920(-)::chr8:62967908-62967920(-) ACAAGGAAATAA >mm10_chr8:64155305-64155316(+)::chr8:64155304-64155316(+) ataaggaagcaa >mm10_chr8:64155343-64155354(-)::chr8:64155342-64155354(-) atgaggaagtgc >mm10_chr8:64155358-64155369(-)::chr8:64155357-64155369(-) tgaaggaaggag >mm10_chr8:64265394-64265405(-)::chr8:64265393-64265405(-) AAGAGGAAATAA >mm10_chr8:64395986-64395997(-)::chr8:64395985-64395997(-) AGCAGGAAGTGC >mm10_chr8:64607461-64607472(-)::chr8:64607460-64607472(-) tacaggaagtgt >mm10_chr8:64620241-64620252(+)::chr8:64620240-64620252(+) tcaaggaagtaa >mm10_chr8:64620304-64620315(+)::chr8:64620303-64620315(+) aacaggaagata >mm10_chr8:64652575-64652586(+)::chr8:64652574-64652586(+) TGCAGGAAATAA >mm10_chr8:64663027-64663038(+)::chr8:64663026-64663038(+) aaaaggaagtgt >mm10_chr8:64663041-64663052(-)::chr8:64663040-64663052(-) gtaaggaagaag >mm10_chr8:64714200-64714211(-)::chr8:64714199-64714211(-) aggaggaagaca >mm10_chr8:64714241-64714252(-)::chr8:64714240-64714252(-) aagaggaagagt >mm10_chr8:64714247-64714258(-)::chr8:64714246-64714258(-) aggaggaagagg >mm10_chr8:64764308-64764319(+)::chr8:64764307-64764319(+) AAAAGGAAGGAA >mm10_chr8:64767307-64767318(-)::chr8:64767306-64767318(-) ACTAGGAAATCG >mm10_chr8:64769477-64769488(-)::chr8:64769476-64769488(-) AGGAGGAAGGGA >mm10_chr8:64769484-64769495(-)::chr8:64769483-64769495(-) TGACGGAAGGAG >mm10_chr8:64791535-64791546(-)::chr8:64791534-64791546(-) agaaggaagagA >mm10_chr8:64791562-64791573(-)::chr8:64791561-64791573(-) gggaggaagagg >mm10_chr8:64791577-64791588(-)::chr8:64791576-64791588(-) gagaggaagagg >mm10_chr8:64831852-64831863(+)::chr8:64831851-64831863(+) ACAGGGAAGTTC >mm10_chr8:64847359-64847370(+)::chr8:64847358-64847370(+) ATGAGGAACTAA >mm10_chr8:64849947-64849958(-)::chr8:64849946-64849958(-) ACCCGGAAGTGG >mm10_chr8:64880630-64880641(-)::chr8:64880629-64880641(-) GGAAGGAAGAAA >mm10_chr8:64880651-64880662(-)::chr8:64880650-64880662(-) AGAAGGAAAAGT >mm10_chr8:64880668-64880679(-)::chr8:64880667-64880679(-) aaggggaAGTAG >mm10_chr8:64880683-64880694(-)::chr8:64880682-64880694(-) aggaggaagagg >mm10_chr8:64944434-64944445(+)::chr8:64944433-64944445(+) agaaggaaggaa >mm10_chr8:64944438-64944449(+)::chr8:64944437-64944449(+) ggaaggaaggac >mm10_chr8:64944463-64944474(+)::chr8:64944462-64944474(+) gacaggaaATAC >mm10_chr8:65627672-65627683(+)::chr8:65627671-65627683(+) atcaggaaggag >mm10_chr8:66250166-66250177(+)::chr8:66250165-66250177(+) CAAAGGAAATAT >mm10_chr8:66422820-66422831(-)::chr8:66422819-66422831(-) AGGAGGAAGGAT >mm10_chr8:66422827-66422838(-)::chr8:66422826-66422838(-) GACAGGAAGGAG >mm10_chr8:66486542-66486553(+)::chr8:66486541-66486553(+) GACAGGAAGGGG >mm10_chr8:66500345-66500356(+)::chr8:66500344-66500356(+) tccaggaagaga >mm10_chr8:66500356-66500367(+)::chr8:66500355-66500367(+) aagaggaaggag >mm10_chr8:66501604-66501615(-)::chr8:66501603-66501615(-) CCCAGGAAGTCA >mm10_chr8:66501616-66501627(-)::chr8:66501615-66501627(-) TCTAGGAAGTTA >mm10_chr8:66536552-66536563(-)::chr8:66536551-66536563(-) AAAGGGAAGTAA >mm10_chr8:66711936-66711947(+)::chr8:66711935-66711947(+) agaaggaaagaa >mm10_chr8:66732103-66732114(-)::chr8:66732102-66732114(-) GCCAGGAAATGA >mm10_chr8:67327829-67327840(+)::chr8:67327828-67327840(+) TAGAGGAAGGAG >mm10_chr8:67327836-67327847(+)::chr8:67327835-67327847(+) AGGAGGAAGAGG >mm10_chr8:67467853-67467864(-)::chr8:67467852-67467864(-) AAGAGGAAGAGT >mm10_chr8:67467906-67467917(-)::chr8:67467905-67467917(-) GAGAGGAAGTGT >mm10_chr8:67481985-67481996(-)::chr8:67481984-67481996(-) AGAAGGAACTTA >mm10_chr8:67494825-67494836(-)::chr8:67494824-67494836(-) CAAAGGAAGAGC >mm10_chr8:67494865-67494876(-)::chr8:67494864-67494876(-) ACCAGGAAGTAA >mm10_chr8:67672444-67672455(-)::chr8:67672443-67672455(-) gagaggaagtta >mm10_chr8:67852421-67852432(+)::chr8:67852420-67852432(+) gggaggaaggga >mm10_chr8:67852429-67852440(+)::chr8:67852428-67852440(+) gggaggaaggga >mm10_chr8:67852437-67852448(+)::chr8:67852436-67852448(+) gggaggaaggga >mm10_chr8:67852445-67852456(+)::chr8:67852444-67852456(+) gggaggaaggaa >mm10_chr8:67852449-67852460(+)::chr8:67852448-67852460(+) ggaaggaaggaa >mm10_chr8:67852453-67852464(+)::chr8:67852452-67852464(+) ggaaggaaggga >mm10_chr8:67852466-67852477(+)::chr8:67852465-67852477(+) ggaaggaaggga >mm10_chr8:67852480-67852491(+)::chr8:67852479-67852491(+) ggaaggaagaag >mm10_chr8:67852487-67852498(+)::chr8:67852486-67852498(+) agaaggaaggaa >mm10_chr8:67852491-67852502(+)::chr8:67852490-67852502(+) ggaaggaaggaa >mm10_chr8:67852495-67852506(+)::chr8:67852494-67852506(+) ggaaggaagaaa >mm10_chr8:67873946-67873957(-)::chr8:67873945-67873957(-) ttgaggAAGTCT >mm10_chr8:67908093-67908104(+)::chr8:67908092-67908104(+) AGAAGGAAATCC >mm10_chr8:67910634-67910645(-)::chr8:67910633-67910645(-) AGAAGGAAGAAG >mm10_chr8:67910641-67910652(-)::chr8:67910640-67910652(-) AAAAGGAAGAAG >mm10_chr8:67925062-67925073(-)::chr8:67925061-67925073(-) AAGAGGAAGCAA >mm10_chr8:67925120-67925131(-)::chr8:67925119-67925131(-) ACAAGGAAGAAA >mm10_chr8:67956665-67956676(+)::chr8:67956664-67956676(+) GAGAGGAAGGAG >mm10_chr8:67956675-67956686(+)::chr8:67956674-67956686(+) AGGAGGAAGGGC >mm10_chr8:67956739-67956750(-)::chr8:67956738-67956750(-) TGAGGGAAGTGC >mm10_chr8:67963936-67963947(+)::chr8:67963935-67963947(+) AACAGGAAATGT >mm10_chr8:67984684-67984695(+)::chr8:67984683-67984695(+) GGACGGAAGCAG >mm10_chr8:67996982-67996993(-)::chr8:67996981-67996993(-) aaaaggaagctg >mm10_chr8:68008333-68008344(-)::chr8:68008332-68008344(-) TTCAGGAAGTTG >mm10_chr8:68016437-68016448(-)::chr8:68016436-68016448(-) AGAAGGAAGGTG >mm10_chr8:68018072-68018083(+)::chr8:68018071-68018083(+) AGAAGGAAGTTG >mm10_chr8:68019146-68019157(+)::chr8:68019145-68019157(+) GACAGGAAGAAG >mm10_chr8:68051546-68051557(+)::chr8:68051545-68051557(+) tccaggaagtgg >mm10_chr8:68051584-68051595(+)::chr8:68051583-68051595(+) atgaggaagcca >mm10_chr8:68081981-68081992(-)::chr8:68081980-68081992(-) GAAAGGAAATTG >mm10_chr8:68083271-68083282(+)::chr8:68083270-68083282(+) acaaggaagtac >mm10_chr8:68086575-68086586(-)::chr8:68086574-68086586(-) TAGAGGAAGAAG >mm10_chr8:68086639-68086650(-)::chr8:68086638-68086650(-) GAAAGGAAGAAT >mm10_chr8:68087576-68087587(+)::chr8:68087575-68087587(+) ATCAGGAAGAGG >mm10_chr8:68091271-68091282(-)::chr8:68091270-68091282(-) AAAAGGAAGCAC >mm10_chr8:68092861-68092872(-)::chr8:68092860-68092872(-) TAAAGGAAGTGA >mm10_chr8:68102908-68102919(+)::chr8:68102907-68102919(+) ACATGGAAGTCA >mm10_chr8:68111162-68111173(-)::chr8:68111161-68111173(-) GCCAGGAAGTTA >mm10_chr8:68117639-68117650(-)::chr8:68117638-68117650(-) ACAAGGAACTGT >mm10_chr8:68121481-68121492(+)::chr8:68121480-68121492(+) AAAAGGAAGGAA >mm10_chr8:68121485-68121496(+)::chr8:68121484-68121496(+) GGAAGGAAGTTT >mm10_chr8:68127485-68127496(+)::chr8:68127484-68127496(+) ACAAGGAAGAGG >mm10_chr8:68127500-68127511(+)::chr8:68127499-68127511(+) AGCAGGAAGAGA >mm10_chr8:68158019-68158030(-)::chr8:68158018-68158030(-) GAGAGGAAGAAG >mm10_chr8:68158062-68158073(-)::chr8:68158061-68158073(-) ACAAGGAAACAT >mm10_chr8:68168423-68168434(+)::chr8:68168422-68168434(+) AGCAGGAACTTA >mm10_chr8:68168438-68168449(+)::chr8:68168437-68168449(+) TTAGGGAAGTAT >mm10_chr8:68196080-68196091(+)::chr8:68196079-68196091(+) AAGAGGAAGAAA >mm10_chr8:68214442-68214453(-)::chr8:68214441-68214453(-) TAAAGGAAGTCA >mm10_chr8:68214487-68214498(-)::chr8:68214486-68214498(-) TCCAGGAAGAAT >mm10_chr8:68234448-68234459(-)::chr8:68234447-68234459(-) aggaggaaggga >mm10_chr8:68234466-68234477(-)::chr8:68234465-68234477(-) gagaggaagaag >mm10_chr8:68234475-68234486(-)::chr8:68234474-68234486(-) agaaggaaggag >mm10_chr8:68267757-68267768(+)::chr8:68267756-68267768(+) AGATGGAAGTGG >mm10_chr8:68278428-68278439(+)::chr8:68278427-68278439(+) AGAAGGAAAAAC >mm10_chr8:68278450-68278461(-)::chr8:68278449-68278461(-) AGGAGGAAGTGA >mm10_chr8:68278464-68278475(-)::chr8:68278463-68278475(-) TACAGGAAATAA >mm10_chr8:68333618-68333629(-)::chr8:68333617-68333629(-) agaaggaagaca >mm10_chr8:68345999-68346010(+)::chr8:68345998-68346010(+) GCCGGGAAGTTT >mm10_chr8:68346077-68346088(-)::chr8:68346076-68346088(-) ATAAGGAAATGT >mm10_chr8:68378132-68378143(-)::chr8:68378131-68378143(-) TTCAGGAAGTAC >mm10_chr8:68383866-68383877(+)::chr8:68383865-68383877(+) ATTAGGAAATAC >mm10_chr8:68384229-68384240(-)::chr8:68384228-68384240(-) GGAAGGAAGTGG >mm10_chr8:68384233-68384244(-)::chr8:68384232-68384244(-) GACAGGAAGGAA >mm10_chr8:68392716-68392727(-)::chr8:68392715-68392727(-) TTCAGGAAGTCA >mm10_chr8:68392744-68392755(-)::chr8:68392743-68392755(-) TAAAGGAAGTTT >mm10_chr8:68492510-68492521(+)::chr8:68492509-68492521(+) AACAGGAAATGA >mm10_chr8:68494204-68494215(+)::chr8:68494203-68494215(+) agagggaagtgt >mm10_chr8:68606889-68606900(+)::chr8:68606888-68606900(+) CACAGGAAGTGG >mm10_chr8:68636694-68636705(-)::chr8:68636693-68636705(-) aggaggaagagg >mm10_chr8:68636730-68636741(-)::chr8:68636729-68636741(-) agaaggaagaat >mm10_chr8:68670800-68670811(+)::chr8:68670799-68670811(+) ttaaggaaaacg >mm10_chr8:68674001-68674012(+)::chr8:68674000-68674012(+) CCAAGGAAGTGT >mm10_chr8:68719097-68719108(-)::chr8:68719096-68719108(-) AGAAGGAAGTAG >mm10_chr8:68740057-68740068(+)::chr8:68740056-68740068(+) GACAGGAAGAGC >mm10_chr8:68742462-68742473(+)::chr8:68742461-68742473(+) agcaggaaatgc >mm10_chr8:68822885-68822896(-)::chr8:68822884-68822896(-) AGAAGGAAGTAA >mm10_chr8:68822897-68822908(-)::chr8:68822896-68822908(-) TGCAGGAAGTAA >mm10_chr8:68831066-68831077(+)::chr8:68831065-68831077(+) aacaggaagcag >mm10_chr8:68831073-68831084(+)::chr8:68831072-68831084(+) agcaggaagtgg >mm10_chr8:68852738-68852749(-)::chr8:68852737-68852749(-) AGCAGGAAATGG >mm10_chr8:68898862-68898873(+)::chr8:68898861-68898873(+) ATACGGAAGTTC >mm10_chr8:68898874-68898885(-)::chr8:68898873-68898885(-) ACCAGGAAGCAG >mm10_chr8:68908897-68908908(+)::chr8:68908896-68908908(+) TAGAGGAAGAGA >mm10_chr8:68948808-68948819(-)::chr8:68948807-68948819(-) ggaaggaaggag >mm10_chr8:68948812-68948823(-)::chr8:68948811-68948823(-) caaaggaaggaa >mm10_chr8:68948828-68948839(-)::chr8:68948827-68948839(-) GGCaggaaggaa >mm10_chr8:68948883-68948894(+)::chr8:68948882-68948894(+) ATCAGGAAGAGT >mm10_chr8:68953929-68953940(+)::chr8:68953928-68953940(+) AAAAGGATGTCA >mm10_chr8:68953965-68953976(+)::chr8:68953964-68953976(+) AAAAGGAAGTCT >mm10_chr8:68970659-68970670(+)::chr8:68970658-68970670(+) gagaggaagacg >mm10_chr8:68977679-68977690(-)::chr8:68977678-68977690(-) TCAAGGAAGTCG >mm10_chr8:68982856-68982867(-)::chr8:68982855-68982867(-) aggaggaaggga >mm10_chr8:68982863-68982874(-)::chr8:68982862-68982874(-) ggaaggaaggag >mm10_chr8:68982867-68982878(-)::chr8:68982866-68982878(-) AGCAggaaggaa >mm10_chr8:68982909-68982920(+)::chr8:68982908-68982920(+) ACAAGGATGTTC >mm10_chr8:68987959-68987970(-)::chr8:68987958-68987970(-) ATATGGAAGTAC >mm10_chr8:68988345-68988356(-)::chr8:68988344-68988356(-) TCCAGGAAGACG >mm10_chr8:68993594-68993605(+)::chr8:68993593-68993605(+) AAGAGGAAGTAA >mm10_chr8:68998177-68998188(+)::chr8:68998176-68998188(+) aggaggaaggaa >mm10_chr8:68998220-68998231(+)::chr8:68998219-68998231(+) gacaggaagagg >mm10_chr8:68998232-68998243(+)::chr8:68998231-68998243(+) atgaggaagaag >mm10_chr8:68998634-68998645(+)::chr8:68998633-68998645(+) ataaggaagaaa >mm10_chr8:68998658-68998669(+)::chr8:68998657-68998669(+) ataaggaagaga >mm10_chr8:69008013-69008024(-)::chr8:69008012-69008024(-) TGAAGGAAGTAA >mm10_chr8:69008033-69008044(+)::chr8:69008032-69008044(+) TTAGGGAAGTAG >mm10_chr8:69008046-69008057(-)::chr8:69008045-69008057(-) AGAAGGAATTTA >mm10_chr8:69019976-69019987(-)::chr8:69019975-69019987(-) aagaggaagttt >mm10_chr8:69026837-69026848(+)::chr8:69026836-69026848(+) gaaaggaagtgg >mm10_chr8:69026861-69026872(+)::chr8:69026860-69026872(+) aggaggaagaag >mm10_chr8:69026870-69026881(+)::chr8:69026869-69026881(+) aagaggaagaag >mm10_chr8:69034065-69034076(+)::chr8:69034064-69034076(+) gagaggaaggaa >mm10_chr8:69034069-69034080(+)::chr8:69034068-69034080(+) ggaaggaagtga >mm10_chr8:69038482-69038493(+)::chr8:69038481-69038493(+) TGCAGGAAGTGA >mm10_chr8:69051642-69051653(+)::chr8:69051641-69051653(+) gaaaggaagtgg >mm10_chr8:69081633-69081644(-)::chr8:69081632-69081644(-) AACAGGAAGTAA >mm10_chr8:69208929-69208940(+)::chr8:69208928-69208940(+) AACAGGAAATAC >mm10_chr8:69270833-69270844(+)::chr8:69270832-69270844(+) AGGAGGAAGAAG >mm10_chr8:69270840-69270851(+)::chr8:69270839-69270851(+) AGAAGGAAATGT >mm10_chr8:69273246-69273257(+)::chr8:69273245-69273257(+) ATTAGGAAATTA >mm10_chr8:69330267-69330278(+)::chr8:69330266-69330278(+) aggaggaagtgg >mm10_chr8:69330294-69330305(+)::chr8:69330293-69330305(+) aggaggaagagg >mm10_chr8:69330317-69330328(+)::chr8:69330316-69330328(+) agtaggaagaag >mm10_chr8:69625282-69625293(+)::chr8:69625281-69625293(+) GGGCGGAAGTAC >mm10_chr8:69716572-69716583(+)::chr8:69716571-69716583(+) AAGCGGAAGTGA >mm10_chr8:69717334-69717345(-)::chr8:69717333-69717345(-) ACAAGGAAGTCC >mm10_chr8:69745652-69745663(-)::chr8:69745651-69745663(-) acaaggaaaaac >mm10_chr8:69791157-69791168(-)::chr8:69791156-69791168(-) AATAGGAAGCGC >mm10_chr8:69791189-69791200(+)::chr8:69791188-69791200(+) TACCGGAAGTAA >mm10_chr8:69791203-69791214(+)::chr8:69791202-69791214(+) GACCGGAAGTGG >mm10_chr8:69808704-69808715(+)::chr8:69808703-69808715(+) GGCAGGAAATCG >mm10_chr8:69892212-69892223(+)::chr8:69892211-69892223(+) CGGAGGAAGGGA >mm10_chr8:69892244-69892255(+)::chr8:69892243-69892255(+) GGCAGGAAGGAA >mm10_chr8:69892248-69892259(+)::chr8:69892247-69892259(+) GGAAGGAAGAGC >mm10_chr8:69933979-69933990(-)::chr8:69933978-69933990(-) GGAAGGAAGTGT >mm10_chr8:69933983-69933994(-)::chr8:69933982-69933994(-) GACAGGAAGGAA >mm10_chr8:69945780-69945791(+)::chr8:69945779-69945791(+) ACAAGGAACTCT >mm10_chr8:69971030-69971041(+)::chr8:69971029-69971041(+) AGGAGGAAATCC >mm10_chr8:69985269-69985280(+)::chr8:69985268-69985280(+) GTGAGGAAGTTA >mm10_chr8:69987988-69987999(+)::chr8:69987987-69987999(+) AGCAGGAAGGAA >mm10_chr8:69996288-69996299(-)::chr8:69996287-69996299(-) ACCCGGAAGTGT >mm10_chr8:70001349-70001360(+)::chr8:70001348-70001360(+) ATAGGGAAGTGG >mm10_chr8:70003253-70003264(+)::chr8:70003252-70003264(+) ACCAGGAAGAGC >mm10_chr8:70003328-70003339(+)::chr8:70003327-70003339(+) AGAAGGAAGGAA >mm10_chr8:70003332-70003343(+)::chr8:70003331-70003343(+) GGAAGGAAGTGA >mm10_chr8:70008705-70008716(-)::chr8:70008704-70008716(-) GACAGGAAGATC >mm10_chr8:70008757-70008768(-)::chr8:70008756-70008768(-) CAAGGGAAGTAC >mm10_chr8:70008775-70008786(-)::chr8:70008774-70008786(-) ACACGGAAGGGG >mm10_chr8:70104963-70104974(-)::chr8:70104962-70104974(-) gaaaggaagAGG >mm10_chr8:70104978-70104989(-)::chr8:70104977-70104989(-) gaaaggaagaga >mm10_chr8:70104986-70104997(-)::chr8:70104985-70104997(-) aagaggaagaaa >mm10_chr8:70104999-70105010(-)::chr8:70104998-70105010(-) aggaggaaatga >mm10_chr8:70105006-70105017(-)::chr8:70105005-70105017(-) ggcaggaaggag >mm10_chr8:70139692-70139703(-)::chr8:70139691-70139703(-) AAGCGGAAGTCG >mm10_chr8:70139698-70139709(-)::chr8:70139697-70139709(-) AACCGGAAGCGG >mm10_chr8:70200312-70200323(+)::chr8:70200311-70200323(+) ACACGGAAATGT >mm10_chr8:70217474-70217485(+)::chr8:70217473-70217485(+) gacaggaaggaa >mm10_chr8:70217478-70217489(+)::chr8:70217477-70217489(+) ggaaggaaggaa >mm10_chr8:70217482-70217493(+)::chr8:70217481-70217493(+) ggaaggaaggaa >mm10_chr8:70217486-70217497(+)::chr8:70217485-70217497(+) ggaaggaaggaa >mm10_chr8:70217490-70217501(+)::chr8:70217489-70217501(+) ggaaggaaggac >mm10_chr8:70245853-70245864(+)::chr8:70245852-70245864(+) CCCAGGAAGTGT >mm10_chr8:70268905-70268916(+)::chr8:70268904-70268916(+) CCAAGGAAGAAG >mm10_chr8:70268920-70268931(+)::chr8:70268919-70268931(+) AACAGGAAGTGT >mm10_chr8:70268956-70268967(+)::chr8:70268955-70268967(+) TGAAGGAACTAC >mm10_chr8:70309419-70309430(-)::chr8:70309418-70309430(-) agcaggaagatc >mm10_chr8:70313542-70313553(+)::chr8:70313541-70313553(+) ATTAGGAAGTCC >mm10_chr8:70353360-70353371(-)::chr8:70353359-70353371(-) GGGAGGAACGCG >mm10_chr8:70359730-70359741(-)::chr8:70359729-70359741(-) agaaggaagtgc >mm10_chr8:70363618-70363629(+)::chr8:70363617-70363629(+) TGGAGGAAGGAC >mm10_chr8:70363649-70363660(+)::chr8:70363648-70363660(+) AAAAGGAAGCTA >mm10_chr8:70383569-70383580(+)::chr8:70383568-70383580(+) TGCAGGAAGGGG >mm10_chr8:70407639-70407650(+)::chr8:70407638-70407650(+) TCCAGGAAGGAC >mm10_chr8:70426238-70426249(+)::chr8:70426237-70426249(+) AGCAGGAAGTTC >mm10_chr8:70481585-70481596(+)::chr8:70481584-70481596(+) AGCGGGAAGTGA >mm10_chr8:70490893-70490904(+)::chr8:70490892-70490904(+) AAAATGAAGTAA >mm10_chr8:70490897-70490908(+)::chr8:70490896-70490908(+) TGAAGTAAGTGG >mm10_chr8:70527647-70527658(+)::chr8:70527646-70527658(+) GGAAGGAGGTGG >mm10_chr8:70527672-70527683(+)::chr8:70527671-70527683(+) AGACGGACGTGT >mm10_chr8:70540846-70540857(+)::chr8:70540845-70540857(+) AGAAGGAAGAGG >mm10_chr8:70548850-70548861(-)::chr8:70548849-70548861(-) GGCAGGAAGGAC >mm10_chr8:70553852-70553863(-)::chr8:70553851-70553863(-) AGAGGGAAGTGG >mm10_chr8:70560247-70560258(+)::chr8:70560246-70560258(+) GCCAGGAAGAAG >mm10_chr8:70560267-70560278(+)::chr8:70560266-70560278(+) CAAAGGAAGGAG >mm10_chr8:70560274-70560285(+)::chr8:70560273-70560285(+) AGGAGGAAGTGT >mm10_chr8:70595682-70595693(-)::chr8:70595681-70595693(-) AGGAGGAAGATT >mm10_chr8:70595689-70595700(-)::chr8:70595688-70595700(-) GAAAGGAAGGAG >mm10_chr8:70609665-70609676(-)::chr8:70609664-70609676(-) AGCAGGAAATGG >mm10_chr8:70610349-70610360(+)::chr8:70610348-70610360(+) GAGAGGAAGTGA >mm10_chr8:70660549-70660560(-)::chr8:70660548-70660560(-) TACAGGAAGAGA >mm10_chr8:70660807-70660818(-)::chr8:70660806-70660818(-) AGGAGGAAGTCT >mm10_chr8:70679390-70679401(-)::chr8:70679389-70679401(-) ACCAGGAAGCAG >mm10_chr8:70680287-70680298(+)::chr8:70680286-70680298(+) AGGAGGAAGAGC >mm10_chr8:70695388-70695399(-)::chr8:70695387-70695399(-) ATGAGGAAATGA >mm10_chr8:70719854-70719865(+)::chr8:70719853-70719865(+) GGAAGGAAGTCA >mm10_chr8:70719879-70719890(+)::chr8:70719878-70719890(+) AACAGGAACTAT >mm10_chr8:70752541-70752552(-)::chr8:70752540-70752552(-) AGAAGGAAATGT >mm10_chr8:70752548-70752559(-)::chr8:70752547-70752559(-) GGAAGGAAGAAG >mm10_chr8:70753294-70753305(+)::chr8:70753293-70753305(+) AGCAGGAAATAA >mm10_chr8:70759191-70759202(+)::chr8:70759190-70759202(+) AAGAGGAAGTTC >mm10_chr8:70761028-70761039(+)::chr8:70761027-70761039(+) CAAAGGAAGTGA >mm10_chr8:70766670-70766681(+)::chr8:70766669-70766681(+) GCCAGGAAGGCG >mm10_chr8:70792492-70792503(+)::chr8:70792491-70792503(+) AGGAGGAAGGGG >mm10_chr8:70833322-70833333(-)::chr8:70833321-70833333(-) AGGAGGAAGCCG >mm10_chr8:70839523-70839534(+)::chr8:70839522-70839534(+) GGACGGAAGACC >mm10_chr8:70840094-70840105(+)::chr8:70840093-70840105(+) ACAAGGAAGCCC >mm10_chr8:70880188-70880199(-)::chr8:70880187-70880199(-) GGCAGGAAGCAG >mm10_chr8:70892229-70892240(-)::chr8:70892228-70892240(-) AGGAGGAAGAGC >mm10_chr8:70892236-70892247(-)::chr8:70892235-70892247(-) GCCAGGAAGGAG >mm10_chr8:70902299-70902310(+)::chr8:70902298-70902310(+) GGGAGGAAGAGG >mm10_chr8:70904762-70904773(+)::chr8:70904761-70904773(+) TGGAGGAAGTTG >mm10_chr8:71251474-71251485(-)::chr8:71251473-71251485(-) ACCAGGAAGTCT >mm10_chr8:71256755-71256766(-)::chr8:71256754-71256766(-) ACAAGGAAGTGG >mm10_chr8:71272710-71272721(+)::chr8:71272709-71272721(+) ACCCGGAAGTGA >mm10_chr8:71323221-71323232(+)::chr8:71323220-71323232(+) AGCAGGAAGTGC >mm10_chr8:71367214-71367225(-)::chr8:71367213-71367225(-) GGGCGGAAGTGC >mm10_chr8:71388462-71388473(-)::chr8:71388461-71388473(-) ggcaggaagtca >mm10_chr8:71388515-71388526(-)::chr8:71388514-71388526(-) ggaaggaaggtt >mm10_chr8:71488497-71488508(-)::chr8:71488496-71488508(-) GGGAGGAAGAAA >mm10_chr8:71496640-71496651(-)::chr8:71496639-71496651(-) TGCAGGAAGCAC >mm10_chr8:71496680-71496691(+)::chr8:71496679-71496691(+) TCCAGGAAATAT >mm10_chr8:71528847-71528858(+)::chr8:71528846-71528858(+) GGCAGGAAGTGG >mm10_chr8:71528893-71528904(+)::chr8:71528892-71528904(+) TAGAGGAAGGAA >mm10_chr8:71528897-71528908(+)::chr8:71528896-71528908(+) GGAAGGAAGCTG >mm10_chr8:71568395-71568406(+)::chr8:71568394-71568406(+) AAGAGGAAGGGA >mm10_chr8:71568399-71568410(+)::chr8:71568398-71568410(+) GGAAGGGAGTAG >mm10_chr8:71568429-71568440(+)::chr8:71568428-71568440(+) AGGAGGAAGTGG >mm10_chr8:71596977-71596988(+)::chr8:71596976-71596988(+) AAGAGGAAGTAG >mm10_chr8:71660940-71660951(-)::chr8:71660939-71660951(-) GCTAGGAAGTGA >mm10_chr8:71681027-71681038(+)::chr8:71681026-71681038(+) tggaggaagtga >mm10_chr8:71701752-71701763(-)::chr8:71701751-71701763(-) CGGAGGAAGGCG >mm10_chr8:71908609-71908620(-)::chr8:71908608-71908620(-) GCCAGGAAGAAA >mm10_chr8:71949451-71949462(+)::chr8:71949450-71949462(+) agcaggaagtag >mm10_chr8:72008568-72008579(+)::chr8:72008567-72008579(+) AAGAGGAAGACG >mm10_chr8:72136874-72136885(+)::chr8:72136873-72136885(+) TGCAGGAAGGGG >mm10_chr8:72190045-72190056(+)::chr8:72190044-72190056(+) GAAAGGAAGGAA >mm10_chr8:72190049-72190060(+)::chr8:72190048-72190060(+) GGAAGGAATTTA >mm10_chr8:72210687-72210698(+)::chr8:72210686-72210698(+) GCAAGGAAGTAG >mm10_chr8:72210709-72210720(+)::chr8:72210708-72210720(+) AGGaggaagagc >mm10_chr8:72210725-72210736(+)::chr8:72210724-72210736(+) tggaggaaggga >mm10_chr8:72219700-72219711(+)::chr8:72219699-72219711(+) AGAAGGAAGCGA >mm10_chr8:72219722-72219733(+)::chr8:72219721-72219733(+) GGCCGGAAGTAC >mm10_chr8:72239677-72239688(-)::chr8:72239676-72239688(-) TAAAGGAAGTCA >mm10_chr8:72265491-72265502(-)::chr8:72265490-72265502(-) TGCAGGAAGAGG >mm10_chr8:72281753-72281764(+)::chr8:72281752-72281764(+) ATCAGGAAATGG >mm10_chr8:72281774-72281785(-)::chr8:72281773-72281785(-) TACAGGAAGTGT >mm10_chr8:72295792-72295803(+)::chr8:72295791-72295803(+) AACAGGAAGCAC >mm10_chr8:72295813-72295824(-)::chr8:72295812-72295824(-) CGCAGGAAGCCC >mm10_chr8:72374976-72374987(+)::chr8:72374975-72374987(+) aacaggaagcac >mm10_chr8:72375422-72375433(+)::chr8:72375421-72375433(+) CAAAGGAAGCAC >mm10_chr8:72375605-72375616(+)::chr8:72375604-72375616(+) GAAAGGAAGCTC >mm10_chr8:72410110-72410121(+)::chr8:72410109-72410121(+) ACAAGGAAGTGG >mm10_chr8:72417141-72417152(+)::chr8:72417140-72417152(+) AAAGGGAAGTCA >mm10_chr8:72436377-72436388(+)::chr8:72436376-72436388(+) agaaggaagact >mm10_chr8:72436384-72436395(-)::chr8:72436383-72436395(-) aacaggaagtct >mm10_chr8:72476291-72476302(+)::chr8:72476290-72476302(+) AGGAGGAAGGAG >mm10_chr8:72476298-72476309(+)::chr8:72476297-72476309(+) AGGAGGAAGTTG >mm10_chr8:72476336-72476347(-)::chr8:72476335-72476347(-) TACAGGAAGGGC >mm10_chr8:72487168-72487179(+)::chr8:72487167-72487179(+) AACAGGAAGCAG >mm10_chr8:72487191-72487202(+)::chr8:72487190-72487202(+) AACAGGAAGAGA >mm10_chr8:72487226-72487237(-)::chr8:72487225-72487237(-) GTAAGGAATTGG >mm10_chr8:72487234-72487245(-)::chr8:72487233-72487245(-) AGGAGGAAGTAA >mm10_chr8:72491734-72491745(-)::chr8:72491733-72491745(-) ATAAGGAAGTCA >mm10_chr8:72491748-72491759(+)::chr8:72491747-72491759(+) GACAGGAAGCAG >mm10_chr8:72500953-72500964(+)::chr8:72500952-72500964(+) TAGAGGAAGAGT >mm10_chr8:72529915-72529926(-)::chr8:72529914-72529926(-) agtaggaaatat >mm10_chr8:72535106-72535117(+)::chr8:72535105-72535117(+) accaggaagata >mm10_chr8:72571059-72571070(+)::chr8:72571058-72571070(+) AAACGGAAGCCG >mm10_chr8:72683014-72683025(+)::chr8:72683013-72683025(+) aagaggaagtgc >mm10_chr8:72683211-72683222(-)::chr8:72683210-72683222(-) AGAAGGAAGAGG >mm10_chr8:72712691-72712702(+)::chr8:72712690-72712702(+) aggaggaaatgg >mm10_chr8:72712746-72712757(+)::chr8:72712745-72712757(+) ttagggaagtca >mm10_chr8:72730358-72730369(-)::chr8:72730357-72730369(-) GGGAGGAAATGG >mm10_chr8:72735182-72735193(+)::chr8:72735181-72735193(+) AGCAGGAAGCCA >mm10_chr8:72735201-72735212(-)::chr8:72735200-72735212(-) AGCAGGAAGTGG >mm10_chr8:72795642-72795653(-)::chr8:72795641-72795653(-) acagggaagtgg >mm10_chr8:72836077-72836088(-)::chr8:72836076-72836088(-) ACACGGAAGATC >mm10_chr8:72836091-72836102(+)::chr8:72836090-72836102(+) ACCAGGAAGGAA >mm10_chr8:73051027-73051038(+)::chr8:73051026-73051038(+) acacggaaggag >mm10_chr8:73051034-73051045(+)::chr8:73051033-73051045(+) aggaggaaatgt >mm10_chr8:73112665-73112676(+)::chr8:73112664-73112676(+) GCAAGGAACTGG >mm10_chr8:73178534-73178545(+)::chr8:73178533-73178545(+) GAGAGGAAGAGA >mm10_chr8:73193701-73193712(-)::chr8:73193700-73193712(-) AGGAGGAAGAGC >mm10_chr8:73193708-73193719(-)::chr8:73193707-73193719(-) ATGAGGAAGGAG >mm10_chr8:73193886-73193897(+)::chr8:73193885-73193897(+) AAAAGGAACTTC >mm10_chr8:73208399-73208410(+)::chr8:73208398-73208410(+) GCAAGGAAGGGG >mm10_chr8:73293945-73293956(-)::chr8:73293944-73293956(-) gacaggaagaca >mm10_chr8:73342834-73342845(+)::chr8:73342833-73342845(+) AGCAGGAAGAAA >mm10_chr8:73352807-73352818(+)::chr8:73352806-73352818(+) GCCAGGAAGAAA >mm10_chr8:73383447-73383458(-)::chr8:73383446-73383458(-) GCAAGGAAATAC >mm10_chr8:74449854-74449865(-)::chr8:74449853-74449865(-) AGCAGGAAATTC >mm10_chr8:74549533-74549544(+)::chr8:74549532-74549544(+) tcaaggaaatgt >mm10_chr8:74808660-74808671(+)::chr8:74808659-74808671(+) ATAAGGAAAGGG >mm10_chr8:74934405-74934416(+)::chr8:74934404-74934416(+) agcaggaaggaa >mm10_chr8:74934409-74934420(+)::chr8:74934408-74934420(+) ggaaggaaggaa >mm10_chr8:74934420-74934431(+)::chr8:74934419-74934431(+) atgaggaagagg >mm10_chr8:74934444-74934455(+)::chr8:74934443-74934455(+) agcaggaaggaa >mm10_chr8:74934448-74934459(+)::chr8:74934447-74934459(+) ggaaggaagaag >mm10_chr8:74934459-74934470(+)::chr8:74934458-74934470(+) gtgaggaagagg >mm10_chr8:74941275-74941286(+)::chr8:74941274-74941286(+) AACAGGAAGCCA >mm10_chr8:74999656-74999667(+)::chr8:74999655-74999667(+) AAGAGGAAGAAG >mm10_chr8:75062608-75062619(+)::chr8:75062607-75062619(+) CAAAGGAAGACA >mm10_chr8:75088908-75088919(-)::chr8:75088907-75088919(-) ACCAGGAAGTCT >mm10_chr8:75172227-75172238(-)::chr8:75172226-75172238(-) TCAAGGAAGTAG >mm10_chr8:75254264-75254275(+)::chr8:75254263-75254275(+) TCAGGGAAGTCA >mm10_chr8:75254291-75254302(+)::chr8:75254290-75254302(+) AGTAGGAAATGA >mm10_chr8:75356389-75356400(-)::chr8:75356388-75356400(-) TCAAGGAAGAGA >mm10_chr8:75518019-75518030(-)::chr8:75518018-75518030(-) aggaggaagaag >mm10_chr8:75518351-75518362(+)::chr8:75518350-75518362(+) aagaggaaggag >mm10_chr8:75518365-75518376(+)::chr8:75518364-75518376(+) aaaaggaagaag >mm10_chr8:75518372-75518383(+)::chr8:75518371-75518383(+) agaaggaaaaag >mm10_chr8:75518396-75518407(+)::chr8:75518395-75518407(+) agaaggaaaaag >mm10_chr8:75518428-75518439(+)::chr8:75518427-75518439(+) aggaggaagagg >mm10_chr8:75518742-75518753(-)::chr8:75518741-75518753(-) TGAAGCAAGTAA >mm10_chr8:75636323-75636334(+)::chr8:75636322-75636334(+) GGAAGGAAGGAG >mm10_chr8:75651175-75651186(-)::chr8:75651174-75651186(-) AACAGGAAATAA >mm10_chr8:75651220-75651231(-)::chr8:75651219-75651231(-) AACAGGAAGTAT >mm10_chr8:75663764-75663775(-)::chr8:75663763-75663775(-) AGGAGGAAGCAA >mm10_chr8:76066923-76066934(+)::chr8:76066922-76066934(+) gacaggaagaca >mm10_chr8:76066979-76066990(+)::chr8:76066978-76066990(+) atgaggaagaca >mm10_chr8:76122704-76122715(-)::chr8:76122703-76122715(-) ctaaggaagtct >mm10_chr8:76122716-76122727(-)::chr8:76122715-76122727(-) ctgaggaagtag >mm10_chr8:76292020-76292031(-)::chr8:76292019-76292031(-) CAAAGGAAGAGA >mm10_chr8:76343318-76343329(+)::chr8:76343317-76343329(+) TTTAGGAAGTAT >mm10_chr8:76346278-76346289(+)::chr8:76346277-76346289(+) ACCAGGAAATGC >mm10_chr8:76346306-76346317(-)::chr8:76346305-76346317(-) TGAGGGAAGCGA >mm10_chr8:76346318-76346329(-)::chr8:76346317-76346329(-) ATCAGGAAGAAC >mm10_chr8:76431774-76431785(+)::chr8:76431773-76431785(+) AACAGGAAGATG >mm10_chr8:76431788-76431799(+)::chr8:76431787-76431799(+) CAAAGGAAGAGG >mm10_chr8:76451502-76451513(-)::chr8:76451501-76451513(-) AGGAGGAAGAGG >mm10_chr8:76475025-76475036(+)::chr8:76475024-76475036(+) ATCAGGAAGTAG >mm10_chr8:76490673-76490684(+)::chr8:76490672-76490684(+) AGAAGGAAAATG >mm10_chr8:76490703-76490714(+)::chr8:76490702-76490714(+) AGAAGGAAGAGA >mm10_chr8:76490714-76490725(+)::chr8:76490713-76490725(+) AAAAGGAAGTCC >mm10_chr8:76509402-76509413(+)::chr8:76509401-76509413(+) AAAAGGAATTAA >mm10_chr8:76535750-76535761(-)::chr8:76535749-76535761(-) ACCAGGAAATGT >mm10_chr8:76789258-76789269(+)::chr8:76789257-76789269(+) ATGAGGAAGTCA >mm10_chr8:76789338-76789349(-)::chr8:76789337-76789349(-) acaagaaagtga >mm10_chr8:76820410-76820421(-)::chr8:76820409-76820421(-) GAAAGGAAGATG >mm10_chr8:76820960-76820971(-)::chr8:76820959-76820971(-) agcaggaagttt >mm10_chr8:76899050-76899061(+)::chr8:76899049-76899061(+) AGAAGGACGTGG >mm10_chr8:76902004-76902015(+)::chr8:76902003-76902015(+) TTAAGGAAGCTG >mm10_chr8:77035688-77035699(+)::chr8:77035687-77035699(+) tggaggaagagg >mm10_chr8:77057317-77057328(-)::chr8:77057316-77057328(-) CATAGGAAGTGA >mm10_chr8:77188125-77188136(-)::chr8:77188124-77188136(-) GAAAGGAAGGGT >mm10_chr8:77188744-77188755(+)::chr8:77188743-77188755(+) AACAGTAAGTAA >mm10_chr8:77188754-77188765(+)::chr8:77188753-77188765(+) AACAGGAAGTAT >mm10_chr8:77207757-77207768(+)::chr8:77207756-77207768(+) ACCAGGAAGCTG >mm10_chr8:77219377-77219388(-)::chr8:77219376-77219388(-) AACAGGAAGCCA >mm10_chr8:77219413-77219424(+)::chr8:77219412-77219424(+) ACATGGAAGTGT >mm10_chr8:77258375-77258386(+)::chr8:77258374-77258386(+) CCAAGGAAGAAA >mm10_chr8:77258409-77258420(+)::chr8:77258408-77258420(+) ACAAGGAAGTCC >mm10_chr8:77322973-77322984(+)::chr8:77322972-77322984(+) AAGAGGAAGACA >mm10_chr8:77456122-77456133(+)::chr8:77456121-77456133(+) CAAGGGAAGTAC >mm10_chr8:77460454-77460465(+)::chr8:77460453-77460465(+) AGAAGGAAGGGA >mm10_chr8:77460510-77460521(-)::chr8:77460509-77460521(-) TCAAGGAAGCCC >mm10_chr8:77465388-77465399(+)::chr8:77465387-77465399(+) gcagggaagtcc >mm10_chr8:77473520-77473531(+)::chr8:77473519-77473531(+) ACAAGGCAGTGT >mm10_chr8:77480024-77480035(-)::chr8:77480023-77480035(-) ACTAGGAAGGAT >mm10_chr8:77481792-77481803(+)::chr8:77481791-77481803(+) ACCAGGAAGTCT >mm10_chr8:77483865-77483876(-)::chr8:77483864-77483876(-) AGAAGGAAGCAC >mm10_chr8:77485465-77485476(-)::chr8:77485464-77485476(-) AAGAGGAAGTGC >mm10_chr8:77485471-77485482(-)::chr8:77485470-77485482(-) AGACGGAAGAGG >mm10_chr8:77507674-77507685(-)::chr8:77507673-77507685(-) GGAAGGCAGTAG >mm10_chr8:77507678-77507689(-)::chr8:77507677-77507689(-) TAGAGGAAGGCA >mm10_chr8:77509456-77509467(+)::chr8:77509455-77509467(+) aggaggaagaaa >mm10_chr8:77509486-77509497(+)::chr8:77509485-77509497(+) agaaggaaggag >mm10_chr8:77509498-77509509(+)::chr8:77509497-77509509(+) aggaggaagagg >mm10_chr8:77509504-77509515(+)::chr8:77509503-77509515(+) aagaggaagagT >mm10_chr8:77514342-77514353(-)::chr8:77514341-77514353(-) ATAAGGAAGAGA >mm10_chr8:77518079-77518090(-)::chr8:77518078-77518090(-) AGAAGGAAGAGG >mm10_chr8:77518114-77518125(-)::chr8:77518113-77518125(-) GGAAGGAAGAGG >mm10_chr8:77549416-77549427(-)::chr8:77549415-77549427(-) AGCAGGAAGCCC >mm10_chr8:77550356-77550367(-)::chr8:77550355-77550367(-) ggaaggaagaca >mm10_chr8:77550360-77550371(-)::chr8:77550359-77550371(-) aacaggaaggaa >mm10_chr8:77556556-77556567(+)::chr8:77556555-77556567(+) GTGGGGAAGTAT >mm10_chr8:77610646-77610657(-)::chr8:77610645-77610657(-) GTGAGGAAGTTC >mm10_chr8:77610716-77610727(-)::chr8:77610715-77610727(-) AAACGGAAGTGG >mm10_chr8:77634262-77634273(+)::chr8:77634261-77634273(+) ACGAGGAAATCT >mm10_chr8:77634332-77634343(+)::chr8:77634331-77634343(+) AGCAGGAAGACA >mm10_chr8:77702391-77702402(-)::chr8:77702390-77702402(-) aGCAGGATGTGA >mm10_chr8:77702469-77702480(-)::chr8:77702468-77702480(-) aacaggaagatc >mm10_chr8:77729415-77729426(+)::chr8:77729414-77729426(+) CTAAGGAAGTCT >mm10_chr8:77834438-77834449(-)::chr8:77834437-77834449(-) CAAAGGAAGAAA >mm10_chr8:77905663-77905674(-)::chr8:77905662-77905674(-) AGGAGGAAATGC >mm10_chr8:77905677-77905688(-)::chr8:77905676-77905688(-) AAGAGGAAGTAC >mm10_chr8:77976137-77976148(-)::chr8:77976136-77976148(-) AGCAGGAAGCAA >mm10_chr8:78051184-78051195(+)::chr8:78051183-78051195(+) ACGGGGAAGTGG >mm10_chr8:78051204-78051215(+)::chr8:78051203-78051215(+) TCCAGGAAGTCC >mm10_chr8:78054591-78054602(+)::chr8:78054590-78054602(+) TTCAGGAAGTGG >mm10_chr8:78054606-78054617(+)::chr8:78054605-78054617(+) TTCAGGAAGTGG >mm10_chr8:78064777-78064788(-)::chr8:78064776-78064788(-) AGGAGGAAGGAA >mm10_chr8:78077430-78077441(+)::chr8:78077429-78077441(+) GGAAGGAAGACT >mm10_chr8:78077446-78077457(+)::chr8:78077445-78077457(+) GGCAGGAAGAGT >mm10_chr8:78077478-78077489(+)::chr8:78077477-78077489(+) TGCAGGAAGGAG >mm10_chr8:78103267-78103278(-)::chr8:78103266-78103278(-) aagaggaaggag >mm10_chr8:78103297-78103308(-)::chr8:78103296-78103308(-) aagaggaaggag >mm10_chr8:78103309-78103320(-)::chr8:78103308-78103320(-) aagaggaaggag >mm10_chr8:78103321-78103332(-)::chr8:78103320-78103332(-) aagaggaagggg >mm10_chr8:78119973-78119984(-)::chr8:78119972-78119984(-) AAAAGGAACTCA >mm10_chr8:78137417-78137428(-)::chr8:78137416-78137428(-) agcaggaagacc >mm10_chr8:78137424-78137435(-)::chr8:78137423-78137435(-) ggcaggaagcag >mm10_chr8:78198660-78198671(+)::chr8:78198659-78198671(+) TAGAGGAAGTTG >mm10_chr8:78254552-78254563(-)::chr8:78254551-78254563(-) ATCAGGAAGCTG >mm10_chr8:78267100-78267111(+)::chr8:78267099-78267111(+) AATAGGAAGAGG >mm10_chr8:78280091-78280102(-)::chr8:78280090-78280102(-) aggaggaagaga >mm10_chr8:78280110-78280121(-)::chr8:78280109-78280121(-) aggaggaagaga >mm10_chr8:78280139-78280150(-)::chr8:78280138-78280150(-) aggaggaagagg >mm10_chr8:78280151-78280162(-)::chr8:78280150-78280162(-) aggaggaagagg >mm10_chr8:78340763-78340774(+)::chr8:78340762-78340774(+) AAAAGGAAGCAT >mm10_chr8:78340790-78340801(-)::chr8:78340789-78340801(-) ATGAGGAAGTTG >mm10_chr8:78340831-78340842(-)::chr8:78340830-78340842(-) TAGAGGAAATGG >mm10_chr8:78342114-78342125(+)::chr8:78342113-78342125(+) AGAAGGAAATGC >mm10_chr8:78342133-78342144(-)::chr8:78342132-78342144(-) AAAAGGAAGGTA >mm10_chr8:78454615-78454626(+)::chr8:78454614-78454626(+) ACCAGGAAGTAG >mm10_chr8:78456616-78456627(+)::chr8:78456615-78456627(+) GAAAGGAAGGTG >mm10_chr8:78475654-78475665(+)::chr8:78475653-78475665(+) CAAAGGAAGCCA >mm10_chr8:78484389-78484400(-)::chr8:78484388-78484400(-) GGTAGGAAGGGG >mm10_chr8:78484454-78484465(-)::chr8:78484453-78484465(-) TAAGGGAAGTTG >mm10_chr8:78510247-78510258(-)::chr8:78510246-78510258(-) ACACGGAAGTAG >mm10_chr8:78565110-78565121(+)::chr8:78565109-78565121(+) taaagGAAGGAC >mm10_chr8:78570755-78570766(-)::chr8:78570754-78570766(-) ACCAGGAAGAAA >mm10_chr8:78585127-78585138(+)::chr8:78585126-78585138(+) tgcaggatgtcg >mm10_chr8:78595865-78595876(+)::chr8:78595864-78595876(+) ATCAGGAAGTTG >mm10_chr8:78622490-78622501(+)::chr8:78622489-78622501(+) agcaggaagctg >mm10_chr8:78622524-78622535(+)::chr8:78622523-78622535(+) cacaggaagtgg >mm10_chr8:78622544-78622555(+)::chr8:78622543-78622555(+) ggcaggaagtaa >mm10_chr8:78624186-78624197(+)::chr8:78624185-78624197(+) AACAGGAAGTTC >mm10_chr8:78624218-78624229(+)::chr8:78624217-78624229(+) AACAGGAAGTGC >mm10_chr8:78624250-78624261(+)::chr8:78624249-78624261(+) AACAGGAAGTGC >mm10_chr8:78692265-78692276(-)::chr8:78692264-78692276(-) AACAGGAAGACC >mm10_chr8:78692291-78692302(+)::chr8:78692290-78692302(+) TTAAGGAAGGGA >mm10_chr8:78716488-78716499(-)::chr8:78716487-78716499(-) ccaaggaagctg >mm10_chr8:78716538-78716549(+)::chr8:78716537-78716549(+) accaggaagatc >mm10_chr8:78733304-78733315(+)::chr8:78733303-78733315(+) TAGAGGAAGTAG >mm10_chr8:78733347-78733358(-)::chr8:78733346-78733358(-) TGACGGAAGGGT >mm10_chr8:78741697-78741708(-)::chr8:78741696-78741708(-) ACCAGGAAGGAT >mm10_chr8:78742607-78742618(-)::chr8:78742606-78742618(-) GCCAGGAAGAGG >mm10_chr8:78764125-78764136(+)::chr8:78764124-78764136(+) agaaggaagaga >mm10_chr8:78764148-78764159(+)::chr8:78764147-78764159(+) accaggaagaga >mm10_chr8:78764160-78764171(+)::chr8:78764159-78764171(+) aggaggaagtat >mm10_chr8:78820093-78820104(+)::chr8:78820092-78820104(+) ataaggaagtta >mm10_chr8:78820125-78820136(-)::chr8:78820124-78820136(-) aacaggaagaga >mm10_chr8:78829439-78829450(+)::chr8:78829438-78829450(+) atcaggaaggcc >mm10_chr8:78852102-78852113(-)::chr8:78852101-78852113(-) atcaggaagagc >mm10_chr8:78852124-78852135(-)::chr8:78852123-78852135(-) agaaggaaggca >mm10_chr8:78852153-78852164(+)::chr8:78852152-78852164(+) ggacggaagcac >mm10_chr8:78981965-78981976(+)::chr8:78981964-78981976(+) accaggaagaag >mm10_chr8:78981985-78981996(-)::chr8:78981984-78981996(-) agcaggaactat >mm10_chr8:78982027-78982038(+)::chr8:78982026-78982038(+) ttgaggaagtct >mm10_chr8:78982040-78982051(-)::chr8:78982039-78982051(-) tcggggaagtca >mm10_chr8:79017451-79017462(+)::chr8:79017450-79017462(+) ACAAGGATGTGT >mm10_chr8:79017483-79017494(-)::chr8:79017482-79017494(-) AACAGGAAGGAG >mm10_chr8:79028048-79028059(-)::chr8:79028047-79028059(-) AAGAGGAAGGTG >mm10_chr8:79030490-79030501(-)::chr8:79030489-79030501(-) TAAAGGAAGGGG >mm10_chr8:79069655-79069666(-)::chr8:79069654-79069666(-) ACAAGGAAGTGT >mm10_chr8:79079347-79079358(-)::chr8:79079346-79079358(-) GGCAGGAAGAGC >mm10_chr8:79084297-79084308(-)::chr8:79084296-79084308(-) AAGAGGAAGAGG >mm10_chr8:79087404-79087415(+)::chr8:79087403-79087415(+) TTCAGGAAGTAC >mm10_chr8:79104434-79104445(-)::chr8:79104433-79104445(-) ACAAGGAAGTAA >mm10_chr8:79104466-79104477(-)::chr8:79104465-79104477(-) ACCAGGAAATGT >mm10_chr8:79109370-79109381(-)::chr8:79109369-79109381(-) AAAAGGAAATGT >mm10_chr8:79109395-79109406(+)::chr8:79109394-79109406(+) AGAAGGAAAGAA >mm10_chr8:79153695-79153706(+)::chr8:79153694-79153706(+) ACAAGGAAGGGG >mm10_chr8:79162929-79162940(+)::chr8:79162928-79162940(+) GGCAGGAAGCAG >mm10_chr8:79192330-79192341(-)::chr8:79192329-79192341(-) TAGAGGAAGAAC >mm10_chr8:79273417-79273428(-)::chr8:79273416-79273428(-) AGTAGGAAGCTA >mm10_chr8:79273465-79273476(+)::chr8:79273464-79273476(+) ATAAGGAAAACC >mm10_chr8:79311060-79311071(-)::chr8:79311059-79311071(-) gtgaggaaggag >mm10_chr8:79324368-79324379(+)::chr8:79324367-79324379(+) GCACGGAAGAAA >mm10_chr8:79350439-79350450(-)::chr8:79350438-79350450(-) AGAAGGAAGTTA >mm10_chr8:79362611-79362622(-)::chr8:79362610-79362622(-) atgaggaagtca >mm10_chr8:79372735-79372746(+)::chr8:79372734-79372746(+) aagaggaaggga >mm10_chr8:79374989-79375000(-)::chr8:79374988-79375000(-) TGTAGGAAGTGC >mm10_chr8:79375030-79375041(+)::chr8:79375029-79375041(+) atgaggaagtag >mm10_chr8:79392952-79392963(-)::chr8:79392951-79392963(-) ACCAGGAAGCAG >mm10_chr8:79435120-79435131(-)::chr8:79435119-79435131(-) agcaggaagtga >mm10_chr8:79466246-79466257(-)::chr8:79466245-79466257(-) tcgaggaagtgt >mm10_chr8:79501380-79501391(+)::chr8:79501379-79501391(+) AGCAGGAAGCAC >mm10_chr8:79529967-79529978(+)::chr8:79529966-79529978(+) AAAAGGAAGAAT >mm10_chr8:79529999-79530010(+)::chr8:79529998-79530010(+) ACAAGGAAGAGT >mm10_chr8:79562200-79562211(+)::chr8:79562199-79562211(+) ATAAGGAAAGTG >mm10_chr8:79562261-79562272(+)::chr8:79562260-79562272(+) AGAAGGAAGCGT >mm10_chr8:79608745-79608756(-)::chr8:79608744-79608756(-) tccaggaagtcc >mm10_chr8:79634719-79634730(-)::chr8:79634718-79634730(-) acaaagaagtag >mm10_chr8:79634761-79634772(-)::chr8:79634760-79634772(-) acaaggaagtgt >mm10_chr8:79640474-79640485(-)::chr8:79640473-79640485(-) TACAGGAAGACT >mm10_chr8:79649743-79649754(+)::chr8:79649742-79649754(+) accaggaagcag >mm10_chr8:79649761-79649772(+)::chr8:79649760-79649772(+) aacaggaagttc >mm10_chr8:79726194-79726205(+)::chr8:79726193-79726205(+) CAAAGGAAGTGC >mm10_chr8:79726206-79726217(-)::chr8:79726205-79726217(-) GGCAGGAAGCTA >mm10_chr8:79726259-79726270(+)::chr8:79726258-79726270(+) TGAGGGAAGTCA >mm10_chr8:80163470-80163481(+)::chr8:80163469-80163481(+) AGGAGGAAGAGG >mm10_chr8:80186600-80186611(+)::chr8:80186599-80186611(+) GACAGGAAGGGT >mm10_chr8:80318761-80318772(+)::chr8:80318760-80318772(+) GTGAGGAAATAG >mm10_chr8:80319128-80319139(-)::chr8:80319127-80319139(-) GGAAGGAAGAAC >mm10_chr8:80319188-80319199(-)::chr8:80319187-80319199(-) AGAAGGAAGTTG >mm10_chr8:80319195-80319206(-)::chr8:80319194-80319206(-) AAGAGGAAGAAG >mm10_chr8:80319201-80319212(-)::chr8:80319200-80319212(-) AGGAGGAAGAGG >mm10_chr8:80320282-80320293(+)::chr8:80320281-80320293(+) AACAGGAAGCAA >mm10_chr8:80320304-80320315(+)::chr8:80320303-80320315(+) AGCAGGAAATAG >mm10_chr8:80323496-80323507(-)::chr8:80323495-80323507(-) CAAAGGAAGAGG >mm10_chr8:80325408-80325419(-)::chr8:80325407-80325419(-) AGGAGGAACTAG >mm10_chr8:80325423-80325434(-)::chr8:80325422-80325434(-) AGAAGGAACTAG >mm10_chr8:80329271-80329282(-)::chr8:80329270-80329282(-) GGGAGGAAATAA >mm10_chr8:80329287-80329298(-)::chr8:80329286-80329298(-) GAGAGGAAGAAG >mm10_chr8:80364209-80364220(+)::chr8:80364208-80364220(+) TCCAGGAAGTCT >mm10_chr8:80364266-80364277(-)::chr8:80364265-80364277(-) ATGGGGAAGTGA >mm10_chr8:80453387-80453398(-)::chr8:80453386-80453398(-) TGGAGGAAGGAG >mm10_chr8:80736104-80736115(+)::chr8:80736103-80736115(+) AGGAGGAAGCTG >mm10_chr8:80736133-80736144(+)::chr8:80736132-80736144(+) ATGAGGAAGAGG >mm10_chr8:80738225-80738236(-)::chr8:80738224-80738236(-) TGGAGGAAGCGG >mm10_chr8:80811064-80811075(+)::chr8:80811063-80811075(+) AGAAGGAAGTGA >mm10_chr8:80827189-80827200(-)::chr8:80827188-80827200(-) GCGAGGAAGAGG >mm10_chr8:80840146-80840157(-)::chr8:80840145-80840157(-) ACTAGGAAGTGT >mm10_chr8:80840195-80840206(+)::chr8:80840194-80840206(+) GACAGGAAGAAA >mm10_chr8:80840199-80840210(+)::chr8:80840198-80840210(+) GGAAGAAAGTAC >mm10_chr8:80848077-80848088(-)::chr8:80848076-80848088(-) AGCAGGAAGTTA >mm10_chr8:80857969-80857980(+)::chr8:80857968-80857980(+) ATCAGGAAGAAA >mm10_chr8:80874394-80874405(+)::chr8:80874393-80874405(+) ACAAGGAAGAAA >mm10_chr8:80888224-80888235(-)::chr8:80888223-80888235(-) AGCAGGAAGCTG >mm10_chr8:80919169-80919180(+)::chr8:80919168-80919180(+) AACAGGAAGAGG >mm10_chr8:80919225-80919236(+)::chr8:80919224-80919236(+) GTCAGGAAGAAG >mm10_chr8:80919232-80919243(+)::chr8:80919231-80919243(+) AGAAGGCAGTGC >mm10_chr8:80937714-80937725(-)::chr8:80937713-80937725(-) aggaggaagaAA >mm10_chr8:80937726-80937737(-)::chr8:80937725-80937737(-) agaaggaagaag >mm10_chr8:80937751-80937762(-)::chr8:80937750-80937762(-) aggaggaagagg >mm10_chr8:80937758-80937769(-)::chr8:80937757-80937769(-) gaaaggaaggag >mm10_chr8:80948954-80948965(-)::chr8:80948953-80948965(-) TCCAGGAAATAC >mm10_chr8:80952405-80952416(-)::chr8:80952404-80952416(-) AGCAGGAAGCTC >mm10_chr8:80952425-80952436(-)::chr8:80952424-80952436(-) AAAAGGAAGATG >mm10_chr8:81014933-81014944(+)::chr8:81014932-81014944(+) AATAGGAAGTGA >mm10_chr8:81015399-81015410(-)::chr8:81015398-81015410(-) ACAAGGAAGTAG >mm10_chr8:81095453-81095464(-)::chr8:81095452-81095464(-) ACGGGGAAGTGA >mm10_chr8:81095472-81095483(-)::chr8:81095471-81095483(-) ACCAGGAAATTA >mm10_chr8:81147305-81147316(+)::chr8:81147304-81147316(+) CAGAGGAAGTCT >mm10_chr8:81147318-81147329(-)::chr8:81147317-81147329(-) AGCAGGAAGAGG >mm10_chr8:81398368-81398379(+)::chr8:81398367-81398379(+) ataaggaaaaga >mm10_chr8:81735564-81735575(-)::chr8:81735563-81735575(-) aggaggaagagg >mm10_chr8:81735578-81735589(-)::chr8:81735577-81735589(-) acgaggaagaaa >mm10_chr8:81735620-81735631(-)::chr8:81735619-81735631(-) ACaaggaaaagt >mm10_chr8:81756855-81756866(+)::chr8:81756854-81756866(+) CCCAGGAAGTGG >mm10_chr8:81756879-81756890(-)::chr8:81756878-81756890(-) GGCAGGAAGAAG >mm10_chr8:81757867-81757878(-)::chr8:81757866-81757878(-) GGCAGGAAGATG >mm10_chr8:81767531-81767542(-)::chr8:81767530-81767542(-) AAGAGGAAGCAG >mm10_chr8:81767573-81767584(-)::chr8:81767572-81767584(-) AACAGGAAGGCT >mm10_chr8:81767893-81767904(-)::chr8:81767892-81767904(-) AATAGGAAGTCA >mm10_chr8:81767924-81767935(-)::chr8:81767923-81767935(-) CAGAGGAAGTCT >mm10_chr8:81767957-81767968(-)::chr8:81767956-81767968(-) TGAAGGAAATCT >mm10_chr8:81769347-81769358(-)::chr8:81769346-81769358(-) GGAAGGAAGCCT >mm10_chr8:81770763-81770774(-)::chr8:81770762-81770774(-) TTAAGGCAGTAA >mm10_chr8:81822167-81822178(+)::chr8:81822166-81822178(+) tccaggaaatac >mm10_chr8:82027526-82027537(+)::chr8:82027525-82027537(+) TACAGGAAATAG >mm10_chr8:82113192-82113203(-)::chr8:82113191-82113203(-) TGGAGGAAGGAG >mm10_chr8:82142493-82142504(+)::chr8:82142492-82142504(+) AGAAGGAAGCTC >mm10_chr8:82152158-82152169(-)::chr8:82152157-82152169(-) CAAAGGAAGGCT >mm10_chr8:82374664-82374675(+)::chr8:82374663-82374675(+) GGAAGGAAGTTC >mm10_chr8:82392005-82392016(+)::chr8:82392004-82392016(+) agaaggaaacaa >mm10_chr8:82392064-82392075(-)::chr8:82392063-82392075(-) gacaggaagtag >mm10_chr8:82392528-82392539(+)::chr8:82392527-82392539(+) GTAAGGAAGTTA >mm10_chr8:82393823-82393834(+)::chr8:82393822-82393834(+) TCACGGAAGTCC >mm10_chr8:82426371-82426382(+)::chr8:82426370-82426382(+) aacaggaagtgg >mm10_chr8:82426414-82426425(-)::chr8:82426413-82426425(-) ttcaggaagttg >mm10_chr8:82535548-82535559(+)::chr8:82535547-82535559(+) ACAAGGAAGTGA >mm10_chr8:82618388-82618399(+)::chr8:82618387-82618399(+) aggaggaagaag >mm10_chr8:82618811-82618822(-)::chr8:82618810-82618822(-) GGGAGGAAATGG >mm10_chr8:82699477-82699488(-)::chr8:82699476-82699488(-) AGCAGGAAATCA >mm10_chr8:82774182-82774193(+)::chr8:82774181-82774193(+) AACAGGAAGAAT >mm10_chr8:82774232-82774243(+)::chr8:82774231-82774243(+) TCCCGGAAGTGG >mm10_chr8:82940122-82940133(-)::chr8:82940121-82940133(-) ACAAGGAAAATA >mm10_chr8:82940176-82940187(-)::chr8:82940175-82940187(-) TACAGGAAATGC >mm10_chr8:82983840-82983851(+)::chr8:82983839-82983851(+) TCCAGGAAGAGA >mm10_chr8:83013195-83013206(-)::chr8:83013194-83013206(-) ATGAGGAAGAGA >mm10_chr8:83030432-83030443(+)::chr8:83030431-83030443(+) GCAAGGAAGGAG >mm10_chr8:83030474-83030485(+)::chr8:83030473-83030485(+) ATGAGGAAGCAG >mm10_chr8:83064324-83064335(-)::chr8:83064323-83064335(-) GCAAGGAAGGAT >mm10_chr8:83089833-83089844(-)::chr8:83089832-83089844(-) GGGAGGAAGCGG >mm10_chr8:83136602-83136613(-)::chr8:83136601-83136613(-) ggaaggaggtaa >mm10_chr8:83136606-83136617(-)::chr8:83136605-83136617(-) accaggaaggag >mm10_chr8:83136625-83136636(+)::chr8:83136624-83136636(+) accaggaagcca >mm10_chr8:83136654-83136665(-)::chr8:83136653-83136665(-) ggaaggaagtcg >mm10_chr8:83136658-83136669(-)::chr8:83136657-83136669(-) gaaaggaaggaa >mm10_chr8:83183285-83183296(+)::chr8:83183284-83183296(+) AGCAGGAAGTGC >mm10_chr8:83234536-83234547(-)::chr8:83234535-83234547(-) TGGAGGAAATGG >mm10_chr8:83332462-83332473(+)::chr8:83332461-83332473(+) ACCCGGAAGTCC >mm10_chr8:83443210-83443221(-)::chr8:83443209-83443221(-) ATAATGAAGTGT >mm10_chr8:83566684-83566695(+)::chr8:83566683-83566695(+) GCCAGGAAGCGT >mm10_chr8:83594118-83594129(+)::chr8:83594117-83594129(+) GCAAGGAAGCTG >mm10_chr8:83615621-83615632(+)::chr8:83615620-83615632(+) AGGAGGAAGGGG >mm10_chr8:83627536-83627547(-)::chr8:83627535-83627547(-) ACAAGGAAGTAA >mm10_chr8:83652493-83652504(-)::chr8:83652492-83652504(-) ATAGGGAAGGGC >mm10_chr8:83656912-83656923(+)::chr8:83656911-83656923(+) CTAAGGAAGAGC >mm10_chr8:83656972-83656983(+)::chr8:83656971-83656983(+) agaaggaagtga >mm10_chr8:83662582-83662593(+)::chr8:83662581-83662593(+) TGAAGGAAGTTG >mm10_chr8:83689832-83689843(-)::chr8:83689831-83689843(-) cgaaggcagtca >mm10_chr8:83694067-83694078(-)::chr8:83694066-83694078(-) AAGAGGAAGTGG >mm10_chr8:83695592-83695603(-)::chr8:83695591-83695603(-) AGCAGGAAGTTG >mm10_chr8:83695599-83695610(-)::chr8:83695598-83695610(-) AGGAGGAAGCAG >mm10_chr8:83710180-83710191(+)::chr8:83710179-83710191(+) aagaggaagtgc >mm10_chr8:83724898-83724909(+)::chr8:83724897-83724909(+) ACCAGGAAGTAG >mm10_chr8:83738018-83738029(-)::chr8:83738017-83738029(-) TTAAGGAAGTGA >mm10_chr8:83738274-83738285(-)::chr8:83738273-83738285(-) AGGAGGAAGAAA >mm10_chr8:83740081-83740092(-)::chr8:83740080-83740092(-) ACCAGGAAATAG >mm10_chr8:83837871-83837882(+)::chr8:83837870-83837882(+) accaggaagaga >mm10_chr8:83864709-83864720(-)::chr8:83864708-83864720(-) ctgaggaagtag >mm10_chr8:83875462-83875473(+)::chr8:83875461-83875473(+) AGAAGGAAGTGC >mm10_chr8:83875478-83875489(+)::chr8:83875477-83875489(+) GGAGGGAAGTGT >mm10_chr8:83944026-83944037(+)::chr8:83944025-83944037(+) ctaaggaaattg >mm10_chr8:83977705-83977716(-)::chr8:83977704-83977716(-) AACAGGAAGTGG >mm10_chr8:83977792-83977803(+)::chr8:83977791-83977803(+) AGAAGGAAGATG >mm10_chr8:83989367-83989378(-)::chr8:83989366-83989378(-) AAAAGGAAGCAC >mm10_chr8:84004903-84004914(+)::chr8:84004902-84004914(+) AGAGGGAAGTAG >mm10_chr8:84066452-84066463(+)::chr8:84066451-84066463(+) AGGAGGAAGTCT >mm10_chr8:84104868-84104879(-)::chr8:84104867-84104879(-) AGCGGGAAGCGA >mm10_chr8:84176459-84176470(-)::chr8:84176458-84176470(-) ATAAGGAAGAGG >mm10_chr8:84249744-84249755(+)::chr8:84249743-84249755(+) AAGCGGAAGTCG >mm10_chr8:84256639-84256650(+)::chr8:84256638-84256650(+) AACAGGAAGGGG >mm10_chr8:84341531-84341542(-)::chr8:84341530-84341542(-) CAGAGGAAGTGC >mm10_chr8:84377718-84377729(+)::chr8:84377717-84377729(+) AGGAGGAAGGCT >mm10_chr8:84377731-84377742(+)::chr8:84377730-84377742(+) GGCAGGAAGTGG >mm10_chr8:84377765-84377776(+)::chr8:84377764-84377776(+) ACCAGGAAGGTC >mm10_chr8:84401609-84401620(+)::chr8:84401608-84401620(+) TGCAGGAAGGAA >mm10_chr8:84552463-84552474(-)::chr8:84552462-84552474(-) aagaggaagaCA >mm10_chr8:84552469-84552480(-)::chr8:84552468-84552480(-) agaaggaagagg >mm10_chr8:84552481-84552492(-)::chr8:84552480-84552492(-) aagaggaagaag >mm10_chr8:84552487-84552498(-)::chr8:84552486-84552498(-) agaaggaagagg >mm10_chr8:84552494-84552505(-)::chr8:84552493-84552505(-) aagaggaagaag >mm10_chr8:84552500-84552511(-)::chr8:84552499-84552511(-) aggaggaagagg >mm10_chr8:84601418-84601429(-)::chr8:84601417-84601429(-) GCACGGAAGAGG >mm10_chr8:84601734-84601745(-)::chr8:84601733-84601745(-) GGAAGGCAGTGA >mm10_chr8:84601738-84601749(-)::chr8:84601737-84601749(-) GGAAGGAAGGCA >mm10_chr8:84601946-84601957(+)::chr8:84601945-84601957(+) ACGGGGAAGACG >mm10_chr8:84657087-84657098(-)::chr8:84657086-84657098(-) AGCAGGAAGTGT >mm10_chr8:84661720-84661731(+)::chr8:84661719-84661731(+) AACAGGAAGCAC >mm10_chr8:84662742-84662753(-)::chr8:84662741-84662753(-) GCATGGAAGTAC >mm10_chr8:84723029-84723040(+)::chr8:84723028-84723040(+) AGGAGGAAGCCG >mm10_chr8:84731533-84731544(-)::chr8:84731532-84731544(-) TGGAGGAAGTGG >mm10_chr8:84733286-84733297(+)::chr8:84733285-84733297(+) CTGAGGAAGTCC >mm10_chr8:84741148-84741159(-)::chr8:84741147-84741159(-) GGAAGGAAGCAA >mm10_chr8:84741152-84741163(-)::chr8:84741151-84741163(-) AGGAGGAAGGAA >mm10_chr8:84749686-84749697(-)::chr8:84749685-84749697(-) TCCAGGAAATAA >mm10_chr8:84770441-84770452(-)::chr8:84770440-84770452(-) AGGAGGAAGTGG >mm10_chr8:84788231-84788242(+)::chr8:84788230-84788242(+) TGAAGGAAGAGA >mm10_chr8:84788253-84788264(+)::chr8:84788252-84788264(+) GGTAGGAAGGAA >mm10_chr8:84846216-84846227(+)::chr8:84846215-84846227(+) TCCAGGAAGTTG >mm10_chr8:84846236-84846247(-)::chr8:84846235-84846247(-) ACAAGGGAGTGG >mm10_chr8:84847939-84847950(+)::chr8:84847938-84847950(+) AGGAGGAAGAAC >mm10_chr8:84856961-84856972(-)::chr8:84856960-84856972(-) AACCGGAAGTCG >mm10_chr8:84859772-84859783(+)::chr8:84859771-84859783(+) AGAAGGAAGAGG >mm10_chr8:84859778-84859789(+)::chr8:84859777-84859789(+) AAGAGGAAGGCA >mm10_chr8:84861385-84861396(+)::chr8:84861384-84861396(+) AAAAGGAACGGA >mm10_chr8:84861421-84861432(+)::chr8:84861420-84861432(+) TGACGGAAGTGT >mm10_chr8:84893932-84893943(+)::chr8:84893931-84893943(+) ACCAGGAAGGGG >mm10_chr8:84953207-84953218(+)::chr8:84953206-84953218(+) ACCAGGAAGTTT >mm10_chr8:84968699-84968710(-)::chr8:84968698-84968710(-) ATGAGGAAGTAT >mm10_chr8:84979747-84979758(-)::chr8:84979746-84979758(-) CTAAGGAAGGGA >mm10_chr8:84979784-84979795(+)::chr8:84979783-84979795(+) GGCAGGAAGTGT >mm10_chr8:84985845-84985856(+)::chr8:84985844-84985856(+) GGGAGGAAGCAA >mm10_chr8:84985915-84985926(+)::chr8:84985914-84985926(+) AAGAGGAAGGTC >mm10_chr8:85026868-85026879(-)::chr8:85026867-85026879(-) CAAAGGACGTCG >mm10_chr8:85051239-85051250(-)::chr8:85051238-85051250(-) acagggaagtaa >mm10_chr8:85051282-85051293(-)::chr8:85051281-85051293(-) acaaggaagtag >mm10_chr8:85060048-85060059(+)::chr8:85060047-85060059(+) CGCCGGAAGTGA >mm10_chr8:85071713-85071724(-)::chr8:85071712-85071724(-) AACCGGAAGTCA >mm10_chr8:85080745-85080756(-)::chr8:85080744-85080756(-) GCACGGAAGTGC >mm10_chr8:85080821-85080832(-)::chr8:85080820-85080832(-) AGTAGGAAGTCT >mm10_chr8:85080986-85080997(+)::chr8:85080985-85080997(+) AGAAGGACGGCG >mm10_chr8:85092634-85092645(-)::chr8:85092633-85092645(-) AAGAGGAAGGGG >mm10_chr8:85092655-85092666(-)::chr8:85092654-85092666(-) AACAGGAAGAAA >mm10_chr8:85107261-85107272(-)::chr8:85107260-85107272(-) caaaggaagttg >mm10_chr8:85301803-85301814(+)::chr8:85301802-85301814(+) GTCAGGAAGAGT >mm10_chr8:85364616-85364627(-)::chr8:85364615-85364627(-) GACAGGAAATGG >mm10_chr8:85379606-85379617(-)::chr8:85379605-85379617(-) tccaggaaatac >mm10_chr8:85386364-85386375(-)::chr8:85386363-85386375(-) GGCAGGAAATAG >mm10_chr8:85408276-85408287(+)::chr8:85408275-85408287(+) ACCAGGAAATGA >mm10_chr8:85418886-85418897(+)::chr8:85418885-85418897(+) AGGAGGAAGGAG >mm10_chr8:85440847-85440858(-)::chr8:85440846-85440858(-) agaaggaaatac >mm10_chr8:85476501-85476512(+)::chr8:85476500-85476512(+) AACAGGAAGTAA >mm10_chr8:85482017-85482028(+)::chr8:85482016-85482028(+) AGGGGGAAGTGC >mm10_chr8:85482086-85482097(+)::chr8:85482085-85482097(+) AGCCGGAAGTCC >mm10_chr8:85482765-85482776(+)::chr8:85482764-85482776(+) AGGAGGAAATAC >mm10_chr8:85482780-85482791(+)::chr8:85482779-85482791(+) AGGAGGAAGGGC >mm10_chr8:85495095-85495106(-)::chr8:85495094-85495106(-) AGCAGGAAGACT >mm10_chr8:85501163-85501174(+)::chr8:85501162-85501174(+) ACAAGGAAGGGC >mm10_chr8:85507221-85507232(+)::chr8:85507220-85507232(+) AACAGGAAGTGG >mm10_chr8:85515673-85515684(-)::chr8:85515672-85515684(-) ACAAGGAAGTGA >mm10_chr8:85531663-85531674(+)::chr8:85531662-85531674(+) aacaggaagcag >mm10_chr8:85534349-85534360(-)::chr8:85534348-85534360(-) taaaggaagaag >mm10_chr8:85554555-85554566(+)::chr8:85554554-85554566(+) AACAGGAAGTCT >mm10_chr8:85595000-85595011(+)::chr8:85594999-85595011(+) CAaaggaagtac >mm10_chr8:85595017-85595028(+)::chr8:85595016-85595028(+) acaaggaaggac >mm10_chr8:85747023-85747034(+)::chr8:85747022-85747034(+) tacaggaaatgt >mm10_chr8:85840715-85840726(+)::chr8:85840714-85840726(+) GCTAGGAAGCCG >mm10_chr8:85840932-85840943(-)::chr8:85840931-85840943(-) AGCCGGAAGTGA >mm10_chr8:85841210-85841221(-)::chr8:85841209-85841221(-) TTAAGGAAGCAA >mm10_chr8:85871526-85871537(+)::chr8:85871525-85871537(+) AGAAGGAAGTCT >mm10_chr8:85871629-85871640(-)::chr8:85871628-85871640(-) AGAAGGAACTGA >mm10_chr8:85958680-85958691(+)::chr8:85958679-85958691(+) AGGAGGAAGTTA >mm10_chr8:85963808-85963819(+)::chr8:85963807-85963819(+) AGAAGGAAAAGA >mm10_chr8:85963821-85963832(-)::chr8:85963820-85963832(-) AGAAGGAAGTCT >mm10_chr8:86028787-86028798(+)::chr8:86028786-86028798(+) AGGAGGAAGCAA >mm10_chr8:86226384-86226395(+)::chr8:86226383-86226395(+) acacggaagaag >mm10_chr8:86280649-86280660(+)::chr8:86280648-86280660(+) GAAAGGAAGTAT >mm10_chr8:86280668-86280679(-)::chr8:86280667-86280679(-) AGAAGGAAGGTT >mm10_chr8:86353890-86353901(+)::chr8:86353889-86353901(+) ACAAGGAAGCTT >mm10_chr8:86383939-86383950(+)::chr8:86383938-86383950(+) ACAAGGAAAGGA >mm10_chr8:86400725-86400736(-)::chr8:86400724-86400736(-) AAAGGGAAGTTC >mm10_chr8:86400781-86400792(+)::chr8:86400780-86400792(+) AACAGGAAGCAG >mm10_chr8:86401671-86401682(-)::chr8:86401670-86401682(-) GCAAGGAAGGAG >mm10_chr8:86402253-86402264(+)::chr8:86402252-86402264(+) GAGAGGAAGTTG >mm10_chr8:86402295-86402306(-)::chr8:86402294-86402306(-) AAGAGGAAGTAC >mm10_chr8:86501510-86501521(+)::chr8:86501509-86501521(+) AACAGGAAGAGC >mm10_chr8:86558797-86558808(+)::chr8:86558796-86558808(+) aggaggaagagg >mm10_chr8:86558815-86558826(+)::chr8:86558814-86558826(+) agaaggaagagg >mm10_chr8:86558842-86558853(+)::chr8:86558841-86558853(+) aggaggaagaga >mm10_chr8:86578291-86578302(+)::chr8:86578290-86578302(+) ATCAGGAAGTGT >mm10_chr8:86669674-86669685(-)::chr8:86669673-86669685(-) AGAAGGAAATGT >mm10_chr8:86669692-86669703(+)::chr8:86669691-86669703(+) AAAAGGAAATAT >mm10_chr8:86678433-86678444(-)::chr8:86678432-86678444(-) aagaggaagaAC >mm10_chr8:86678445-86678456(-)::chr8:86678444-86678456(-) aggaggaagaag >mm10_chr8:86685489-86685500(+)::chr8:86685488-86685500(+) ATAAGGAAAGAG >mm10_chr8:86688880-86688891(+)::chr8:86688879-86688891(+) ttaaggaagaaa >mm10_chr8:86689898-86689909(-)::chr8:86689897-86689909(-) agcaggaagtgc >mm10_chr8:86728378-86728389(-)::chr8:86728377-86728389(-) ACCAGGAAGAGG >mm10_chr8:86734607-86734618(+)::chr8:86734606-86734618(+) aagaggaagtca >mm10_chr8:86735310-86735321(+)::chr8:86735309-86735321(+) AGAAGGAAGACT >mm10_chr8:86735344-86735355(+)::chr8:86735343-86735355(+) AGAAGGAAGACT >mm10_chr8:86735616-86735627(+)::chr8:86735615-86735627(+) TAAAGGAACTTC >mm10_chr8:86735621-86735632(-)::chr8:86735620-86735632(-) GAGAGGAAGTTC >mm10_chr8:86769239-86769250(+)::chr8:86769238-86769250(+) aagaggaagagg >mm10_chr8:86770452-86770463(+)::chr8:86770451-86770463(+) AAAAGAAAGTTA >mm10_chr8:86825806-86825817(+)::chr8:86825805-86825817(+) ACAAGGAAGTAC >mm10_chr8:86861750-86861761(-)::chr8:86861749-86861761(-) AGAAGGAACTAC >mm10_chr8:86861762-86861773(+)::chr8:86861761-86861773(+) TCAAGGAAGTAG >mm10_chr8:86872332-86872343(+)::chr8:86872331-86872343(+) AAACGGAAGCAG >mm10_chr8:86888072-86888083(-)::chr8:86888071-86888083(-) AAGAGGAAGCAG >mm10_chr8:86888754-86888765(-)::chr8:86888753-86888765(-) CTGAGGAAGTTG >mm10_chr8:86898283-86898294(-)::chr8:86898282-86898294(-) AGAAGGAAGAAG >mm10_chr8:86901554-86901565(+)::chr8:86901553-86901565(+) gcaatgaagtac >mm10_chr8:86901585-86901596(+)::chr8:86901584-86901596(+) actaggaagtga >mm10_chr8:86901631-86901642(-)::chr8:86901630-86901642(-) ACAGGGAAGTCC >mm10_chr8:86920575-86920586(+)::chr8:86920574-86920586(+) agaaggaaagaa >mm10_chr8:86920604-86920615(+)::chr8:86920603-86920615(+) atcaggaagtgg >mm10_chr8:87166665-87166676(+)::chr8:87166664-87166676(+) ATCAGGAAGGAA >mm10_chr8:87166669-87166680(+)::chr8:87166668-87166680(+) GGAAGGAATTGA >mm10_chr8:87166921-87166932(+)::chr8:87166920-87166932(+) AAGAGGAAGAAG >mm10_chr8:87349857-87349868(-)::chr8:87349856-87349868(-) ACAAGGAAAACA >mm10_chr8:87354000-87354011(-)::chr8:87353999-87354011(-) agcaggaagtca >mm10_chr8:87354013-87354024(-)::chr8:87354012-87354024(-) caaaggaagtca >mm10_chr8:87594361-87594372(-)::chr8:87594360-87594372(-) GGAAGGAAGACC >mm10_chr8:87594365-87594376(-)::chr8:87594364-87594376(-) GGTAGGAAGGAA >mm10_chr8:87920355-87920366(-)::chr8:87920354-87920366(-) ACAAGGAAGTGT >mm10_chr8:87920381-87920392(+)::chr8:87920380-87920392(+) GTCAGGAAGTAT >mm10_chr8:87929933-87929944(+)::chr8:87929932-87929944(+) GGAAGGAAGGCA >mm10_chr8:87929937-87929948(+)::chr8:87929936-87929948(+) GGAAGGCAGTGG >mm10_chr8:88049283-88049294(-)::chr8:88049282-88049294(-) GGCAGGAAGTTG >mm10_chr8:88142014-88142025(+)::chr8:88142013-88142025(+) AACGGGAAGTAA >mm10_chr8:88182415-88182426(+)::chr8:88182414-88182426(+) ggcaggaagaag >mm10_chr8:88211324-88211335(-)::chr8:88211323-88211335(-) aaacggaagaga >mm10_chr8:88220600-88220611(+)::chr8:88220599-88220611(+) TAGAGGAAGAGG >mm10_chr8:88229030-88229041(+)::chr8:88229029-88229041(+) AGGCGGAAGTGT >mm10_chr8:88229060-88229071(+)::chr8:88229059-88229071(+) GTCAGGAAGTGT >mm10_chr8:88284846-88284857(+)::chr8:88284845-88284857(+) ACACGGAAGCTA >mm10_chr8:88284889-88284900(+)::chr8:88284888-88284900(+) GCAAGGAACTGG >mm10_chr8:88434489-88434500(-)::chr8:88434488-88434500(-) aagaggaagagg >mm10_chr8:88509633-88509644(-)::chr8:88509632-88509644(-) agaaggaagagg >mm10_chr8:88509642-88509653(-)::chr8:88509641-88509653(-) aggaggaagaga >mm10_chr8:88509665-88509676(-)::chr8:88509664-88509676(-) aggaggaagaag >mm10_chr8:88509674-88509685(-)::chr8:88509673-88509685(-) aggaggaagagg >mm10_chr8:88509698-88509709(-)::chr8:88509697-88509709(-) aggaggaagagg >mm10_chr8:88509710-88509721(-)::chr8:88509709-88509721(-) aagaggaagaag >mm10_chr8:88509716-88509727(-)::chr8:88509715-88509727(-) aggaggaagagg >mm10_chr8:88539175-88539186(+)::chr8:88539174-88539186(+) acaaggaaatgc >mm10_chr8:88560581-88560592(+)::chr8:88560580-88560592(+) ACGAGGAAGAAG >mm10_chr8:88560588-88560599(+)::chr8:88560587-88560599(+) AGAAGGAAGCAC >mm10_chr8:88560610-88560621(+)::chr8:88560609-88560621(+) GGCAGGAAGCTA >mm10_chr8:88560619-88560630(+)::chr8:88560618-88560630(+) CTAAGGAAGATG >mm10_chr8:88650556-88650567(+)::chr8:88650555-88650567(+) AGAAGGAAACAG >mm10_chr8:88650635-88650646(-)::chr8:88650634-88650646(-) AGCAGGAAGTTA >mm10_chr8:88651292-88651303(+)::chr8:88651291-88651303(+) GAAAGGAAGTCT >mm10_chr8:88651309-88651320(-)::chr8:88651308-88651320(-) AGGAGGAAGCGG >mm10_chr8:88662552-88662563(+)::chr8:88662551-88662563(+) ATTAGGAAGTGC >mm10_chr8:88662603-88662614(+)::chr8:88662602-88662614(+) AGGAGGAAGGGG >mm10_chr8:88662617-88662628(+)::chr8:88662616-88662628(+) AGAAGGAAGTAT >mm10_chr8:88680658-88680669(+)::chr8:88680657-88680669(+) aaaaggaaataa >mm10_chr8:88695588-88695599(-)::chr8:88695587-88695599(-) TTGAGGAAGTAG >mm10_chr8:88695606-88695617(-)::chr8:88695605-88695617(-) ACAAGGAAGAGG >mm10_chr8:88696511-88696522(+)::chr8:88696510-88696522(+) acgaggaaattg >mm10_chr8:88698926-88698937(-)::chr8:88698925-88698937(-) ATCAGGAAGACA >mm10_chr8:88701812-88701823(+)::chr8:88701811-88701823(+) AAAAGGAAGCAG >mm10_chr8:88701819-88701830(+)::chr8:88701818-88701830(+) AGCAGGAAGAGG >mm10_chr8:89171870-89171881(+)::chr8:89171869-89171881(+) AGCAGGAAGTGA >mm10_chr8:89620202-89620213(-)::chr8:89620201-89620213(-) ATAAGGAAATGG >mm10_chr8:89837724-89837735(-)::chr8:89837723-89837735(-) accaggaagtgt >mm10_chr8:89837757-89837768(-)::chr8:89837756-89837768(-) atcaggaagtgg >mm10_chr8:89839940-89839951(+)::chr8:89839939-89839951(+) gccaggaaggaa >mm10_chr8:89839944-89839955(+)::chr8:89839943-89839955(+) ggaaggaagagt >mm10_chr8:89839954-89839965(-)::chr8:89839953-89839965(-) atcaggaactac >mm10_chr8:89942364-89942375(-)::chr8:89942363-89942375(-) aagaggaagttt >mm10_chr8:90057910-90057921(-)::chr8:90057909-90057921(-) aaaaggaaatgt >mm10_chr8:90057935-90057946(+)::chr8:90057934-90057946(+) ataaggaagtta >mm10_chr8:90323598-90323609(+)::chr8:90323597-90323609(+) ACCAGGAAGTCC >mm10_chr8:90323606-90323617(-)::chr8:90323605-90323617(-) ATGAGGAAGGAC >mm10_chr8:90323643-90323654(+)::chr8:90323642-90323654(+) ACCAGGAAGTCC >mm10_chr8:90323651-90323662(-)::chr8:90323650-90323662(-) ATGAGGAAGGAC >mm10_chr8:90431755-90431766(+)::chr8:90431754-90431766(+) ACAGGGAAGTGA >mm10_chr8:90431817-90431828(-)::chr8:90431816-90431828(-) TACAGGAAGTAT >mm10_chr8:90749279-90749290(-)::chr8:90749278-90749290(-) aaaaggcagtgc >mm10_chr8:90782733-90782744(+)::chr8:90782732-90782744(+) aggaggaagaag >mm10_chr8:90782742-90782753(+)::chr8:90782741-90782753(+) aagaggaagaga >mm10_chr8:90790436-90790447(+)::chr8:90790435-90790447(+) atgaggaaggag >mm10_chr8:90790443-90790454(+)::chr8:90790442-90790454(+) aggaggaagaag >mm10_chr8:90794978-90794989(-)::chr8:90794977-90794989(-) gaaaggaagaag >mm10_chr8:90794989-90795000(-)::chr8:90794988-90795000(-) agaaggaaggag >mm10_chr8:90822538-90822549(+)::chr8:90822537-90822549(+) aagaggaagtga >mm10_chr8:90822558-90822569(+)::chr8:90822557-90822569(+) CGACGGAAGTCA >mm10_chr8:90823224-90823235(+)::chr8:90823223-90823235(+) aggaggaaggag >mm10_chr8:90834052-90834063(+)::chr8:90834051-90834063(+) GAAAGGAAGAGG >mm10_chr8:90834059-90834070(+)::chr8:90834058-90834070(+) AGAGGGAAGTGA >mm10_chr8:90839732-90839743(-)::chr8:90839731-90839743(-) tgaaggaaatgg >mm10_chr8:90840510-90840521(-)::chr8:90840509-90840521(-) GGAAGGAACTAG >mm10_chr8:90840514-90840525(-)::chr8:90840513-90840525(-) AACAGGAAGGAA >mm10_chr8:90841300-90841311(-)::chr8:90841299-90841311(-) TCCAGGAAGTCT >mm10_chr8:90841696-90841707(+)::chr8:90841695-90841707(+) ATCAGGAAGTGC >mm10_chr8:90860052-90860063(+)::chr8:90860051-90860063(+) tgagggaagtca >mm10_chr8:90860106-90860117(+)::chr8:90860105-90860117(+) ccaaggaagaac >mm10_chr8:90869317-90869328(-)::chr8:90869316-90869328(-) AAAAGGAAGTCT >mm10_chr8:90869690-90869701(-)::chr8:90869689-90869701(-) GGGAGGAAGGGG >mm10_chr8:90871949-90871960(-)::chr8:90871948-90871960(-) TGCAGGAAGCAA >mm10_chr8:90876620-90876631(+)::chr8:90876619-90876631(+) CAGAGGAAGTGA >mm10_chr8:90877019-90877030(-)::chr8:90877018-90877030(-) CGTAGGAAGGAT >mm10_chr8:90877042-90877053(-)::chr8:90877041-90877053(-) AGGAGGAAGAAG >mm10_chr8:90907674-90907685(+)::chr8:90907673-90907685(+) agaaggaactCC >mm10_chr8:90909459-90909470(-)::chr8:90909458-90909470(-) GCCAGGAAGACA >mm10_chr8:90929528-90929539(-)::chr8:90929527-90929539(-) aagaggaagaag >mm10_chr8:90929534-90929545(-)::chr8:90929533-90929545(-) aggaggaagagg >mm10_chr8:90929564-90929575(-)::chr8:90929563-90929575(-) agcaggaagaga >mm10_chr8:90929600-90929611(-)::chr8:90929599-90929611(-) aggaggaagaag >mm10_chr8:90933124-90933135(-)::chr8:90933123-90933135(-) TTGAGGAAGTGT >mm10_chr8:90933147-90933158(+)::chr8:90933146-90933158(+) ACCAGGAAGGCA >mm10_chr8:90933183-90933194(-)::chr8:90933182-90933194(-) AGCAGGAAGTCA >mm10_chr8:90938526-90938537(+)::chr8:90938525-90938537(+) CAAAGGAAGTTC >mm10_chr8:90938578-90938589(-)::chr8:90938577-90938589(-) TTCAGGAAGTAG >mm10_chr8:90955320-90955331(+)::chr8:90955319-90955331(+) AAGAGGAAGGGT >mm10_chr8:90961817-90961828(-)::chr8:90961816-90961828(-) GTAAGGAAGTCC >mm10_chr8:91017333-91017344(-)::chr8:91017332-91017344(-) AGGAGGAAGAGA >mm10_chr8:91017350-91017361(-)::chr8:91017349-91017361(-) GGGAGGAAGGAT >mm10_chr8:91051155-91051166(+)::chr8:91051154-91051166(+) GAGAGGAAGTAA >mm10_chr8:91051507-91051518(+)::chr8:91051506-91051518(+) GCGAGGAAATGA >mm10_chr8:91051531-91051542(+)::chr8:91051530-91051542(+) AGAAGGAAAAAA >mm10_chr8:91070774-91070785(+)::chr8:91070773-91070785(+) ACACGGAAGTCC >mm10_chr8:91073159-91073170(-)::chr8:91073158-91073170(-) TGAAGGAAATGG >mm10_chr8:91076823-91076834(+)::chr8:91076822-91076834(+) AAAGGGAAGCGT >mm10_chr8:91176264-91176275(+)::chr8:91176263-91176275(+) AGAAGGAAGTTC >mm10_chr8:91184024-91184035(+)::chr8:91184023-91184035(+) AGCAGGAAGAAT >mm10_chr8:91333074-91333085(-)::chr8:91333073-91333085(-) GCCAGGAAGGAA >mm10_chr8:91350514-91350525(-)::chr8:91350513-91350525(-) AGTAGGAAGAGA >mm10_chr8:91350524-91350535(-)::chr8:91350523-91350535(-) ACAAGGAAGCAG >mm10_chr8:91357684-91357695(+)::chr8:91357683-91357695(+) AACAGGAAGCAG >mm10_chr8:91357707-91357718(+)::chr8:91357706-91357718(+) TATAGGAAGGAA >mm10_chr8:91365956-91365967(-)::chr8:91365955-91365967(-) GCCAGGAAGGGC >mm10_chr8:91365970-91365981(-)::chr8:91365969-91365981(-) TAAAGGAAGAAA >mm10_chr8:91370702-91370713(-)::chr8:91370701-91370713(-) TGAAGGAAGGTG >mm10_chr8:91370742-91370753(-)::chr8:91370741-91370753(-) ACAGGGAAGTCA >mm10_chr8:91371209-91371220(+)::chr8:91371208-91371220(+) gaaaggaagtag >mm10_chr8:91371268-91371279(+)::chr8:91371267-91371279(+) agaaggaaggac >mm10_chr8:91395102-91395113(-)::chr8:91395101-91395113(-) TGAAGGAAGTGA >mm10_chr8:91395164-91395175(-)::chr8:91395163-91395175(-) AAAGGGAAGTAT >mm10_chr8:91411135-91411146(-)::chr8:91411134-91411146(-) AGAGGGAAGTAC >mm10_chr8:91411148-91411159(+)::chr8:91411147-91411159(+) ACGAGGAAGGAG >mm10_chr8:91411152-91411163(+)::chr8:91411151-91411163(+) GGAAGGAGGTTA >mm10_chr8:91495117-91495128(-)::chr8:91495116-91495128(-) ATAAGGAAATTC >mm10_chr8:91508015-91508026(+)::chr8:91508014-91508026(+) TCCAGGAAGAGG >mm10_chr8:91508021-91508032(+)::chr8:91508020-91508032(+) AAGAGGAAGCAG >mm10_chr8:91539889-91539900(-)::chr8:91539888-91539900(-) GGAAGGAAGCCG >mm10_chr8:91539893-91539904(-)::chr8:91539892-91539904(-) GTAAGGAAGGAA >mm10_chr8:91551119-91551130(-)::chr8:91551118-91551130(-) ACAAGGAAGCTG >mm10_chr8:91560113-91560124(+)::chr8:91560112-91560124(+) ATAAGGAAATGA >mm10_chr8:91567856-91567867(+)::chr8:91567855-91567867(+) AACAGGAAGCTA >mm10_chr8:91599641-91599652(+)::chr8:91599640-91599652(+) CGGAGGAAGTGT >mm10_chr8:91620789-91620800(-)::chr8:91620788-91620800(-) AGGAGGAAGTGC >mm10_chr8:91667149-91667160(+)::chr8:91667148-91667160(+) AAGAGGAAGGAG >mm10_chr8:91667848-91667859(-)::chr8:91667847-91667859(-) ACCAGGAAGCCC >mm10_chr8:91701575-91701586(-)::chr8:91701574-91701586(-) agaaggaagaCC >mm10_chr8:91701587-91701598(-)::chr8:91701586-91701598(-) aggaggaagaag >mm10_chr8:91701599-91701610(-)::chr8:91701598-91701610(-) aggaggaagagg >mm10_chr8:91701617-91701628(-)::chr8:91701616-91701628(-) aagaggaagaag >mm10_chr8:91701623-91701634(-)::chr8:91701622-91701634(-) aagaggaagagg >mm10_chr8:91712963-91712974(+)::chr8:91712962-91712974(+) TCCAGGAAGTCC >mm10_chr8:91713334-91713345(+)::chr8:91713333-91713345(+) GACAGGAAGTCC >mm10_chr8:91713364-91713375(-)::chr8:91713363-91713375(-) CAAAGGAAGATA >mm10_chr8:91738327-91738338(-)::chr8:91738326-91738338(-) GCCAGGAAATTA >mm10_chr8:91738387-91738398(+)::chr8:91738386-91738398(+) AACAGGAAGGAC >mm10_chr8:91746553-91746564(-)::chr8:91746552-91746564(-) TAGAGGAAGGAA >mm10_chr8:91783596-91783607(+)::chr8:91783595-91783607(+) CCAAGGAAGGGC >mm10_chr8:91784393-91784404(-)::chr8:91784392-91784404(-) ACCAGGAAGTCT >mm10_chr8:91808432-91808443(-)::chr8:91808431-91808443(-) aaaagaaagtga >mm10_chr8:91808444-91808455(-)::chr8:91808443-91808455(-) agaaggaaggag >mm10_chr8:91808451-91808462(-)::chr8:91808450-91808462(-) aggaggaagaag >mm10_chr8:91822966-91822977(-)::chr8:91822965-91822977(-) AGGAGGAAGAGG >mm10_chr8:91824736-91824747(+)::chr8:91824735-91824747(+) TGAAGGAAGCCA >mm10_chr8:91824800-91824811(-)::chr8:91824799-91824811(-) GCGAGGAAATGG >mm10_chr8:91834524-91834535(+)::chr8:91834523-91834535(+) AGGAGGAAGATG >mm10_chr8:91837321-91837332(-)::chr8:91837320-91837332(-) AGCAGGAAGCCC >mm10_chr8:91837363-91837374(+)::chr8:91837362-91837374(+) TGAAGGAAATAA >mm10_chr8:91837372-91837383(-)::chr8:91837371-91837383(-) GAAAGGAAGTTA >mm10_chr8:91857423-91857434(+)::chr8:91857422-91857434(+) TAGAGGAAGATG >mm10_chr8:91883391-91883402(-)::chr8:91883390-91883402(-) ACAAGGAAGAAA >mm10_chr8:91883411-91883422(-)::chr8:91883410-91883422(-) TGAAGGAAGTAG >mm10_chr8:91904440-91904451(+)::chr8:91904439-91904451(+) aaagggaagtag >mm10_chr8:91904498-91904509(+)::chr8:91904497-91904509(+) aagaggaagtga >mm10_chr8:91904510-91904521(+)::chr8:91904509-91904521(+) agaaggaaggga >mm10_chr8:91915166-91915177(-)::chr8:91915165-91915177(-) ATGAGGAAGTTC >mm10_chr8:91929208-91929219(+)::chr8:91929207-91929219(+) CAAAGGAAGCAC >mm10_chr8:91969215-91969226(+)::chr8:91969214-91969226(+) GTAAGGAAGGAA >mm10_chr8:91969219-91969230(+)::chr8:91969218-91969230(+) GGAAGGAAATAG >mm10_chr8:91999420-91999431(+)::chr8:91999419-91999431(+) agcaggaaatga >mm10_chr8:92010717-92010728(-)::chr8:92010716-92010728(-) aggaggaaatgg >mm10_chr8:92125796-92125807(+)::chr8:92125795-92125807(+) AGAAGGAAAACA >mm10_chr8:92125856-92125867(+)::chr8:92125855-92125867(+) tcaaggaagaga >mm10_chr8:92137168-92137179(-)::chr8:92137167-92137179(-) GAAAGGAAATCA >mm10_chr8:92176694-92176705(-)::chr8:92176693-92176705(-) tgcaggaaatat >mm10_chr8:92200321-92200332(-)::chr8:92200320-92200332(-) agaaggcagttg >mm10_chr8:92201015-92201026(+)::chr8:92201014-92201026(+) tgaaggatgtaa >mm10_chr8:92205762-92205773(+)::chr8:92205761-92205773(+) ACGAGGAACGTT >mm10_chr8:92205779-92205790(+)::chr8:92205778-92205790(+) GGGAGGAAATTA >mm10_chr8:92206330-92206341(+)::chr8:92206329-92206341(+) AAGAGGAAGTGC >mm10_chr8:92231013-92231024(-)::chr8:92231012-92231024(-) TCCAGGAAGAGG >mm10_chr8:92238261-92238272(+)::chr8:92238260-92238272(+) GGAAGGTAGTAG >mm10_chr8:92243930-92243941(+)::chr8:92243929-92243941(+) aagaggaagttg >mm10_chr8:92263277-92263288(+)::chr8:92263276-92263288(+) GAGAGGAAGAAG >mm10_chr8:92263299-92263310(+)::chr8:92263298-92263310(+) AGGAGGAAATGC >mm10_chr8:92268380-92268391(-)::chr8:92268379-92268391(-) gcaaggaagtct >mm10_chr8:92279678-92279689(-)::chr8:92279677-92279689(-) agaaggaagaga >mm10_chr8:92334336-92334347(+)::chr8:92334335-92334347(+) GAAGGGAAGTTG >mm10_chr8:92354058-92354069(-)::chr8:92354057-92354069(-) ACAGGGAAATAC >mm10_chr8:92354087-92354098(-)::chr8:92354086-92354098(-) TTGAGGAAGACG >mm10_chr8:92365081-92365092(-)::chr8:92365080-92365092(-) AACGGGAAATAA >mm10_chr8:92562782-92562793(+)::chr8:92562781-92562793(+) AAGAGGAAGAGT >mm10_chr8:92562810-92562821(+)::chr8:92562809-92562821(+) AGGAGGAAGCTG >mm10_chr8:92562837-92562848(+)::chr8:92562836-92562848(+) AGGAGGAAGTCC >mm10_chr8:92678269-92678280(+)::chr8:92678268-92678280(+) Tggaggaagagg >mm10_chr8:92678278-92678289(+)::chr8:92678277-92678289(+) aggaggaagagg >mm10_chr8:92694932-92694943(+)::chr8:92694931-92694943(+) GGAGGGAAGTGT >mm10_chr8:92694950-92694961(+)::chr8:92694949-92694961(+) AAGAGGAAGGAG >mm10_chr8:92694994-92695005(+)::chr8:92694993-92695005(+) TTAAGGAAGGCA >mm10_chr8:92698559-92698570(-)::chr8:92698558-92698570(-) TGAAGGAAGTGT >mm10_chr8:92698576-92698587(+)::chr8:92698575-92698587(+) CAAAGGAAGGAG >mm10_chr8:92699562-92699573(+)::chr8:92699561-92699573(+) accaggaagaaa >mm10_chr8:92703455-92703466(-)::chr8:92703454-92703466(-) GAGAGGAAGTGG >mm10_chr8:92703505-92703516(+)::chr8:92703504-92703516(+) AAGAGGAAATTA >mm10_chr8:92717701-92717712(-)::chr8:92717700-92717712(-) ACAAGGAAACGG >mm10_chr8:92721831-92721842(+)::chr8:92721830-92721842(+) AGCAGGAAGCAG >mm10_chr8:92746521-92746532(+)::chr8:92746520-92746532(+) GAAAGAAAGTAA >mm10_chr8:92778444-92778455(+)::chr8:92778443-92778455(+) acaaggaactgg >mm10_chr8:92809367-92809378(-)::chr8:92809366-92809378(-) ATGAGGAAGTGG >mm10_chr8:92818331-92818342(+)::chr8:92818330-92818342(+) CCCAGGAAGTGG >mm10_chr8:92853293-92853304(-)::chr8:92853292-92853304(-) TGGAGGAAGTGA >mm10_chr8:92853329-92853340(-)::chr8:92853328-92853340(-) AGCAGGAAGCCA >mm10_chr8:92881492-92881503(-)::chr8:92881491-92881503(-) GAAAGGAAATGT >mm10_chr8:92881521-92881532(+)::chr8:92881520-92881532(+) AGAAAGAAGTTA >mm10_chr8:92893461-92893472(+)::chr8:92893460-92893472(+) GACAGGAAGGGC >mm10_chr8:92893494-92893505(+)::chr8:92893493-92893505(+) ACAAGGAAAGGA >mm10_chr8:92893514-92893525(+)::chr8:92893513-92893525(+) AACAGGAAGGAG >mm10_chr8:92893518-92893529(+)::chr8:92893517-92893529(+) GGAAGGAGGTGA >mm10_chr8:92893992-92894003(-)::chr8:92893991-92894003(-) AGGAGGAAGACA >mm10_chr8:92913633-92913644(-)::chr8:92913632-92913644(-) GACAGGAAGGGC >mm10_chr8:92929313-92929324(+)::chr8:92929312-92929324(+) tcaaggaagtcc >mm10_chr8:92957618-92957629(-)::chr8:92957617-92957629(-) gaaaggacgtga >mm10_chr8:92997870-92997881(+)::chr8:92997869-92997881(+) TCAAGGAAGAGG >mm10_chr8:92997887-92997898(+)::chr8:92997886-92997898(+) AGGAGGAAGTGG >mm10_chr8:93030800-93030811(+)::chr8:93030799-93030811(+) ATCAGGAAATGC >mm10_chr8:93030817-93030828(+)::chr8:93030816-93030828(+) AGAAGGAAATCC >mm10_chr8:93038949-93038960(+)::chr8:93038948-93038960(+) AGGAGGAAGTGA >mm10_chr8:93198324-93198335(+)::chr8:93198323-93198335(+) TCAAGGAAGTTT >mm10_chr8:93228051-93228062(-)::chr8:93228050-93228062(-) TCAGGGAAGTAA >mm10_chr8:93386619-93386630(+)::chr8:93386618-93386630(+) agaaggaaattc >mm10_chr8:93420964-93420975(-)::chr8:93420963-93420975(-) tcaaggaaatgc >mm10_chr8:93495517-93495528(+)::chr8:93495516-93495528(+) AGGAGGAAGCAC >mm10_chr8:93495528-93495539(-)::chr8:93495527-93495539(-) ACAAGGAAAAGG >mm10_chr8:93499720-93499731(-)::chr8:93499719-93499731(-) AGCAGGAAGATG >mm10_chr8:93499740-93499751(-)::chr8:93499739-93499751(-) CCGAGGAAGAGA >mm10_chr8:93658075-93658086(-)::chr8:93658074-93658086(-) AGAAggaaacag >mm10_chr8:93668512-93668523(-)::chr8:93668511-93668523(-) AACAGGAAGTTC >mm10_chr8:93684064-93684075(-)::chr8:93684063-93684075(-) TGAAGGAAGTAA >mm10_chr8:93697366-93697377(+)::chr8:93697365-93697377(+) AGAAGGAAACGA >mm10_chr8:93701611-93701622(-)::chr8:93701610-93701622(-) GGCAGGAAGAAA >mm10_chr8:93721057-93721068(+)::chr8:93721056-93721068(+) CCAAGGAAGTTG >mm10_chr8:93742124-93742135(+)::chr8:93742123-93742135(+) CCCAGGAAGTGA >mm10_chr8:93768412-93768423(+)::chr8:93768411-93768423(+) aaaaggaagctg >mm10_chr8:93768451-93768462(+)::chr8:93768450-93768462(+) AGTAGGAAGAAG >mm10_chr8:93768458-93768469(+)::chr8:93768457-93768469(+) AGAAGGAAACAC >mm10_chr8:93843925-93843936(+)::chr8:93843924-93843936(+) ACCAGGAAGCCA >mm10_chr8:93864346-93864357(+)::chr8:93864345-93864357(+) aagaggaaatag >mm10_chr8:93888532-93888543(+)::chr8:93888531-93888543(+) aggaggaaggct >mm10_chr8:93888553-93888564(-)::chr8:93888552-93888564(-) tcaaggaagcaa >mm10_chr8:93917311-93917322(-)::chr8:93917310-93917322(-) GGAAGGAAGCTG >mm10_chr8:93917359-93917370(-)::chr8:93917358-93917370(-) ACCAGGAAGTGG >mm10_chr8:93921623-93921634(-)::chr8:93921622-93921634(-) CCAAGGAAGCAG >mm10_chr8:93922193-93922204(-)::chr8:93922192-93922204(-) ATGAGGAAGTTG >mm10_chr8:93922208-93922219(-)::chr8:93922207-93922219(-) ATGAGGAAGAGG >mm10_chr8:93922222-93922233(-)::chr8:93922221-93922233(-) ACAAGGAAAAGA >mm10_chr8:93941029-93941040(-)::chr8:93941028-93941040(-) GGAAGGAAGTGA >mm10_chr8:93941033-93941044(-)::chr8:93941032-93941044(-) TCCAGGAAGGAA >mm10_chr8:94037201-94037212(+)::chr8:94037200-94037212(+) ATAAGGAAAGAG >mm10_chr8:94037236-94037247(+)::chr8:94037235-94037247(+) GGAGGGAAGTAG >mm10_chr8:94037253-94037264(-)::chr8:94037252-94037264(-) CCAAGGAAGTCA >mm10_chr8:94053159-94053170(-)::chr8:94053158-94053170(-) tccaggaagtct >mm10_chr8:94098488-94098499(+)::chr8:94098487-94098499(+) CGCCGGAAGCGC >mm10_chr8:94167131-94167142(-)::chr8:94167130-94167142(-) TACAGGAAGTAA >mm10_chr8:94177993-94178004(+)::chr8:94177992-94178004(+) aggaggaagagg >mm10_chr8:94178024-94178035(+)::chr8:94178023-94178035(+) aaaaggaagaag >mm10_chr8:94180050-94180061(+)::chr8:94180049-94180061(+) GACAGGAAGCAG >mm10_chr8:94180081-94180092(-)::chr8:94180080-94180092(-) AGGAGGAAGAGG >mm10_chr8:94187543-94187554(-)::chr8:94187542-94187554(-) AACAGGAAGTGG >mm10_chr8:94191652-94191663(+)::chr8:94191651-94191663(+) CGGAGGAAGCAG >mm10_chr8:94191659-94191670(+)::chr8:94191658-94191670(+) AGCAGGAAGTTA >mm10_chr8:94214360-94214371(-)::chr8:94214359-94214371(-) TAGAGGAAGCAT >mm10_chr8:94313272-94313283(-)::chr8:94313271-94313283(-) agaaggaagagg >mm10_chr8:94313279-94313290(-)::chr8:94313278-94313290(-) ggaaggaagaag >mm10_chr8:94313283-94313294(-)::chr8:94313282-94313294(-) aagaggaaggaa >mm10_chr8:94313289-94313300(-)::chr8:94313288-94313300(-) aggaggaagagg >mm10_chr8:94313317-94313328(-)::chr8:94313316-94313328(-) aggaggaagagt >mm10_chr8:94358694-94358705(-)::chr8:94358693-94358705(-) GGAAGGAAGATC >mm10_chr8:94358698-94358709(-)::chr8:94358697-94358709(-) ACAAGGAAGGAA >mm10_chr8:94358710-94358721(-)::chr8:94358709-94358721(-) GAAAAGAAGTAA >mm10_chr8:94365804-94365815(-)::chr8:94365803-94365815(-) AGGAGGAAGAGC >mm10_chr8:94365833-94365844(+)::chr8:94365832-94365844(+) GGAAGGAAGGGG >mm10_chr8:94373006-94373017(+)::chr8:94373005-94373017(+) taaaggaacttc >mm10_chr8:94373011-94373022(-)::chr8:94373010-94373022(-) acgaggaagttc >mm10_chr8:94401103-94401114(+)::chr8:94401102-94401114(+) TAAGGGAAGTCA >mm10_chr8:94401186-94401197(+)::chr8:94401185-94401197(+) CCAAGGAAGCCA >mm10_chr8:94479694-94479705(+)::chr8:94479693-94479705(+) AACAGGAAGTAG >mm10_chr8:94528617-94528628(+)::chr8:94528616-94528628(+) GGCAGGAAGTTA >mm10_chr8:94536597-94536608(-)::chr8:94536596-94536608(-) ggaaggaaTTGA >mm10_chr8:94536601-94536612(-)::chr8:94536600-94536612(-) agaaggaaggaa >mm10_chr8:94549402-94549413(+)::chr8:94549401-94549413(+) ATCAGGAAGGTT >mm10_chr8:94584561-94584572(-)::chr8:94584560-94584572(-) ATGAGGAAGAGC >mm10_chr8:94584572-94584583(-)::chr8:94584571-94584583(-) CTTAGGAAGTTA >mm10_chr8:94601664-94601675(-)::chr8:94601663-94601675(-) AACAGGAAGCCC >mm10_chr8:94601702-94601713(-)::chr8:94601701-94601713(-) CGCCGGAAGCGG >mm10_chr8:94682944-94682955(+)::chr8:94682943-94682955(+) ACAAGGAAGGTC >mm10_chr8:94683893-94683904(+)::chr8:94683892-94683904(+) TCCAGGAAGGGG >mm10_chr8:94704144-94704155(+)::chr8:94704143-94704155(+) GTAATGAAGTAG >mm10_chr8:94711485-94711496(-)::chr8:94711484-94711496(-) GGGAGGAAGAAT >mm10_chr8:94711528-94711539(-)::chr8:94711527-94711539(-) GGAAGGAAATGA >mm10_chr8:94740348-94740359(-)::chr8:94740347-94740359(-) ggaaggaaggaC >mm10_chr8:94740352-94740363(-)::chr8:94740351-94740363(-) ggaaggaaggaa >mm10_chr8:94740356-94740367(-)::chr8:94740355-94740367(-) ggaaggaaggaa >mm10_chr8:94740360-94740371(-)::chr8:94740359-94740371(-) ggaaggaaggaa >mm10_chr8:94740364-94740375(-)::chr8:94740363-94740375(-) ggaaggaaggaa >mm10_chr8:94740368-94740379(-)::chr8:94740367-94740379(-) ggaaggaaggaa >mm10_chr8:94740372-94740383(-)::chr8:94740371-94740383(-) ggaaggaaggaa >mm10_chr8:94740376-94740387(-)::chr8:94740375-94740387(-) ggaaggaaggaa >mm10_chr8:94740380-94740391(-)::chr8:94740379-94740391(-) aggaggaaggaa >mm10_chr8:94740419-94740430(-)::chr8:94740418-94740430(-) aagaggaagcaa >mm10_chr8:94754805-94754816(-)::chr8:94754804-94754816(-) GAAAGTAAGTGC >mm10_chr8:94771293-94771304(+)::chr8:94771292-94771304(+) TCCGGGAAGTCA >mm10_chr8:94784502-94784513(+)::chr8:94784501-94784513(+) AAGAGGAAGGGA >mm10_chr8:94784506-94784517(+)::chr8:94784505-94784517(+) GGAAGGGAGTCG >mm10_chr8:94801852-94801863(+)::chr8:94801851-94801863(+) gagaggaagagg >mm10_chr8:94833081-94833092(-)::chr8:94833080-94833092(-) AAAAAGAAGTGT >mm10_chr8:94835193-94835204(-)::chr8:94835192-94835204(-) AAGAGGAAGGTG >mm10_chr8:94835199-94835210(-)::chr8:94835198-94835210(-) AGGAGGAAGAGG >mm10_chr8:94835223-94835234(+)::chr8:94835222-94835234(+) GTAAGGAAAATA >mm10_chr8:94850453-94850464(+)::chr8:94850452-94850464(+) CAAAGGAAGTAT >mm10_chr8:94850510-94850521(+)::chr8:94850509-94850521(+) TCCAGGAAGAGG >mm10_chr8:94850516-94850527(+)::chr8:94850515-94850527(+) AAGAGGAAGACA >mm10_chr8:94851246-94851257(+)::chr8:94851245-94851257(+) TAAAGGAAGCTC >mm10_chr8:94853353-94853364(-)::chr8:94853352-94853364(-) AACAGGAAGCAA >mm10_chr8:94853410-94853421(-)::chr8:94853409-94853421(-) ATGAGGAAGAAA >mm10_chr8:94853426-94853437(-)::chr8:94853425-94853437(-) TTAAGGAAGGGC >mm10_chr8:94857465-94857476(+)::chr8:94857464-94857476(+) AGCCGGAAGCGC >mm10_chr8:94861552-94861563(+)::chr8:94861551-94861563(+) GAAAGGAAGTGT >mm10_chr8:94871144-94871155(-)::chr8:94871143-94871155(-) aagaggaaggaa >mm10_chr8:94871185-94871196(-)::chr8:94871184-94871196(-) aggaggaagggc >mm10_chr8:94871197-94871208(-)::chr8:94871196-94871208(-) gggaggaagaga >mm10_chr8:94905070-94905081(-)::chr8:94905069-94905081(-) TGCAGGAAGACA >mm10_chr8:94905110-94905121(-)::chr8:94905109-94905121(-) AACAGGAAGGGC >mm10_chr8:94905842-94905853(-)::chr8:94905841-94905853(-) GACAGGAAGTGA >mm10_chr8:94955258-94955269(-)::chr8:94955257-94955269(-) agaagcaagtgc >mm10_chr8:94967170-94967181(-)::chr8:94967169-94967181(-) AAGGGGAAGTAA >mm10_chr8:94967176-94967187(-)::chr8:94967175-94967187(-) AACAGGAAGGGG >mm10_chr8:94977856-94977867(+)::chr8:94977855-94977867(+) AGGAGGAAGAGG >mm10_chr8:94983947-94983958(-)::chr8:94983946-94983958(-) AAGAGGAAGGGG >mm10_chr8:94992639-94992650(-)::chr8:94992638-94992650(-) TGGAGGAAGAGG >mm10_chr8:94997144-94997155(+)::chr8:94997143-94997155(+) TTAAGGAAGTAA >mm10_chr8:95009504-95009515(+)::chr8:95009503-95009515(+) AGGAGGAAGTCA >mm10_chr8:95009557-95009568(-)::chr8:95009556-95009568(-) AGCAGGAAGACA >mm10_chr8:95009575-95009586(-)::chr8:95009574-95009586(-) AGCAGGAAGCTC >mm10_chr8:95025919-95025930(-)::chr8:95025918-95025930(-) aataggaagtgg >mm10_chr8:95025986-95025997(-)::chr8:95025985-95025997(-) ggcaggaagtca >mm10_chr8:95066578-95066589(-)::chr8:95066577-95066589(-) gcaaggaagaga >mm10_chr8:95066587-95066598(-)::chr8:95066586-95066598(-) aggaggaaggca >mm10_chr8:95066615-95066626(-)::chr8:95066614-95066626(-) aggaggaagggg >mm10_chr8:95066638-95066649(-)::chr8:95066637-95066649(-) aggaggaagagg >mm10_chr8:95112303-95112314(+)::chr8:95112302-95112314(+) AGGAGGAAGAGG >mm10_chr8:95137867-95137878(+)::chr8:95137866-95137878(+) TGGAGGAAGGAA >mm10_chr8:95137871-95137882(+)::chr8:95137870-95137882(+) GGAAGGAAGGGA >mm10_chr8:95140186-95140197(-)::chr8:95140185-95140197(-) AGAAGGAAACAG >mm10_chr8:95140199-95140210(+)::chr8:95140198-95140210(+) AGAGGGAAGTTC >mm10_chr8:95140750-95140761(+)::chr8:95140749-95140761(+) ATCAGGAAGGGA >mm10_chr8:95140755-95140766(+)::chr8:95140754-95140766(+) GAAGGGAAGTTG >mm10_chr8:95140784-95140795(+)::chr8:95140783-95140795(+) AAGAGGAAGGGT >mm10_chr8:95143734-95143745(-)::chr8:95143733-95143745(-) TTAAGGAAGACA >mm10_chr8:95143917-95143928(-)::chr8:95143916-95143928(-) TAAATGAAGTGT >mm10_chr8:95204141-95204152(+)::chr8:95204140-95204152(+) TTGAGGAAGTGG >mm10_chr8:95225302-95225313(-)::chr8:95225301-95225313(-) agaaggaAGTGA >mm10_chr8:95225323-95225334(-)::chr8:95225322-95225334(-) aggaggaagagg >mm10_chr8:95225353-95225364(-)::chr8:95225352-95225364(-) acaaggaagagg >mm10_chr8:95245424-95245435(+)::chr8:95245423-95245435(+) AACCGGAAGTAA >mm10_chr8:95248186-95248197(+)::chr8:95248185-95248197(+) tccaggaaggaa >mm10_chr8:95248196-95248207(+)::chr8:95248195-95248207(+) aaaaggaaGCTA >mm10_chr8:95254968-95254979(-)::chr8:95254967-95254979(-) AGTAGGAAATGT >mm10_chr8:95284373-95284384(-)::chr8:95284372-95284384(-) aggaggaagaga >mm10_chr8:95284385-95284396(-)::chr8:95284384-95284396(-) atgaggaagaga >mm10_chr8:95284397-95284408(-)::chr8:95284396-95284408(-) agcaggaagagg >mm10_chr8:95293342-95293353(+)::chr8:95293341-95293353(+) AACAGGAAGGGG >mm10_chr8:95294148-95294159(+)::chr8:95294147-95294159(+) AGACGGAAGACC >mm10_chr8:95323376-95323387(+)::chr8:95323375-95323387(+) cgaaggcagtGA >mm10_chr8:95323397-95323408(-)::chr8:95323396-95323408(-) GGAAAGAAGTAG >mm10_chr8:95349049-95349060(+)::chr8:95349048-95349060(+) TGGAGGAAGGAA >mm10_chr8:95349053-95349064(+)::chr8:95349052-95349064(+) GGAAGGAAGTCT >mm10_chr8:95349201-95349212(-)::chr8:95349200-95349212(-) GGAAGGAAGCGC >mm10_chr8:95378171-95378182(-)::chr8:95378170-95378182(-) GGAAGGAACTGA >mm10_chr8:95381075-95381086(-)::chr8:95381074-95381086(-) accaggaaatgt >mm10_chr8:95381099-95381110(+)::chr8:95381098-95381110(+) acaaggaagtac >mm10_chr8:95392910-95392921(-)::chr8:95392909-95392921(-) GAAAGGAACTAT >mm10_chr8:95393768-95393779(+)::chr8:95393767-95393779(+) GGGAGGAAGAAG >mm10_chr8:95393775-95393786(+)::chr8:95393774-95393786(+) AGAAGGAAGAAA >mm10_chr8:95393779-95393790(+)::chr8:95393778-95393790(+) GGAAGAAAGTAG >mm10_chr8:95411330-95411341(+)::chr8:95411329-95411341(+) TTCAGGAAGTCA >mm10_chr8:95428240-95428251(+)::chr8:95428239-95428251(+) TTCAGGAAGTAA >mm10_chr8:95489149-95489160(-)::chr8:95489148-95489160(-) GTGAGGAAGGGG >mm10_chr8:95489200-95489211(-)::chr8:95489199-95489211(-) TGAAGGAAGGGA >mm10_chr8:95502622-95502633(+)::chr8:95502621-95502633(+) TGAAGGAAGAGC >mm10_chr8:95563081-95563092(+)::chr8:95563080-95563092(+) aggaggaaggag >mm10_chr8:95563088-95563099(+)::chr8:95563087-95563099(+) aggaggaaggag >mm10_chr8:95563095-95563106(+)::chr8:95563094-95563106(+) aggaggaaggag >mm10_chr8:95656022-95656033(+)::chr8:95656021-95656033(+) TCAAGGAAGCAA >mm10_chr8:95755050-95755061(+)::chr8:95755049-95755061(+) TGCAGGAAGTCC >mm10_chr8:95766892-95766903(+)::chr8:95766891-95766903(+) TGGAGGAAGAGG >mm10_chr8:95766899-95766910(+)::chr8:95766898-95766910(+) AGAGGGAAGTGC >mm10_chr8:95801811-95801822(+)::chr8:95801810-95801822(+) AGAAGGAAGAAG >mm10_chr8:95801818-95801829(+)::chr8:95801817-95801829(+) AGAAGGAAAAGG >mm10_chr8:95852451-95852462(-)::chr8:95852450-95852462(-) AAGAGGAAGAAG >mm10_chr8:95853717-95853728(-)::chr8:95853716-95853728(-) AGGAGGAAGGAA >mm10_chr8:95854036-95854047(+)::chr8:95854035-95854047(+) TAAAGGAAGTCC >mm10_chr8:95919630-95919641(-)::chr8:95919629-95919641(-) aggaggaagagg >mm10_chr8:95919647-95919658(-)::chr8:95919646-95919658(-) aggaggaaggag >mm10_chr8:95919654-95919665(-)::chr8:95919653-95919665(-) agaaggaaggag >mm10_chr8:96075993-96076004(+)::chr8:96075992-96076004(+) aagaggaaataa >mm10_chr8:96076055-96076066(+)::chr8:96076054-96076066(+) acaaggaagtag >mm10_chr8:96076081-96076092(+)::chr8:96076080-96076092(+) aataggaagaaa >mm10_chr8:96393110-96393121(+)::chr8:96393109-96393121(+) AGAAGGAAACAT >mm10_chr8:96558392-96558403(-)::chr8:96558391-96558403(-) ACAAGGAAATTT >mm10_chr8:96588973-96588984(-)::chr8:96588972-96588984(-) TGCAGGAAATGA >mm10_chr8:96864945-96864956(+)::chr8:96864944-96864956(+) AGAAGGAAGGCA >mm10_chr8:96941644-96941655(-)::chr8:96941643-96941655(-) atgaggaagtag >mm10_chr8:97308607-97308618(-)::chr8:97308606-97308618(-) tggaggaagtag >mm10_chr8:98666986-98666997(-)::chr8:98666985-98666997(-) AGAAGGAAAAGG >mm10_chr8:99065689-99065700(-)::chr8:99065688-99065700(-) TCAAGGAAGCAA >mm10_chr8:99065744-99065755(+)::chr8:99065743-99065755(+) TGGAGGAAGAGA >mm10_chr8:100592040-100592051(-)::chr8:100592039-100592051(-) TCAAGGAAGATC >mm10_chr8:101925498-101925509(-)::chr8:101925497-101925509(-) ATAGGGAAGAAA >mm10_chr8:102030392-102030403(+)::chr8:102030391-102030403(+) AAAAGGAAATGA >mm10_chr8:102635139-102635150(-)::chr8:102635138-102635150(-) GTGGGGAAGTCG >mm10_chr8:103355178-103355189(+)::chr8:103355177-103355189(+) CTAAGGAAGCCA >mm10_chr8:103427717-103427728(-)::chr8:103427716-103427728(-) ataaggatgtac >mm10_chr8:103561919-103561930(-)::chr8:103561918-103561930(-) ACAAGGAAGCCA >mm10_chr8:103561961-103561972(+)::chr8:103561960-103561972(+) TCCAGGAAGTCT >mm10_chr8:103561982-103561993(+)::chr8:103561981-103561993(+) AGAAGGAAGAGG >mm10_chr8:103776786-103776797(-)::chr8:103776785-103776797(-) AGCAGGAAGGAC >mm10_chr8:103844608-103844619(-)::chr8:103844607-103844619(-) GCAAGGAAGGAG >mm10_chr8:103844616-103844627(-)::chr8:103844615-103844627(-) AAGAGGAAGCAA >mm10_chr8:103844622-103844633(-)::chr8:103844621-103844633(-) TACAGGAAGAGG >mm10_chr8:103860465-103860476(+)::chr8:103860464-103860476(+) CCAAGGAAGCAG >mm10_chr8:103860472-103860483(+)::chr8:103860471-103860483(+) AGCAGGAAGTAA >mm10_chr8:103869952-103869963(-)::chr8:103869951-103869963(-) GGAAGGAAGAGT >mm10_chr8:103880972-103880983(+)::chr8:103880971-103880983(+) AAAAGGAAGCTT >mm10_chr8:103881862-103881873(-)::chr8:103881861-103881873(-) tggaggaagtgg >mm10_chr8:103883091-103883102(+)::chr8:103883090-103883102(+) agaaggaagtga >mm10_chr8:103883105-103883116(+)::chr8:103883104-103883116(+) atgaggaagagg >mm10_chr8:103883111-103883122(+)::chr8:103883110-103883122(+) aagaggaagagc >mm10_chr8:103883127-103883138(+)::chr8:103883126-103883138(+) agaaggaaaagg >mm10_chr8:103883562-103883573(+)::chr8:103883561-103883573(+) ACAAGGAAGACG >mm10_chr8:104053593-104053604(-)::chr8:104053592-104053604(-) tggaggaaggca >mm10_chr8:104061501-104061512(-)::chr8:104061500-104061512(-) ATGAGGAAGTGA >mm10_chr8:104062731-104062742(+)::chr8:104062730-104062742(+) AGGAGGAAGGTG >mm10_chr8:104104299-104104310(+)::chr8:104104298-104104310(+) ACAAGGAAATGG >mm10_chr8:104104331-104104342(+)::chr8:104104330-104104342(+) ACCAGGAAATTA >mm10_chr8:104106955-104106966(-)::chr8:104106954-104106966(-) TGAAGGAAGCCA >mm10_chr8:104106964-104106975(+)::chr8:104106963-104106975(+) TCAGGGAAGTAG >mm10_chr8:104110982-104110993(+)::chr8:104110981-104110993(+) ATCAGGAAATGA >mm10_chr8:104111035-104111046(-)::chr8:104111034-104111046(-) TCAGGGAAGTGA >mm10_chr8:104148370-104148381(-)::chr8:104148369-104148381(-) actaggaagttt >mm10_chr8:104334352-104334363(-)::chr8:104334351-104334363(-) gagaggaaggaa >mm10_chr8:104339282-104339293(+)::chr8:104339281-104339293(+) AAGAGGAAGCAT >mm10_chr8:104370492-104370503(-)::chr8:104370491-104370503(-) ataaggaagtct >mm10_chr8:104370531-104370542(+)::chr8:104370530-104370542(+) aacaggaaggaa >mm10_chr8:104394868-104394879(+)::chr8:104394867-104394879(+) TGAAGGAAGCCA >mm10_chr8:104436324-104436335(+)::chr8:104436323-104436335(+) CCAAGGAAGGAA >mm10_chr8:104555397-104555408(-)::chr8:104555396-104555408(-) AACAGGAAATCC >mm10_chr8:104591478-104591489(+)::chr8:104591477-104591489(+) CCAGGGAAGTAA >mm10_chr8:104641727-104641738(-)::chr8:104641726-104641738(-) GAGCGGAAGTAC >mm10_chr8:104641746-104641757(-)::chr8:104641745-104641757(-) CCCAGGAAGTGC >mm10_chr8:105171554-105171565(-)::chr8:105171553-105171565(-) CTAAGGAAGTGA >mm10_chr8:105228277-105228288(+)::chr8:105228276-105228288(+) GCCAGGAAGGCA >mm10_chr8:105238564-105238575(+)::chr8:105238563-105238575(+) TCCAGGAAGTAG >mm10_chr8:105261254-105261265(+)::chr8:105261253-105261265(+) AGGAGGAAGTCT >mm10_chr8:105261270-105261281(+)::chr8:105261269-105261281(+) GGAAGGAAATAA >mm10_chr8:105265372-105265383(+)::chr8:105265371-105265383(+) TGCAGGAAGTCA >mm10_chr8:105270555-105270566(-)::chr8:105270554-105270566(-) ACGAGGAAGCTG >mm10_chr8:105270587-105270598(+)::chr8:105270586-105270598(+) CCAAGGAAGTGC >mm10_chr8:105289534-105289545(-)::chr8:105289533-105289545(-) AGACGGACGTGG >mm10_chr8:105297622-105297633(+)::chr8:105297621-105297633(+) AGACGGAAGCGG >mm10_chr8:105326342-105326353(-)::chr8:105326341-105326353(-) GGGCGGAAGTGG >mm10_chr8:105326376-105326387(+)::chr8:105326375-105326387(+) TACCGGAAGTGC >mm10_chr8:105339171-105339182(+)::chr8:105339170-105339182(+) AGAGGGAAGTGG >mm10_chr8:105339189-105339200(+)::chr8:105339188-105339200(+) TCAAGGAAGTTC >mm10_chr8:105340444-105340455(-)::chr8:105340443-105340455(-) CCAAGGAAGGGC >mm10_chr8:105345265-105345276(+)::chr8:105345264-105345276(+) TGGAGGAAATGA >mm10_chr8:105362163-105362174(+)::chr8:105362162-105362174(+) GGCAGGAAGTTT >mm10_chr8:105362195-105362206(+)::chr8:105362194-105362206(+) TTAAGGAAGTAC >mm10_chr8:105362549-105362560(+)::chr8:105362548-105362560(+) AACAGGAAGTAC >mm10_chr8:105393412-105393423(-)::chr8:105393411-105393423(-) ATGAGGAAGTGA >mm10_chr8:105443767-105443778(+)::chr8:105443766-105443778(+) ACCGGGAAATAA >mm10_chr8:105481694-105481705(-)::chr8:105481693-105481705(-) acaaggatgtcc >mm10_chr8:105504828-105504839(-)::chr8:105504827-105504839(-) GACAGGAAGTAC >mm10_chr8:105504861-105504872(-)::chr8:105504860-105504872(-) GACAGGAAGAAC >mm10_chr8:105506933-105506944(-)::chr8:105506932-105506944(-) AGAAGGAAGACT >mm10_chr8:105506960-105506971(-)::chr8:105506959-105506971(-) AGTAGGAAGAAA >mm10_chr8:105537541-105537552(+)::chr8:105537540-105537552(+) ACCAGGAAGCTG >mm10_chr8:105550188-105550199(+)::chr8:105550187-105550199(+) ATGAGGAAGTCA >mm10_chr8:105555328-105555339(-)::chr8:105555327-105555339(-) aggcggaagtca >mm10_chr8:105580343-105580354(-)::chr8:105580342-105580354(-) agaaggaagcct >mm10_chr8:105604931-105604942(+)::chr8:105604930-105604942(+) GACAGGAAGGGA >mm10_chr8:105615289-105615300(-)::chr8:105615288-105615300(-) AGAAGGAAGCAC >mm10_chr8:105622846-105622857(+)::chr8:105622845-105622857(+) TTGAGGAAGTAG >mm10_chr8:105715156-105715167(+)::chr8:105715155-105715167(+) AAGAGGAAGAGG >mm10_chr8:105715162-105715173(+)::chr8:105715161-105715173(+) AAGAGGAAGAGG >mm10_chr8:105733257-105733268(-)::chr8:105733256-105733268(-) AAAAGGAAGTCA >mm10_chr8:105745775-105745786(+)::chr8:105745774-105745786(+) acaaggaagggg >mm10_chr8:105748616-105748627(+)::chr8:105748615-105748627(+) ataaggaaggag >mm10_chr8:105748645-105748656(+)::chr8:105748644-105748656(+) gaaaggaagaga >mm10_chr8:105750836-105750847(-)::chr8:105750835-105750847(-) ggtaggaaatga >mm10_chr8:105811472-105811483(-)::chr8:105811471-105811483(-) GGAAGCAAGTGT >mm10_chr8:105811476-105811487(-)::chr8:105811475-105811487(-) ACAAGGAAGCAA >mm10_chr8:105838969-105838980(+)::chr8:105838968-105838980(+) GGAAGGAAGGAG >mm10_chr8:105855050-105855061(+)::chr8:105855049-105855061(+) TCCCGGAAGTGA >mm10_chr8:105880886-105880897(+)::chr8:105880885-105880897(+) GACCGGAAGTGG >mm10_chr8:105937740-105937751(+)::chr8:105937739-105937751(+) GGAAGGACGTGT >mm10_chr8:105938013-105938024(+)::chr8:105938012-105938024(+) CGCAGGAAGGCC >mm10_chr8:105951478-105951489(+)::chr8:105951477-105951489(+) AGCAGGAAGTAG >mm10_chr8:105957117-105957128(+)::chr8:105957116-105957128(+) acacggaagaag >mm10_chr8:105957124-105957135(+)::chr8:105957123-105957135(+) agaaggaagcct >mm10_chr8:105957149-105957160(-)::chr8:105957148-105957160(-) gaaagcaagtaa >mm10_chr8:105966135-105966146(-)::chr8:105966134-105966146(-) TGGAGGAAGTGA >mm10_chr8:106056834-106056845(-)::chr8:106056833-106056845(-) aggaggaagaaa >mm10_chr8:106056858-106056869(-)::chr8:106056857-106056869(-) aagaggaaggga >mm10_chr8:106059451-106059462(-)::chr8:106059450-106059462(-) AGGAGGAAGTCA >mm10_chr8:106079521-106079532(+)::chr8:106079520-106079532(+) ACAAGGAAGACT >mm10_chr8:106149125-106149136(+)::chr8:106149124-106149136(+) GGAAGGAAGAGG >mm10_chr8:106151894-106151905(+)::chr8:106151893-106151905(+) TGCAGGAAGTAA >mm10_chr8:106153036-106153047(+)::chr8:106153035-106153047(+) ACCAGGAAGAGG >mm10_chr8:106153073-106153084(-)::chr8:106153072-106153084(-) ACGAGGAAATGG >mm10_chr8:106180750-106180761(+)::chr8:106180749-106180761(+) AAGAGGAAGAAG >mm10_chr8:106180788-106180799(-)::chr8:106180787-106180799(-) ACAAGGAAAAAA >mm10_chr8:106198996-106199007(-)::chr8:106198995-106199007(-) CTAAGGAAGTAA >mm10_chr8:106233118-106233129(-)::chr8:106233117-106233129(-) GGAAGGAAGGTA >mm10_chr8:106233122-106233133(-)::chr8:106233121-106233133(-) AAGAGGAAGGAA >mm10_chr8:106233133-106233144(-)::chr8:106233132-106233144(-) GAAAGGAAGGAA >mm10_chr8:106243393-106243404(-)::chr8:106243392-106243404(-) AACAGGAAGGCC >mm10_chr8:106243824-106243835(-)::chr8:106243823-106243835(-) TATAGGAAGCTA >mm10_chr8:106251874-106251885(-)::chr8:106251873-106251885(-) AACAGGAAGCAG >mm10_chr8:106308558-106308569(+)::chr8:106308557-106308569(+) ACCAGGAAGTAG >mm10_chr8:106346354-106346365(+)::chr8:106346353-106346365(+) tcgaggaattaa >mm10_chr8:106346387-106346398(-)::chr8:106346386-106346398(-) ctaaggaagttg >mm10_chr8:106500078-106500089(+)::chr8:106500077-106500089(+) AAGAGGAAGCAA >mm10_chr8:106505711-106505722(+)::chr8:106505710-106505722(+) AAAAGGAAGAGT >mm10_chr8:106526941-106526952(-)::chr8:106526940-106526952(-) GAAAGGAACGGC >mm10_chr8:106527328-106527339(+)::chr8:106527327-106527339(+) GCAAGGAAGCTG >mm10_chr8:106561243-106561254(-)::chr8:106561242-106561254(-) GGAAGGAAGGGG >mm10_chr8:106574488-106574499(+)::chr8:106574487-106574499(+) GGAAGGAAGAGG >mm10_chr8:106574494-106574505(+)::chr8:106574493-106574505(+) AAGAGGAAGAGG >mm10_chr8:106574506-106574517(+)::chr8:106574505-106574517(+) ATGAGGAAGGAG >mm10_chr8:106576064-106576075(-)::chr8:106576063-106576075(-) agaaggaagaga >mm10_chr8:106576073-106576084(-)::chr8:106576072-106576084(-) aggaggaagaga >mm10_chr8:106576088-106576099(-)::chr8:106576087-106576099(-) aggaggaagagg >mm10_chr8:106576106-106576117(-)::chr8:106576105-106576117(-) aagaggaagagg >mm10_chr8:106576112-106576123(-)::chr8:106576111-106576123(-) agaaggaagagg >mm10_chr8:106585197-106585208(-)::chr8:106585196-106585208(-) acaaggaagggc >mm10_chr8:106585216-106585227(-)::chr8:106585215-106585227(-) tgcaggaagcac >mm10_chr8:106603246-106603257(+)::chr8:106603245-106603257(+) TGGAGGAAGTTG >mm10_chr8:106606171-106606182(+)::chr8:106606170-106606182(+) TCAAGGAAATCA >mm10_chr8:106611223-106611234(+)::chr8:106611222-106611234(+) AGAAGGAAGTCC >mm10_chr8:106617938-106617949(-)::chr8:106617937-106617949(-) ACAAGGAAGTTT >mm10_chr8:106617964-106617975(-)::chr8:106617963-106617975(-) AGGAGGAAGGAT >mm10_chr8:106619841-106619852(-)::chr8:106619840-106619852(-) AACAGGAAGTTT >mm10_chr8:106620737-106620748(-)::chr8:106620736-106620748(-) tggaggaagcat >mm10_chr8:106626092-106626103(-)::chr8:106626091-106626103(-) AGAAGGAAGAAT >mm10_chr8:106626160-106626171(-)::chr8:106626159-106626171(-) ACAAGGAACGCA >mm10_chr8:106643247-106643258(-)::chr8:106643246-106643258(-) AACAGGAAGTAG >mm10_chr8:106839305-106839316(+)::chr8:106839304-106839316(+) GGAAGGAAGGAA >mm10_chr8:106893594-106893605(-)::chr8:106893593-106893605(-) GGCCGGAAGTGC >mm10_chr8:106893618-106893629(-)::chr8:106893617-106893629(-) TCCCGGAAGTAC >mm10_chr8:106929657-106929668(+)::chr8:106929656-106929668(+) TCAGGGAAGTGA >mm10_chr8:106929709-106929720(-)::chr8:106929708-106929720(-) ATCAGGAAGGAG >mm10_chr8:106972749-106972760(+)::chr8:106972748-106972760(+) ACAAGGAAGCCT >mm10_chr8:107024338-107024349(+)::chr8:107024337-107024349(+) AACAGGAAGGAT >mm10_chr8:107024375-107024386(+)::chr8:107024374-107024386(+) GTAGGGAAGTTG >mm10_chr8:107048618-107048629(-)::chr8:107048617-107048629(-) GGAAGGAAGGGC >mm10_chr8:107048645-107048656(+)::chr8:107048644-107048656(+) GCCGGGAAGCGT >mm10_chr8:107048667-107048678(-)::chr8:107048666-107048678(-) GTCCGGAAGTTA >mm10_chr8:107100526-107100537(-)::chr8:107100525-107100537(-) aaaaggaagaag >mm10_chr8:107100542-107100553(-)::chr8:107100541-107100553(-) gagaggaagaga >mm10_chr8:107100552-107100563(-)::chr8:107100551-107100563(-) aagaggaagaga >mm10_chr8:107100564-107100575(-)::chr8:107100563-107100575(-) aggaggaagaag >mm10_chr8:107130017-107130028(+)::chr8:107130016-107130028(+) AGAAGGAAAATG >mm10_chr8:107130417-107130428(-)::chr8:107130416-107130428(-) atccggaagtgt >mm10_chr8:107130442-107130453(+)::chr8:107130441-107130453(+) tggaggaagtca >mm10_chr8:107140955-107140966(-)::chr8:107140954-107140966(-) GGGAGGAAATGT >mm10_chr8:107140999-107141010(-)::chr8:107140998-107141010(-) AAGAGGAAGAAG >mm10_chr8:107150620-107150631(+)::chr8:107150619-107150631(+) TTACGGAAGCCG >mm10_chr8:107150658-107150669(+)::chr8:107150657-107150669(+) TCAAGGAAGTTA >mm10_chr8:107160061-107160072(-)::chr8:107160060-107160072(-) CCAAGGAAGTca >mm10_chr8:107160124-107160135(-)::chr8:107160123-107160135(-) ATAAGGAAAGCA >mm10_chr8:107160134-107160145(-)::chr8:107160133-107160145(-) ACAAGGAAAAAT >mm10_chr8:107160143-107160154(-)::chr8:107160142-107160154(-) aggagGAAGACA >mm10_chr8:107189953-107189964(-)::chr8:107189952-107189964(-) acaaggaagaag >mm10_chr8:107196368-107196379(+)::chr8:107196367-107196379(+) agaaggaagcaa >mm10_chr8:107210210-107210221(-)::chr8:107210209-107210221(-) aagaggaagagg >mm10_chr8:107210216-107210227(-)::chr8:107210215-107210227(-) aagaggaagagg >mm10_chr8:107210222-107210233(-)::chr8:107210221-107210233(-) gagaggaagagg >mm10_chr8:107253318-107253329(-)::chr8:107253317-107253329(-) agcaggaagtcc >mm10_chr8:107296639-107296650(+)::chr8:107296638-107296650(+) tggaggaagtgg >mm10_chr8:107317463-107317474(-)::chr8:107317462-107317474(-) ggaaggaaggga >mm10_chr8:107317475-107317486(-)::chr8:107317474-107317486(-) AAaaggaagaat >mm10_chr8:107329775-107329786(-)::chr8:107329774-107329786(-) GGAAGGCAGTGT >mm10_chr8:107329807-107329818(+)::chr8:107329806-107329818(+) ATGAGGAAGCAG >mm10_chr8:107329832-107329843(+)::chr8:107329831-107329843(+) ATAAGGCAGTGT >mm10_chr8:107382753-107382764(-)::chr8:107382752-107382764(-) GTAAGGAAGCTG >mm10_chr8:107388914-107388925(-)::chr8:107388913-107388925(-) AAAAGGAAGTTC >mm10_chr8:107436395-107436406(+)::chr8:107436394-107436406(+) AGGCGGAAGTGG >mm10_chr8:107437952-107437963(-)::chr8:107437951-107437963(-) acaaggaagaaa >mm10_chr8:107437968-107437979(-)::chr8:107437967-107437979(-) agcaggaagaca >mm10_chr8:107483950-107483961(+)::chr8:107483949-107483961(+) AGCAGGAAATTA >mm10_chr8:107489220-107489231(-)::chr8:107489219-107489231(-) AGAAGGAAAAGG >mm10_chr8:107489282-107489293(+)::chr8:107489281-107489293(+) ATAAGGAAATGA >mm10_chr8:107520029-107520040(-)::chr8:107520028-107520040(-) AGCAGGAAGTGC >mm10_chr8:107522256-107522267(+)::chr8:107522255-107522267(+) CTGAGGAAGTTC >mm10_chr8:107529244-107529255(+)::chr8:107529243-107529255(+) GGAAGGAAGGTC >mm10_chr8:107588476-107588487(-)::chr8:107588475-107588487(-) GAGCGGAAGTCG >mm10_chr8:107707849-107707860(-)::chr8:107707848-107707860(-) TCAAGGAAGAAT >mm10_chr8:107785575-107785586(-)::chr8:107785574-107785586(-) AGAAGGAACTAA >mm10_chr8:107829875-107829886(-)::chr8:107829874-107829886(-) acacggaagcac >mm10_chr8:107964208-107964219(-)::chr8:107964207-107964219(-) GCCAGGAAGCAT >mm10_chr8:108148154-108148165(-)::chr8:108148153-108148165(-) ACCAGGAAGGAG >mm10_chr8:108233936-108233947(+)::chr8:108233935-108233947(+) TGGAGGAAGGAG >mm10_chr8:108240819-108240830(+)::chr8:108240818-108240830(+) ATAAGGAATTCT >mm10_chr8:108291012-108291023(+)::chr8:108291011-108291023(+) ACCGGGAAGTGA >mm10_chr8:108576874-108576885(+)::chr8:108576873-108576885(+) aggaggaagagg >mm10_chr8:108576883-108576894(+)::chr8:108576882-108576894(+) aggaggaagagg >mm10_chr8:108576889-108576900(+)::chr8:108576888-108576900(+) aagaggaagaag >mm10_chr8:108576919-108576930(+)::chr8:108576918-108576930(+) aggaggaagtag >mm10_chr8:108576931-108576942(+)::chr8:108576930-108576942(+) aagaggaagaag >mm10_chr8:108647765-108647776(-)::chr8:108647764-108647776(-) AGCAGGAAGCGG >mm10_chr8:108647792-108647803(-)::chr8:108647791-108647803(-) TGGAGGAAGGAG >mm10_chr8:108651007-108651018(+)::chr8:108651006-108651018(+) ACCAGGAAATGT >mm10_chr8:108675421-108675432(-)::chr8:108675420-108675432(-) GAAAGGAAGCCT >mm10_chr8:108676122-108676133(+)::chr8:108676121-108676133(+) cccaggaagtgg >mm10_chr8:108691957-108691968(+)::chr8:108691956-108691968(+) AAGAGGAAGGGC >mm10_chr8:108691976-108691987(+)::chr8:108691975-108691987(+) AGAAGGAAAAGG >mm10_chr8:108692378-108692389(-)::chr8:108692377-108692389(-) agaaggaaatgc >mm10_chr8:108707731-108707742(+)::chr8:108707730-108707742(+) AAAAGGAAGGAG >mm10_chr8:108723263-108723274(-)::chr8:108723262-108723274(-) GACAGGAAGAGT >mm10_chr8:108723292-108723303(-)::chr8:108723291-108723303(-) TACAGGAAGGGG >mm10_chr8:108723321-108723332(-)::chr8:108723320-108723332(-) GCGAGGACGTGG >mm10_chr8:108730115-108730126(-)::chr8:108730114-108730126(-) GAAAAGAAGTAT >mm10_chr8:108731728-108731739(-)::chr8:108731727-108731739(-) AGCAGGAAGAGC >mm10_chr8:108731735-108731746(-)::chr8:108731734-108731746(-) AGGAGGAAGCAG >mm10_chr8:108742913-108742924(-)::chr8:108742912-108742924(-) AAGAGGAAGAAG >mm10_chr8:108755880-108755891(-)::chr8:108755879-108755891(-) GGGAGGAAGGAG >mm10_chr8:108759814-108759825(-)::chr8:108759813-108759825(-) TAAAGGAAATTA >mm10_chr8:108759859-108759870(-)::chr8:108759858-108759870(-) AGCAGGAAGTCT >mm10_chr8:108765025-108765036(-)::chr8:108765024-108765036(-) AACAGGAAATGA >mm10_chr8:108765043-108765054(+)::chr8:108765042-108765054(+) TCCAGGAAGTGC >mm10_chr8:108780297-108780308(-)::chr8:108780296-108780308(-) gagaggaagttt >mm10_chr8:108780322-108780333(+)::chr8:108780321-108780333(+) agaaggaaaaag >mm10_chr8:108780329-108780340(+)::chr8:108780328-108780340(+) aaaaggaaGCTC >mm10_chr8:108781363-108781374(+)::chr8:108781362-108781374(+) TTGAGGAAGTGA >mm10_chr8:108817388-108817399(+)::chr8:108817387-108817399(+) ataaggaaacag >mm10_chr8:108817418-108817429(+)::chr8:108817417-108817429(+) aagaggaagcat >mm10_chr8:108827574-108827585(+)::chr8:108827573-108827585(+) AACAGGAAGCAC >mm10_chr8:108847106-108847117(-)::chr8:108847105-108847117(-) AACAGGAAGGAG >mm10_chr8:108847611-108847622(-)::chr8:108847610-108847622(-) GCAGGGAAGTGG >mm10_chr8:108848665-108848676(+)::chr8:108848664-108848676(+) AACAGGAAGCAT >mm10_chr8:108854593-108854604(+)::chr8:108854592-108854604(+) GTCAGGAAGTAC >mm10_chr8:108869012-108869023(-)::chr8:108869011-108869023(-) CCAAGGAAGTCT >mm10_chr8:108870078-108870089(-)::chr8:108870077-108870089(-) GGGAGGAAGGGA >mm10_chr8:108874672-108874683(+)::chr8:108874671-108874683(+) AAAAGGAAGATA >mm10_chr8:108876676-108876687(-)::chr8:108876675-108876687(-) AGAAGGAAAACA >mm10_chr8:108886170-108886181(+)::chr8:108886169-108886181(+) TGAAGGAAGGAA >mm10_chr8:108886174-108886185(+)::chr8:108886173-108886185(+) GGAAGGAAGGAT >mm10_chr8:108896702-108896713(+)::chr8:108896701-108896713(+) GGGAGGAAGAAG >mm10_chr8:108897701-108897712(-)::chr8:108897700-108897712(-) AATAGGAAGCAA >mm10_chr8:108898686-108898697(+)::chr8:108898685-108898697(+) aggaggaagagg >mm10_chr8:108898707-108898718(+)::chr8:108898706-108898718(+) aggaggaagaga >mm10_chr8:108915695-108915706(+)::chr8:108915694-108915706(+) AACAGGAAGTGC >mm10_chr8:108921069-108921080(-)::chr8:108921068-108921080(-) ggaaggaagaag >mm10_chr8:108921073-108921084(-)::chr8:108921072-108921084(-) ggaaggaaggaa >mm10_chr8:108921077-108921088(-)::chr8:108921076-108921088(-) aggaggaaggaa >mm10_chr8:108921084-108921095(-)::chr8:108921083-108921095(-) agaaggaaggag >mm10_chr8:108921091-108921102(-)::chr8:108921090-108921102(-) ggaaggaagaag >mm10_chr8:108921095-108921106(-)::chr8:108921094-108921106(-) agaaggaaggaa >mm10_chr8:108921102-108921113(-)::chr8:108921101-108921113(-) ggaaggaagaag >mm10_chr8:108921106-108921117(-)::chr8:108921105-108921117(-) agaaggaaggaa >mm10_chr8:108926336-108926347(+)::chr8:108926335-108926347(+) GGAAGGAACTGC >mm10_chr8:108936331-108936342(-)::chr8:108936330-108936342(-) AGAAGGAAATAC >mm10_chr8:109565864-109565875(-)::chr8:109565863-109565875(-) GACAGGAAGTGA >mm10_chr8:109565897-109565908(+)::chr8:109565896-109565908(+) GAAAGGAACTAA >mm10_chr8:109575008-109575019(-)::chr8:109575007-109575019(-) ACCAGGAAATCC >mm10_chr8:109607892-109607903(+)::chr8:109607891-109607903(+) ACAAGGAAGTAG >mm10_chr8:109608593-109608604(-)::chr8:109608592-109608604(-) CGAAGGAAGGGT >mm10_chr8:109693204-109693215(+)::chr8:109693203-109693215(+) AAACGGAAGAAG >mm10_chr8:109694326-109694337(-)::chr8:109694325-109694337(-) GTAGGGAAGTGG >mm10_chr8:109694385-109694396(+)::chr8:109694384-109694396(+) GGGCGGAAGTAC >mm10_chr8:109696282-109696293(-)::chr8:109696281-109696293(-) AGTAGGAAGTTA >mm10_chr8:109712564-109712575(+)::chr8:109712563-109712575(+) TCAAGGAAGCCA >mm10_chr8:109779643-109779654(-)::chr8:109779642-109779654(-) ATGAGGAAGAGC >mm10_chr8:109817747-109817758(+)::chr8:109817746-109817758(+) ATAGGGAAGTTC >mm10_chr8:109824435-109824446(-)::chr8:109824434-109824446(-) GGAAGGAAGGCA >mm10_chr8:109872510-109872521(-)::chr8:109872509-109872521(-) GGAAGGAACTGC >mm10_chr8:109872514-109872525(-)::chr8:109872513-109872525(-) ATGAGGAAGGAA >mm10_chr8:109872558-109872569(+)::chr8:109872557-109872569(+) AGAAGGAAGCAC >mm10_chr8:109901741-109901752(+)::chr8:109901740-109901752(+) TATAGGAAGTGG >mm10_chr8:109955769-109955780(-)::chr8:109955768-109955780(-) AGGAGGAAGGAA >mm10_chr8:109998150-109998161(-)::chr8:109998149-109998161(-) TCAAGGAAGACG >mm10_chr8:110004469-110004480(+)::chr8:110004468-110004480(+) AACAGGATGTAG >mm10_chr8:110005144-110005155(-)::chr8:110005143-110005155(-) AAAAggaaggac >mm10_chr8:110011074-110011085(-)::chr8:110011073-110011085(-) attaggaagtgc >mm10_chr8:110023049-110023060(-)::chr8:110023048-110023060(-) gacaggaaatag >mm10_chr8:110075625-110075636(-)::chr8:110075624-110075636(-) AAAAGGATGTGA >mm10_chr8:110075672-110075683(-)::chr8:110075671-110075683(-) ATACGGAACTAA >mm10_chr8:110077608-110077619(+)::chr8:110077607-110077619(+) tgcaggaagagc >mm10_chr8:110152841-110152852(-)::chr8:110152840-110152852(-) GGAAGGAAATGA >mm10_chr8:110152845-110152856(-)::chr8:110152844-110152856(-) TCAAGGAAGGAA >mm10_chr8:110217972-110217983(+)::chr8:110217971-110217983(+) GAGCGGAAGTGG >mm10_chr8:110233744-110233755(-)::chr8:110233743-110233755(-) gaaaggaagttt >mm10_chr8:110363135-110363146(-)::chr8:110363134-110363146(-) ACCAGGAAGGGC >mm10_chr8:110527008-110527019(+)::chr8:110527007-110527019(+) ggtaggaagtgc >mm10_chr8:110527016-110527027(-)::chr8:110527015-110527027(-) gggaggaagcac >mm10_chr8:110618570-110618581(+)::chr8:110618569-110618581(+) CGGCGGAAGGCG >mm10_chr8:110618577-110618588(+)::chr8:110618576-110618588(+) AGGCGGAAGTGC >mm10_chr8:110630994-110631005(+)::chr8:110630993-110631005(+) ATGAGGAAGCCA >mm10_chr8:110671506-110671517(-)::chr8:110671505-110671517(-) AAGAGGAAATGG >mm10_chr8:110673420-110673431(+)::chr8:110673419-110673431(+) TGCAGGAAGTGT >mm10_chr8:110673615-110673626(+)::chr8:110673614-110673626(+) AAGAGGAAGTCA >mm10_chr8:110679060-110679071(+)::chr8:110679059-110679071(+) AGCAGGAAGGAA >mm10_chr8:110687957-110687968(-)::chr8:110687956-110687968(-) GGGAGGAAGAGG >mm10_chr8:110689572-110689583(+)::chr8:110689571-110689583(+) ggaaggaagatt >mm10_chr8:110702239-110702250(+)::chr8:110702238-110702250(+) ACAAGGAAGCGG >mm10_chr8:110703620-110703631(+)::chr8:110703619-110703631(+) AACAGGAAGTAC >mm10_chr8:110712261-110712272(+)::chr8:110712260-110712272(+) TTCAGGAAGTAA >mm10_chr8:110712272-110712283(-)::chr8:110712271-110712283(-) GGAAGGAAGTCT >mm10_chr8:110712276-110712287(-)::chr8:110712275-110712287(-) AGCAGGAAGGAA >mm10_chr8:110718137-110718148(-)::chr8:110718136-110718148(-) ttaaggaactgc >mm10_chr8:110719504-110719515(-)::chr8:110719503-110719515(-) GCCAGGAAGGCA >mm10_chr8:110719559-110719570(+)::chr8:110719558-110719570(+) AACAGGAAGTGC >mm10_chr8:110758476-110758487(+)::chr8:110758475-110758487(+) CTAAGGAAGCTG >mm10_chr8:110758490-110758501(+)::chr8:110758489-110758501(+) AAGAGGAAGATA >mm10_chr8:110765444-110765455(-)::chr8:110765443-110765455(-) ATaaggaaaggg >mm10_chr8:110901277-110901288(+)::chr8:110901276-110901288(+) taaaggaagcct >mm10_chr8:110999829-110999840(-)::chr8:110999828-110999840(-) gaaaggaagcaa >mm10_chr8:110999848-110999859(-)::chr8:110999847-110999859(-) ggaaggaagaaa >mm10_chr8:110999852-110999863(-)::chr8:110999851-110999863(-) aggaggaaggaa >mm10_chr8:110999859-110999870(-)::chr8:110999858-110999870(-) ggaaggaaggag >mm10_chr8:110999863-110999874(-)::chr8:110999862-110999874(-) agaaggaaggaa >mm10_chr8:111036895-111036906(-)::chr8:111036894-111036906(-) AAAAGGAAGAAG >mm10_chr8:111056339-111056350(+)::chr8:111056338-111056350(+) AGGCGGAAGTAG >mm10_chr8:111131023-111131034(-)::chr8:111131022-111131034(-) AGAAGGAAAACA >mm10_chr8:111141021-111141032(+)::chr8:111141020-111141032(+) ACAAGGAAGTCT >mm10_chr8:111147842-111147853(+)::chr8:111147841-111147853(+) ATCAGGAAATAA >mm10_chr8:111160577-111160588(+)::chr8:111160576-111160588(+) TCAAGGAAGTTC >mm10_chr8:111172814-111172825(-)::chr8:111172813-111172825(-) GGAGGGAAGTAC >mm10_chr8:111173920-111173931(-)::chr8:111173919-111173931(-) AGAAGGAAAAGA >mm10_chr8:111173932-111173943(-)::chr8:111173931-111173943(-) AGAAGGAAGATG >mm10_chr8:111173972-111173983(-)::chr8:111173971-111173983(-) aGAAGGAAACAA >mm10_chr8:111185524-111185535(-)::chr8:111185523-111185535(-) AGAAGAAAGTGC >mm10_chr8:111187207-111187218(-)::chr8:111187206-111187218(-) TAAAGGAAATCC >mm10_chr8:111210032-111210043(+)::chr8:111210031-111210043(+) aggaggaaggag >mm10_chr8:111248011-111248022(-)::chr8:111248010-111248022(-) AAGAGGAAATGC >mm10_chr8:111248041-111248052(-)::chr8:111248040-111248052(-) AGAAGGAAGTAA >mm10_chr8:111259347-111259358(+)::chr8:111259346-111259358(+) CGAAGGAAGGGC >mm10_chr8:111286107-111286118(+)::chr8:111286106-111286118(+) TACAGGAAGTGT >mm10_chr8:111286137-111286148(-)::chr8:111286136-111286148(-) AAAAGAAAGTAA >mm10_chr8:111300454-111300465(-)::chr8:111300453-111300465(-) CAAAGGAAGGGG >mm10_chr8:111343310-111343321(+)::chr8:111343309-111343321(+) ACAGGGAAGTTA >mm10_chr8:111343335-111343346(+)::chr8:111343334-111343346(+) GACGGGAAGTTT >mm10_chr8:111367059-111367070(+)::chr8:111367058-111367070(+) AGAAGGAAATGG >mm10_chr8:111369892-111369903(+)::chr8:111369891-111369903(+) ACAGGGAAGTGT >mm10_chr8:111396298-111396309(-)::chr8:111396297-111396309(-) ACAAGGCAGTGA >mm10_chr8:111442539-111442550(-)::chr8:111442538-111442550(-) GCCAGGAAGGGC >mm10_chr8:111464033-111464044(+)::chr8:111464032-111464044(+) AGAAGGAAGGAA >mm10_chr8:111464037-111464048(+)::chr8:111464036-111464048(+) GGAAGGAACGGA >mm10_chr8:111464053-111464064(+)::chr8:111464052-111464064(+) TGCAGGAAGAGA >mm10_chr8:111464065-111464076(+)::chr8:111464064-111464076(+) ATAAGGAAGAGG >mm10_chr8:111506013-111506024(-)::chr8:111506012-111506024(-) AACAGGAAGAAG >mm10_chr8:111506026-111506037(+)::chr8:111506025-111506037(+) CTCAGGAAGTCC >mm10_chr8:111520915-111520926(+)::chr8:111520914-111520926(+) tggaggaaggag >mm10_chr8:111520945-111520956(-)::chr8:111520944-111520956(-) gtcaggaagaac >mm10_chr8:111533750-111533761(+)::chr8:111533749-111533761(+) agcaggaaggtt >mm10_chr8:111538325-111538336(+)::chr8:111538324-111538336(+) AAGAGGAAGATG >mm10_chr8:111550397-111550408(+)::chr8:111550396-111550408(+) AACAGGAAGTCC >mm10_chr8:111559108-111559119(-)::chr8:111559107-111559119(-) agaaggaaacat >mm10_chr8:111559115-111559126(-)::chr8:111559114-111559126(-) gagaggaagaag >mm10_chr8:111596007-111596018(+)::chr8:111596006-111596018(+) GGCAGGAAGCAG >mm10_chr8:111597536-111597547(-)::chr8:111597535-111597547(-) ATGAGGAAGTTG >mm10_chr8:111600359-111600370(-)::chr8:111600358-111600370(-) ACGCGGAAGCAA >mm10_chr8:111625349-111625360(+)::chr8:111625348-111625360(+) AGAAGGAAGAAA >mm10_chr8:111644052-111644063(-)::chr8:111644051-111644063(-) AACCGGAAGTAA >mm10_chr8:111644466-111644477(-)::chr8:111644465-111644477(-) ataaggaaatgt >mm10_chr8:111653635-111653646(-)::chr8:111653634-111653646(-) CAGAGGAAGTTC >mm10_chr8:111653675-111653686(-)::chr8:111653674-111653686(-) AGGAGGAAGGGG >mm10_chr8:111657617-111657628(+)::chr8:111657616-111657628(+) atcaggaagcag >mm10_chr8:111657624-111657635(+)::chr8:111657623-111657635(+) agcaggaagaca >mm10_chr8:111657632-111657643(+)::chr8:111657631-111657643(+) gacaggaagggc >mm10_chr8:111659216-111659227(+)::chr8:111659215-111659227(+) aggaggaagtct >mm10_chr8:111731326-111731337(-)::chr8:111731325-111731337(-) GCAAGGAAGGAA >mm10_chr8:111739128-111739139(+)::chr8:111739127-111739139(+) GAAAGGAAGGAA >mm10_chr8:111739132-111739143(+)::chr8:111739131-111739143(+) GGAAGGAAGGGA >mm10_chr8:111739140-111739151(+)::chr8:111739139-111739151(+) GGGAGGAAATTA >mm10_chr8:111739156-111739167(+)::chr8:111739155-111739167(+) ATAATGAAGTGT >mm10_chr8:111744975-111744986(-)::chr8:111744974-111744986(-) gaaaggaaggtg >mm10_chr8:111745010-111745021(-)::chr8:111745009-111745021(-) agtaggaagaag >mm10_chr8:111772154-111772165(-)::chr8:111772153-111772165(-) TGCAGGAAGTGG >mm10_chr8:111776655-111776666(+)::chr8:111776654-111776666(+) ACAGGGAAGTCC >mm10_chr8:111782835-111782846(+)::chr8:111782834-111782846(+) AGAAGGAAGCTG >mm10_chr8:111785257-111785268(-)::chr8:111785256-111785268(-) gggaggaagtta >mm10_chr8:111785270-111785281(-)::chr8:111785269-111785281(-) ccaaggaagtca >mm10_chr8:111785306-111785317(+)::chr8:111785305-111785317(+) tgaaggaaataa >mm10_chr8:111803966-111803977(+)::chr8:111803965-111803977(+) AGGAGGAAGCAC >mm10_chr8:111820249-111820260(+)::chr8:111820248-111820260(+) ATAAGGATGTGC >mm10_chr8:111820283-111820294(-)::chr8:111820282-111820294(-) TCGAGGAAGTAT >mm10_chr8:111820911-111820922(-)::chr8:111820910-111820922(-) atcaggaaggaa >mm10_chr8:111820944-111820955(+)::chr8:111820943-111820955(+) gcatggaagtag >mm10_chr8:111830952-111830963(-)::chr8:111830951-111830963(-) CTAAGGAAGCGA >mm10_chr8:111830973-111830984(-)::chr8:111830972-111830984(-) CTAAGGAAGTAG >mm10_chr8:111841935-111841946(-)::chr8:111841934-111841946(-) GCAAGGAAGACT >mm10_chr8:111841947-111841958(-)::chr8:111841946-111841958(-) AAGAGGAAGATG >mm10_chr8:111867723-111867734(+)::chr8:111867722-111867734(+) GAAAGGAAGGAA >mm10_chr8:111867745-111867756(+)::chr8:111867744-111867756(+) AGCAGGAAGAGG >mm10_chr8:111892129-111892140(-)::chr8:111892128-111892140(-) TCCAGGAAGACG >mm10_chr8:111892575-111892586(+)::chr8:111892574-111892586(+) ATGAGGAAGAAC >mm10_chr8:111923653-111923664(-)::chr8:111923652-111923664(-) tggaggaagtat >mm10_chr8:111937381-111937392(-)::chr8:111937380-111937392(-) acagggaagtaa >mm10_chr8:111937424-111937435(-)::chr8:111937423-111937435(-) acaaggaagtgt >mm10_chr8:111939964-111939975(-)::chr8:111939963-111939975(-) TCCAGGAAGTCG >mm10_chr8:111945393-111945404(+)::chr8:111945392-111945404(+) AGGAGGAAGCTG >mm10_chr8:112012627-112012638(-)::chr8:112012626-112012638(-) GGAAGGAAGGAA >mm10_chr8:112012631-112012642(-)::chr8:112012630-112012642(-) ggaAGGAAGGAA >mm10_chr8:112012635-112012646(-)::chr8:112012634-112012646(-) aggaggaAGGAA >mm10_chr8:112245953-112245964(-)::chr8:112245952-112245964(-) aggaggaagaaa >mm10_chr8:112245971-112245982(-)::chr8:112245970-112245982(-) aggaggaagagg >mm10_chr8:112245983-112245994(-)::chr8:112245982-112245994(-) agaaggaagaag >mm10_chr8:112246007-112246018(-)::chr8:112246006-112246018(-) aggaggaagaag >mm10_chr8:112265910-112265921(-)::chr8:112265909-112265921(-) AAAAGGATGTGG >mm10_chr8:112479175-112479186(+)::chr8:112479174-112479186(+) ggaaggaagcag >mm10_chr8:112907761-112907772(+)::chr8:112907760-112907772(+) TTGAGGAAGTGT >mm10_chr8:112927232-112927243(+)::chr8:112927231-112927243(+) AGAGGGAAGTGT >mm10_chr8:112927252-112927263(+)::chr8:112927251-112927263(+) GGAAGGAGGTGG >mm10_chr8:113002898-113002909(-)::chr8:113002897-113002909(-) ACAAGGAAGAAT >mm10_chr8:113003325-113003336(-)::chr8:113003324-113003336(-) TCTAGGAAGTTC >mm10_chr8:113240418-113240429(-)::chr8:113240417-113240429(-) AGAAGGAAATGC >mm10_chr8:113244109-113244120(-)::chr8:113244108-113244120(-) AGGAGGAAGGTC >mm10_chr8:113389653-113389664(+)::chr8:113389652-113389664(+) TCCCggaagtgg >mm10_chr8:113405423-113405434(-)::chr8:113405422-113405434(-) ATTAGGAAGAAA >mm10_chr8:113535764-113535775(+)::chr8:113535763-113535775(+) TAAAGGAAGTGA >mm10_chr8:113635767-113635778(+)::chr8:113635766-113635778(+) AACCGGAAGTGT >mm10_chr8:113635823-113635834(+)::chr8:113635822-113635834(+) ACCAGGAAGTGT >mm10_chr8:113656260-113656271(+)::chr8:113656259-113656271(+) TGGAGGAAGTAC >mm10_chr8:113656287-113656298(-)::chr8:113656286-113656298(-) TTAGGGAAGTTC >mm10_chr8:113662923-113662934(+)::chr8:113662922-113662934(+) gagaggaagtct >mm10_chr8:113679822-113679833(-)::chr8:113679821-113679833(-) TGGAGGAAATTA >mm10_chr8:113679866-113679877(-)::chr8:113679865-113679877(-) ACAGGGAAATAC >mm10_chr8:113688362-113688373(-)::chr8:113688361-113688373(-) GGGAGGAAGTTG >mm10_chr8:113817325-113817336(+)::chr8:113817324-113817336(+) ACAAGGAAGGGC >mm10_chr8:113848025-113848036(-)::chr8:113848024-113848036(-) AGAGGGAAGCGC >mm10_chr8:113882507-113882518(+)::chr8:113882506-113882518(+) gagaggaagagg >mm10_chr8:113882513-113882524(+)::chr8:113882512-113882524(+) aagaggaagagg >mm10_chr8:113882519-113882530(+)::chr8:113882518-113882530(+) aagaggaagagg >mm10_chr8:113882525-113882536(+)::chr8:113882524-113882536(+) aagaggaagaTC >mm10_chr8:114104779-114104790(+)::chr8:114104778-114104790(+) aagaggaagaga >mm10_chr8:114104797-114104808(+)::chr8:114104796-114104808(+) agaaggaagagg >mm10_chr8:114104823-114104834(+)::chr8:114104822-114104834(+) aagaggaagaaa >mm10_chr8:114104832-114104843(+)::chr8:114104831-114104843(+) aaaaggaagagg >mm10_chr8:114104838-114104849(+)::chr8:114104837-114104849(+) aagaggaaggag >mm10_chr8:114104845-114104856(+)::chr8:114104844-114104856(+) aggaggaagagg >mm10_chr8:114104857-114104868(+)::chr8:114104856-114104868(+) gggaggaagagg >mm10_chr8:114104863-114104874(+)::chr8:114104862-114104874(+) aagaggaagagg >mm10_chr8:114133644-114133655(+)::chr8:114133643-114133655(+) AAGAGGAAGTAC >mm10_chr8:114134218-114134229(-)::chr8:114134217-114134229(-) AAGAGGAAGAAG >mm10_chr8:114193525-114193536(-)::chr8:114193524-114193536(-) ACCAGGAAGTAC >mm10_chr8:114387631-114387642(+)::chr8:114387630-114387642(+) atcaggaagtag >mm10_chr8:114411143-114411154(-)::chr8:114411142-114411154(-) tggaggaaggag >mm10_chr8:114411171-114411182(-)::chr8:114411170-114411182(-) caaaggaagtca >mm10_chr8:114439563-114439574(-)::chr8:114439562-114439574(-) ACGCGGAAGAGA >mm10_chr8:114591462-114591473(+)::chr8:114591461-114591473(+) AGAAGGAAGCAT >mm10_chr8:114593896-114593907(-)::chr8:114593895-114593907(-) ATGAGGAAGACA >mm10_chr8:114606295-114606306(+)::chr8:114606294-114606306(+) GGGAGGAAGTCC >mm10_chr8:114606902-114606913(-)::chr8:114606901-114606913(-) accaggaaatgg >mm10_chr8:114608269-114608280(+)::chr8:114608268-114608280(+) TTAAGGAAGCAG >mm10_chr8:114609520-114609531(-)::chr8:114609519-114609531(-) AACAGGAAGAGT >mm10_chr8:114616146-114616157(-)::chr8:114616145-114616157(-) TGAAGGAAGCCC >mm10_chr8:114637009-114637020(-)::chr8:114637008-114637020(-) gagaggaagaag >mm10_chr8:114637045-114637056(-)::chr8:114637044-114637056(-) gagaggaagaga >mm10_chr8:114637066-114637077(-)::chr8:114637065-114637077(-) aggaggaaggag >mm10_chr8:114655054-114655065(+)::chr8:114655053-114655065(+) TAGAGGAAGTGG >mm10_chr8:114941767-114941778(+)::chr8:114941766-114941778(+) GGGAGGAAGAGA >mm10_chr8:115046686-115046697(-)::chr8:115046685-115046697(-) TTCAGGAAGTTC >mm10_chr8:115046713-115046724(+)::chr8:115046712-115046724(+) GGCAGGAAGTGG >mm10_chr8:115311180-115311191(+)::chr8:115311179-115311191(+) AAGAGGAAGTAG >mm10_chr8:115384544-115384555(-)::chr8:115384543-115384555(-) ggaagcaAGTGT >mm10_chr8:115885296-115885307(-)::chr8:115885295-115885307(-) AGAGGGAAGGGA >mm10_chr8:116065681-116065692(-)::chr8:116065680-116065692(-) AGGAGGAAGCGG >mm10_chr8:116069704-116069715(+)::chr8:116069703-116069715(+) AACAGGAAGTCC >mm10_chr8:116086707-116086718(+)::chr8:116086706-116086718(+) tcaaggaaattc >mm10_chr8:116086745-116086756(+)::chr8:116086744-116086756(+) tgaaggaaggta >mm10_chr8:116094578-116094589(+)::chr8:116094577-116094589(+) GAAAGGAAGAGG >mm10_chr8:116096954-116096965(+)::chr8:116096953-116096965(+) GGCAGGAAGGAG >mm10_chr8:116097001-116097012(+)::chr8:116097000-116097012(+) AAGAGGAAGTGG >mm10_chr8:116112083-116112094(-)::chr8:116112082-116112094(-) AACAGGAAATGG >mm10_chr8:116112118-116112129(-)::chr8:116112117-116112129(-) TACAGGAAGTAA >mm10_chr8:116114551-116114562(-)::chr8:116114550-116114562(-) GGGAGGAAGGAG >mm10_chr8:116114631-116114642(-)::chr8:116114630-116114642(-) GAGAGGAAGTCT >mm10_chr8:116188650-116188661(-)::chr8:116188649-116188661(-) GACAGGAAGAAC >mm10_chr8:116191289-116191300(-)::chr8:116191288-116191300(-) ACCAGGAAATCA >mm10_chr8:116237727-116237738(-)::chr8:116237726-116237738(-) AAGAGGAAGTGT >mm10_chr8:116364777-116364788(-)::chr8:116364776-116364788(-) ACAGGGAAGTCT >mm10_chr8:116473070-116473081(+)::chr8:116473069-116473081(+) ACAAGGAAAAAT >mm10_chr8:116498404-116498415(-)::chr8:116498403-116498415(-) gataggaagaaa >mm10_chr8:116498445-116498456(-)::chr8:116498444-116498456(-) AGAAGGAAGAAA >mm10_chr8:116498464-116498475(-)::chr8:116498463-116498475(-) aagaGGAAGACC >mm10_chr8:116513437-116513448(-)::chr8:116513436-116513448(-) aggaggaagagg >mm10_chr8:116513450-116513461(-)::chr8:116513449-116513461(-) aagaggaagagc >mm10_chr8:116519488-116519499(+)::chr8:116519487-116519499(+) GAGAGGAAGTCT >mm10_chr8:116519495-116519506(-)::chr8:116519494-116519506(-) ACGAGGAAGACT >mm10_chr8:116521999-116522010(+)::chr8:116521998-116522010(+) TCCAGGAAGAAA >mm10_chr8:116543434-116543445(+)::chr8:116543433-116543445(+) accaggaaggga >mm10_chr8:116552467-116552478(+)::chr8:116552466-116552478(+) ACCAGGAAATTG >mm10_chr8:116552949-116552960(+)::chr8:116552948-116552960(+) ACCAGGAAGTAC >mm10_chr8:116579713-116579724(+)::chr8:116579712-116579724(+) AGAAGGAAGGTG >mm10_chr8:116600660-116600671(+)::chr8:116600659-116600671(+) aggaggaaggaa >mm10_chr8:116600664-116600675(+)::chr8:116600663-116600675(+) ggaaggaaggag >mm10_chr8:116600674-116600685(+)::chr8:116600673-116600685(+) agaaggaaggag >mm10_chr8:116620227-116620238(+)::chr8:116620226-116620238(+) ATAAGGAAGTCA >mm10_chr8:116620250-116620261(-)::chr8:116620249-116620261(-) AGAAAGAAGTAA >mm10_chr8:116620262-116620273(+)::chr8:116620261-116620273(+) ATGGGGAAGTTA >mm10_chr8:116644000-116644011(-)::chr8:116643999-116644011(-) CACAGGAAGTGA >mm10_chr8:116647230-116647241(+)::chr8:116647229-116647241(+) TATAGGAAGGAA >mm10_chr8:116657511-116657522(+)::chr8:116657510-116657522(+) agaaggaagggg >mm10_chr8:116657524-116657535(+)::chr8:116657523-116657535(+) aagaggaaatgc >mm10_chr8:116732509-116732520(-)::chr8:116732508-116732520(-) AGGAGGAAGCCG >mm10_chr8:116770892-116770903(-)::chr8:116770891-116770903(-) GCAAGGAAAATA >mm10_chr8:116770934-116770945(-)::chr8:116770933-116770945(-) AACAGGAAGATC >mm10_chr8:116814749-116814760(+)::chr8:116814748-116814760(+) GGGAGGAAGTGG >mm10_chr8:116814774-116814785(+)::chr8:116814773-116814785(+) AGGAGGAAGTTT >mm10_chr8:116839397-116839408(+)::chr8:116839396-116839408(+) CAAAGGAAATGA >mm10_chr8:116867501-116867512(+)::chr8:116867500-116867512(+) TGAAGGAAGAGC >mm10_chr8:116883777-116883788(+)::chr8:116883776-116883788(+) agaaggaagctt >mm10_chr8:116892831-116892842(+)::chr8:116892830-116892842(+) aGAAGGAAACAG >mm10_chr8:116921291-116921302(-)::chr8:116921290-116921302(-) GGAAGGACGCGG >mm10_chr8:116929327-116929338(-)::chr8:116929326-116929338(-) aaaaggaagtag >mm10_chr8:116943364-116943375(+)::chr8:116943363-116943375(+) TGCcggaagtgg >mm10_chr8:116945839-116945850(-)::chr8:116945838-116945850(-) ACAAGGAAGTGA >mm10_chr8:116951961-116951972(+)::chr8:116951960-116951972(+) ccaaggaagtag >mm10_chr8:116952039-116952050(-)::chr8:116952038-116952050(-) acacggaagcag >mm10_chr8:116979328-116979339(-)::chr8:116979327-116979339(-) acaaggaagact >mm10_chr8:117026437-117026448(-)::chr8:117026436-117026448(-) tggaggaagaga >mm10_chr8:117026494-117026505(-)::chr8:117026493-117026505(-) GGAAGGAAGCAC >mm10_chr8:117026498-117026509(-)::chr8:117026497-117026509(-) AGGAGGAAGGAA >mm10_chr8:117026511-117026522(-)::chr8:117026510-117026522(-) AGGAGGAAGGGA >mm10_chr8:117137367-117137378(-)::chr8:117137366-117137378(-) ACCAGGAAGTCC >mm10_chr8:117137409-117137420(+)::chr8:117137408-117137420(+) ACCAGGAAGTTT >mm10_chr8:117171985-117171996(-)::chr8:117171984-117171996(-) GACAGGAAGGAG >mm10_chr8:117201753-117201764(+)::chr8:117201752-117201764(+) gaaaggaagagg >mm10_chr8:117201774-117201785(+)::chr8:117201773-117201785(+) gacaggaagaag >mm10_chr8:117212688-117212699(+)::chr8:117212687-117212699(+) ACAAGGAAAGGA >mm10_chr8:117224791-117224802(+)::chr8:117224790-117224802(+) AGCAGGAAGAGA >mm10_chr8:117241086-117241097(+)::chr8:117241085-117241097(+) GTAAGGAAGACT >mm10_chr8:117280615-117280626(-)::chr8:117280614-117280626(-) GGCAGGAAGAAG >mm10_chr8:117280982-117280993(+)::chr8:117280981-117280993(+) ACAAGGAAGCAG >mm10_chr8:117281004-117281015(-)::chr8:117281003-117281015(-) CCAAGGAAGCAA >mm10_chr8:117282752-117282763(-)::chr8:117282751-117282763(-) ACCCGGAAGTGA >mm10_chr8:117288117-117288128(-)::chr8:117288116-117288128(-) GGCAGGAAGAGG >mm10_chr8:117288149-117288160(-)::chr8:117288148-117288160(-) AGAAGGAAGTGG >mm10_chr8:117295017-117295028(-)::chr8:117295016-117295028(-) ccaaggaagcat >mm10_chr8:117295041-117295052(+)::chr8:117295040-117295052(+) tgaaggaagtcc >mm10_chr8:117298341-117298352(-)::chr8:117298340-117298352(-) TGCAGGAAATGG >mm10_chr8:117298354-117298365(+)::chr8:117298353-117298365(+) AGAAGGAAGTTG >mm10_chr8:117305604-117305615(+)::chr8:117305603-117305615(+) TGAAGGAACTGG >mm10_chr8:117360665-117360676(+)::chr8:117360664-117360676(+) AGGAGGAAGTTA >mm10_chr8:117369571-117369582(-)::chr8:117369570-117369582(-) CTAAGGAAGACA >mm10_chr8:117380593-117380604(+)::chr8:117380592-117380604(+) ATAAGGAAGAGC >mm10_chr8:117388518-117388529(-)::chr8:117388517-117388529(-) ACCAGGAAGCAA >mm10_chr8:117394003-117394014(-)::chr8:117394002-117394014(-) agaaggaagttc >mm10_chr8:117448921-117448932(+)::chr8:117448920-117448932(+) TAAAGGAAGTTC >mm10_chr8:117448935-117448946(-)::chr8:117448934-117448946(-) GCCAGGAAATAC >mm10_chr8:117454376-117454387(+)::chr8:117454375-117454387(+) aggaggaagcag >mm10_chr8:117455164-117455175(+)::chr8:117455163-117455175(+) AAGAGGAAGTTG >mm10_chr8:117493433-117493444(+)::chr8:117493432-117493444(+) ACCAGGAAGAGT >mm10_chr8:117495001-117495012(-)::chr8:117495000-117495012(-) AAGAGGAAGTGC >mm10_chr8:117537045-117537056(-)::chr8:117537044-117537056(-) gaaaggaagtag >mm10_chr8:117537050-117537061(-)::chr8:117537049-117537061(-) acaaggaaagga >mm10_chr8:117562760-117562771(-)::chr8:117562759-117562771(-) atgaggaagaaa >mm10_chr8:117562783-117562794(-)::chr8:117562782-117562794(-) GGAGGGAAATCg >mm10_chr8:117667870-117667881(+)::chr8:117667869-117667881(+) ACCAGGAAATGT >mm10_chr8:117668219-117668230(+)::chr8:117668218-117668230(+) AGCAGGAAGACA >mm10_chr8:117668257-117668268(-)::chr8:117668256-117668268(-) TCCAGGAAGTGA >mm10_chr8:117673701-117673712(-)::chr8:117673700-117673712(-) ACCCGGAAGCGG >mm10_chr8:117698236-117698247(+)::chr8:117698235-117698247(+) AAGAGGAAGTCC >mm10_chr8:117706271-117706282(+)::chr8:117706270-117706282(+) CAAAGGAAGAAG >mm10_chr8:117713935-117713946(-)::chr8:117713934-117713946(-) tcaaggaagcag >mm10_chr8:117714395-117714406(+)::chr8:117714394-117714406(+) gaaaggaacgaa >mm10_chr8:117714408-117714419(-)::chr8:117714407-117714419(-) ATGAGGAAGtgg >mm10_chr8:117714679-117714690(-)::chr8:117714678-117714690(-) ATAAGGAAGCCT >mm10_chr8:117731138-117731149(-)::chr8:117731137-117731149(-) AGCAGGAAGCTG >mm10_chr8:117734711-117734722(-)::chr8:117734710-117734722(-) TCAAGGAAGTTG >mm10_chr8:117795424-117795435(+)::chr8:117795423-117795435(+) AGCAGGAAGGAA >mm10_chr8:117795428-117795439(+)::chr8:117795427-117795439(+) GGAAGGAAGGAA >mm10_chr8:117802555-117802566(-)::chr8:117802554-117802566(-) AGAAGGAAATCC >mm10_chr8:117805557-117805568(-)::chr8:117805556-117805568(-) AGCAGGAAGAAG >mm10_chr8:117806239-117806250(+)::chr8:117806238-117806250(+) agcaggaagcaa >mm10_chr8:117862195-117862206(+)::chr8:117862194-117862206(+) agaaggaagagg >mm10_chr8:117862201-117862212(+)::chr8:117862200-117862212(+) aagaggaagaag >mm10_chr8:117862222-117862233(+)::chr8:117862221-117862233(+) aggaggaagaag >mm10_chr8:117862237-117862248(+)::chr8:117862236-117862248(+) aggaggaagtat >mm10_chr8:118112683-118112694(-)::chr8:118112682-118112694(-) AGAAGAAAGTAG >mm10_chr8:118735077-118735088(-)::chr8:118735076-118735088(-) acaaggaagcag >mm10_chr8:118735113-118735124(-)::chr8:118735112-118735124(-) aataggaaggga >mm10_chr8:118735140-118735151(+)::chr8:118735139-118735151(+) GATAGGAAGAAA >mm10_chr8:119344307-119344318(-)::chr8:119344306-119344318(-) GAGAGGAAGAGG >mm10_chr8:119394879-119394890(+)::chr8:119394878-119394890(+) AGCCGGAAGCGA >mm10_chr8:119425828-119425839(+)::chr8:119425827-119425839(+) AACAGGAAGCTG >mm10_chr8:119436950-119436961(-)::chr8:119436949-119436961(-) AGGAGGAACTAG >mm10_chr8:119437783-119437794(-)::chr8:119437782-119437794(-) AGGAGGAAGTGT >mm10_chr8:119438375-119438386(-)::chr8:119438374-119438386(-) GGAGGGAAGTGG >mm10_chr8:119547152-119547163(-)::chr8:119547151-119547163(-) AGCAGGAAGTCT >mm10_chr8:119557342-119557353(-)::chr8:119557341-119557353(-) AAAGGGAAGTCt >mm10_chr8:119605245-119605256(+)::chr8:119605244-119605256(+) AACAGGAAGTCC >mm10_chr8:119622723-119622734(+)::chr8:119622722-119622734(+) AGCAGGAAGTTG >mm10_chr8:119689128-119689139(-)::chr8:119689127-119689139(-) ACAGGGAAGTCC >mm10_chr8:119693790-119693801(-)::chr8:119693789-119693801(-) atcaggaagaga >mm10_chr8:119693837-119693848(-)::chr8:119693836-119693848(-) tccaggaagtca >mm10_chr8:119709312-119709323(-)::chr8:119709311-119709323(-) AGGAGGAAGAGA >mm10_chr8:119711118-119711129(-)::chr8:119711117-119711129(-) AGCAGGAAGTAA >mm10_chr8:119715244-119715255(-)::chr8:119715243-119715255(-) AAGAGGAAGCGG >mm10_chr8:119715285-119715296(-)::chr8:119715284-119715296(-) ACAAGGAAAAAC >mm10_chr8:119717728-119717739(+)::chr8:119717727-119717739(+) TGAAGGAAGATG >mm10_chr8:119717751-119717762(-)::chr8:119717750-119717762(-) AGGAGGAAGGGA >mm10_chr8:119717786-119717797(+)::chr8:119717785-119717797(+) AGAAGGAAGAGA >mm10_chr8:119730923-119730934(-)::chr8:119730922-119730934(-) gggaggaagaag >mm10_chr8:119730939-119730950(-)::chr8:119730938-119730950(-) aggaggaagaag >mm10_chr8:119730984-119730995(-)::chr8:119730983-119730995(-) agaaggaagaag >mm10_chr8:119749622-119749633(-)::chr8:119749621-119749633(-) ACAAGGAAGCTA >mm10_chr8:119749768-119749779(+)::chr8:119749767-119749779(+) CAAAGGAAGAAC >mm10_chr8:119792150-119792161(-)::chr8:119792149-119792161(-) GAGAGGAAGTGA >mm10_chr8:119792166-119792177(-)::chr8:119792165-119792177(-) AACAGGAAGAGA >mm10_chr8:119792406-119792417(-)::chr8:119792405-119792417(-) ATAAGGACGCAA >mm10_chr8:119792450-119792461(-)::chr8:119792449-119792461(-) ACAAGGAAGGCA >mm10_chr8:119792981-119792992(+)::chr8:119792980-119792992(+) Agaaggaaggaa >mm10_chr8:119792985-119792996(+)::chr8:119792984-119792996(+) ggaaggaaggaa >mm10_chr8:119792989-119793000(+)::chr8:119792988-119793000(+) ggaaggaaggaa >mm10_chr8:119807015-119807026(+)::chr8:119807014-119807026(+) ACCAGGAAGTCC >mm10_chr8:119813064-119813075(+)::chr8:119813063-119813075(+) GCAAGGAAGTCA >mm10_chr8:119813092-119813103(+)::chr8:119813091-119813103(+) AAGAGGAAGTTC >mm10_chr8:119817504-119817515(-)::chr8:119817503-119817515(-) AGTAGGAAGGTG >mm10_chr8:119826162-119826173(-)::chr8:119826161-119826173(-) AGGAGGAAGGGG >mm10_chr8:119838595-119838606(+)::chr8:119838594-119838606(+) aACAGGAAGCAG >mm10_chr8:119838608-119838619(+)::chr8:119838607-119838619(+) AGCAGGAAGATC >mm10_chr8:119840695-119840706(-)::chr8:119840694-119840706(-) AGGAGGAAGGGC >mm10_chr8:119840707-119840718(-)::chr8:119840706-119840718(-) GAAGGGAAGACG >mm10_chr8:119840712-119840723(-)::chr8:119840711-119840723(-) CGGAGGAAGGGA >mm10_chr8:119862280-119862291(-)::chr8:119862279-119862291(-) GCAAGGAAGTGC >mm10_chr8:119867317-119867328(-)::chr8:119867316-119867328(-) GGAAGGAAATTG >mm10_chr8:119867321-119867332(-)::chr8:119867320-119867332(-) AGAAGGAAGGAA >mm10_chr8:119885780-119885791(+)::chr8:119885779-119885791(+) GGGAGGAAGACA >mm10_chr8:119885819-119885830(+)::chr8:119885818-119885830(+) AGCAGGAAGCCC >mm10_chr8:119902088-119902099(+)::chr8:119902087-119902099(+) AACAGGAAGAAG >mm10_chr8:119902325-119902336(+)::chr8:119902324-119902336(+) TACAGGAAGAGG >mm10_chr8:119902331-119902342(+)::chr8:119902330-119902342(+) AAGAGGAAGAGA >mm10_chr8:119947668-119947679(-)::chr8:119947667-119947679(-) TAAAGGAAATGA >mm10_chr8:119947679-119947690(-)::chr8:119947678-119947690(-) GTAAGGAAGTGT >mm10_chr8:119960572-119960583(+)::chr8:119960571-119960583(+) TGAAGGAAGCTC >mm10_chr8:119963783-119963794(-)::chr8:119963782-119963794(-) TCAAGGAAATTG >mm10_chr8:119963828-119963839(-)::chr8:119963827-119963839(-) GTCAGGAAGAAG >mm10_chr8:120032978-120032989(-)::chr8:120032977-120032989(-) GAGAGGAAGGGT >mm10_chr8:120032993-120033004(+)::chr8:120032992-120033004(+) ATAGGGAAATAG >mm10_chr8:120034875-120034886(-)::chr8:120034874-120034886(-) TTAAGGAACTAA >mm10_chr8:120034913-120034924(-)::chr8:120034912-120034924(-) acaaggaaaaaG >mm10_chr8:120041034-120041045(+)::chr8:120041033-120041045(+) GGCAGGAAGTAA >mm10_chr8:120041092-120041103(-)::chr8:120041091-120041103(-) GGCAGGAAGTTA >mm10_chr8:120045417-120045428(-)::chr8:120045416-120045428(-) ataaggaaagac >mm10_chr8:120045468-120045479(-)::chr8:120045467-120045479(-) gccaggaagtgc >mm10_chr8:120073103-120073114(+)::chr8:120073102-120073114(+) AGCAGGAAGGTG >mm10_chr8:120078277-120078288(-)::chr8:120078276-120078288(-) AGCAGGAAGCAA >mm10_chr8:120112776-120112787(-)::chr8:120112775-120112787(-) acgaggaagaac >mm10_chr8:120112817-120112828(-)::chr8:120112816-120112828(-) CTcaggaagttg >mm10_chr8:120117085-120117096(-)::chr8:120117084-120117096(-) acaaggaaatct >mm10_chr8:120124415-120124426(+)::chr8:120124414-120124426(+) GAAAGGAAGGGA >mm10_chr8:120124420-120124431(+)::chr8:120124419-120124431(+) GAAGGGAAGTGA >mm10_chr8:120129752-120129763(-)::chr8:120129751-120129763(-) acaaggaaatga >mm10_chr8:120137718-120137729(-)::chr8:120137717-120137729(-) taacggaaatgg >mm10_chr8:120137774-120137785(-)::chr8:120137773-120137785(-) gagaggaaggaa >mm10_chr8:120138775-120138786(-)::chr8:120138774-120138786(-) AGCAGGAAGGCC >mm10_chr8:120138808-120138819(+)::chr8:120138807-120138819(+) TTAAGGAAGGCA >mm10_chr8:120141026-120141037(+)::chr8:120141025-120141037(+) ATGAGGAAATCA >mm10_chr8:120152842-120152853(+)::chr8:120152841-120152853(+) AACAGGAAGTTG >mm10_chr8:120152866-120152877(-)::chr8:120152865-120152877(-) AGGAGGAAGGAG >mm10_chr8:120186722-120186733(+)::chr8:120186721-120186733(+) AGAAGGAAGGCC >mm10_chr8:120204952-120204963(-)::chr8:120204951-120204963(-) AACAGGAAATGC >mm10_chr8:120285262-120285273(-)::chr8:120285261-120285273(-) CAAAGGAAGTAC >mm10_chr8:120292062-120292073(+)::chr8:120292061-120292073(+) ACAAGGAAGGGC >mm10_chr8:120300737-120300748(-)::chr8:120300736-120300748(-) TGCAGGAAGCTA >mm10_chr8:120307216-120307227(-)::chr8:120307215-120307227(-) TGTAGGAAGTGA >mm10_chr8:120324806-120324817(-)::chr8:120324805-120324817(-) AAGAGGAAGTGA >mm10_chr8:120324812-120324823(-)::chr8:120324811-120324823(-) TGCAGGAAGAGG >mm10_chr8:120324842-120324853(-)::chr8:120324841-120324853(-) GGCAGGAAGACC >mm10_chr8:120325564-120325575(-)::chr8:120325563-120325575(-) GCCAGGAAGAAC >mm10_chr8:120334928-120334939(+)::chr8:120334927-120334939(+) TGCAGGAAGGGA >mm10_chr8:120334977-120334988(+)::chr8:120334976-120334988(+) TGCAGGAAGAAC >mm10_chr8:120361464-120361475(+)::chr8:120361463-120361475(+) ACCAGGAAGGCA >mm10_chr8:120363959-120363970(-)::chr8:120363958-120363970(-) aaaaggaagagg >mm10_chr8:120367037-120367048(-)::chr8:120367036-120367048(-) CCAAGGAAGTTG >mm10_chr8:120393908-120393919(+)::chr8:120393907-120393919(+) TCAAGGAAGAAC >mm10_chr8:120416308-120416319(-)::chr8:120416307-120416319(-) ACCCGGAAGTCC >mm10_chr8:120417230-120417241(+)::chr8:120417229-120417241(+) TTGAGGAAGTGG >mm10_chr8:120427263-120427274(-)::chr8:120427262-120427274(-) AGAGGGAAGTGG >mm10_chr8:120445382-120445393(+)::chr8:120445381-120445393(+) CCCAGGAAGTGA >mm10_chr8:120460008-120460019(+)::chr8:120460007-120460019(+) CCCAGGAAGTTG >mm10_chr8:120460055-120460066(-)::chr8:120460054-120460066(-) ACAAGGAAAAGG >mm10_chr8:120474730-120474741(+)::chr8:120474729-120474741(+) TTGAGGAAGTCC >mm10_chr8:120481613-120481624(+)::chr8:120481612-120481624(+) atgaggaaggac >mm10_chr8:120481629-120481640(-)::chr8:120481628-120481640(-) ccagggaagtaa >mm10_chr8:120488908-120488919(+)::chr8:120488907-120488919(+) AGAAGGAAAAGG >mm10_chr8:120490935-120490946(+)::chr8:120490934-120490946(+) AGGAGGAAGTGT >mm10_chr8:120499371-120499382(-)::chr8:120499370-120499382(-) AAGAGGAAGCGG >mm10_chr8:120513088-120513099(+)::chr8:120513087-120513099(+) accaggaagtcc >mm10_chr8:120526830-120526841(+)::chr8:120526829-120526841(+) AGAGGGAAGTCC >mm10_chr8:120539491-120539502(-)::chr8:120539490-120539502(-) ACAGGGAAGTTA >mm10_chr8:120539506-120539517(+)::chr8:120539505-120539517(+) TGGAGGAAGTTG >mm10_chr8:120564028-120564039(-)::chr8:120564027-120564039(-) AACAGGAAGTGA >mm10_chr8:120570543-120570554(+)::chr8:120570542-120570554(+) TCCAGGAAGAAA >mm10_chr8:120576474-120576485(+)::chr8:120576473-120576485(+) aggaggaagctg >mm10_chr8:120577544-120577555(-)::chr8:120577543-120577555(-) ACGAGGAAGCTA >mm10_chr8:120589274-120589285(-)::chr8:120589273-120589285(-) TTCAGGAAGCGT >mm10_chr8:120664809-120664820(+)::chr8:120664808-120664820(+) AGCAGGAAGAAC >mm10_chr8:120672026-120672037(+)::chr8:120672025-120672037(+) AACAGGAAGCCC >mm10_chr8:120681373-120681384(+)::chr8:120681372-120681384(+) ACGAGGAAATGG >mm10_chr8:120701973-120701984(+)::chr8:120701972-120701984(+) GGTAGGAAGTGG >mm10_chr8:120917289-120917300(-)::chr8:120917288-120917300(-) AACAGGAAATCG >mm10_chr8:120917303-120917314(+)::chr8:120917302-120917314(+) AGAAGGAAGAGA >mm10_chr8:120941403-120941414(-)::chr8:120941402-120941414(-) aggaggaagagg >mm10_chr8:120941413-120941424(-)::chr8:120941412-120941424(-) AACAGGAaggag >mm10_chr8:121113703-121113714(+)::chr8:121113702-121113714(+) ACAAGGAAGAGA >mm10_chr8:121149436-121149447(-)::chr8:121149435-121149447(-) agagggaaggga >mm10_chr8:121149453-121149464(-)::chr8:121149452-121149464(-) aagaggaagaga >mm10_chr8:121149465-121149476(-)::chr8:121149464-121149476(-) aggaggaaggga >mm10_chr8:121149476-121149487(-)::chr8:121149475-121149487(-) aggaggaagaga >mm10_chr8:121149506-121149517(-)::chr8:121149505-121149517(-) aggaggaagggg >mm10_chr8:121229734-121229745(+)::chr8:121229733-121229745(+) GGAAGGAAGTGA >mm10_chr8:121263020-121263031(+)::chr8:121263019-121263031(+) GCCAGGAAGGAG >mm10_chr8:121264632-121264643(-)::chr8:121264631-121264643(-) GAGAGGAAGAGG >mm10_chr8:121269380-121269391(+)::chr8:121269379-121269391(+) ACAAGGAAGATC >mm10_chr8:121270336-121270347(+)::chr8:121270335-121270347(+) TTCAGGAAGTTC >mm10_chr8:121448570-121448581(+)::chr8:121448569-121448581(+) atgaggaagagg >mm10_chr8:121448582-121448593(+)::chr8:121448581-121448593(+) agaaggaaggag >mm10_chr8:121448594-121448605(+)::chr8:121448593-121448605(+) aggaggaagaag >mm10_chr8:121448609-121448620(+)::chr8:121448608-121448620(+) aagaggaagagg >mm10_chr8:121448615-121448626(+)::chr8:121448614-121448626(+) aagaggaagcag >mm10_chr8:121448630-121448641(+)::chr8:121448629-121448641(+) aggaggaagagg >mm10_chr8:121585685-121585696(-)::chr8:121585684-121585696(-) AGCCGGAAGTCA >mm10_chr8:121619679-121619690(+)::chr8:121619678-121619690(+) CAAAGGAAGTGA >mm10_chr8:121622316-121622327(+)::chr8:121622315-121622327(+) ATGAGGAAATAC >mm10_chr8:121622324-121622335(-)::chr8:121622323-121622335(-) AAAAGGAAGTAT >mm10_chr8:121635782-121635793(+)::chr8:121635781-121635793(+) AGCAGGAAGTGT >mm10_chr8:121660794-121660805(+)::chr8:121660793-121660805(+) AACAGGAAGGGG >mm10_chr8:121706721-121706732(+)::chr8:121706720-121706732(+) agcaggaaggga >mm10_chr8:121706738-121706749(+)::chr8:121706737-121706749(+) aggaggaagggg >mm10_chr8:121706753-121706764(+)::chr8:121706752-121706764(+) aggaggaaggga >mm10_chr8:121706761-121706772(+)::chr8:121706760-121706772(+) gggaggaaggga >mm10_chr8:121829576-121829587(-)::chr8:121829575-121829587(-) GACAGGAAGTGA >mm10_chr8:121848549-121848560(-)::chr8:121848548-121848560(-) ACCAGGAAGCTG >mm10_chr8:121848585-121848596(-)::chr8:121848584-121848596(-) GAAATGAAGTGG >mm10_chr8:121848598-121848609(-)::chr8:121848597-121848609(-) GCAAGGAACTGG >mm10_chr8:121853801-121853812(+)::chr8:121853800-121853812(+) aCTAGGAAGAgg >mm10_chr8:121854975-121854986(-)::chr8:121854974-121854986(-) accgggaagtga >mm10_chr8:121856065-121856076(+)::chr8:121856064-121856076(+) ATAAGGAAGGAA >mm10_chr8:121856069-121856080(+)::chr8:121856068-121856080(+) GGAAGGAAGGAA >mm10_chr8:121856084-121856095(+)::chr8:121856083-121856095(+) AACAGGATGTAT >mm10_chr8:121857618-121857629(-)::chr8:121857617-121857629(-) AGAAGGGAGTGC >mm10_chr8:121857651-121857662(+)::chr8:121857650-121857662(+) ACCAGGAAGGCG >mm10_chr8:121861537-121861548(+)::chr8:121861536-121861548(+) AACAGGAAGCTA >mm10_chr8:121862080-121862091(+)::chr8:121862079-121862091(+) AGGAGGAAGGGA >mm10_chr8:121867152-121867163(-)::chr8:121867151-121867163(-) TAGAGGAAGTAA >mm10_chr8:121872313-121872324(-)::chr8:121872312-121872324(-) GCAGGGAAGACG >mm10_chr8:121877485-121877496(-)::chr8:121877484-121877496(-) AGAGGGAAGTCA >mm10_chr8:121877492-121877503(-)::chr8:121877491-121877503(-) ATGAGGAAGAGG >mm10_chr8:121877954-121877965(-)::chr8:121877953-121877965(-) AGGAGGAAGAGT >mm10_chr8:121877961-121877972(-)::chr8:121877960-121877972(-) AGAAGGAAGGAG >mm10_chr8:121896948-121896959(-)::chr8:121896947-121896959(-) AAAAGGaactgg >mm10_chr8:121898147-121898158(+)::chr8:121898146-121898158(+) AGCAGGAAGACT >mm10_chr8:121898494-121898505(-)::chr8:121898493-121898505(-) ATAAGGAAATGT >mm10_chr8:121909338-121909349(+)::chr8:121909337-121909349(+) ACGAGGAAGCCA >mm10_chr8:121922304-121922315(+)::chr8:121922303-121922315(+) GCACGGAAGAGG >mm10_chr8:121951496-121951507(-)::chr8:121951495-121951507(-) GAAAGGAAGTCC >mm10_chr8:121977112-121977123(+)::chr8:121977111-121977123(+) GTCAGGAAGAAT >mm10_chr8:121983102-121983113(-)::chr8:121983101-121983113(-) ACCAGGAACTAA >mm10_chr8:121983132-121983143(+)::chr8:121983131-121983143(+) TAAAGGAAGCTG >mm10_chr8:122047642-122047653(-)::chr8:122047641-122047653(-) TCTAGGAAGTTC >mm10_chr8:122149222-122149233(-)::chr8:122149221-122149233(-) ACCAGGAAGTTC >mm10_chr8:122164578-122164589(+)::chr8:122164577-122164589(+) AAAGGGAAGTAA >mm10_chr8:122182137-122182148(+)::chr8:122182136-122182148(+) acaaggaaggat >mm10_chr8:122182162-122182173(+)::chr8:122182161-122182173(+) gtaaggaagtca >mm10_chr8:122190515-122190526(-)::chr8:122190514-122190526(-) ACCAGGAAGGCG >mm10_chr8:122191150-122191161(-)::chr8:122191149-122191161(-) GGGAGGAAGTTC >mm10_chr8:122191162-122191173(-)::chr8:122191161-122191173(-) AGCAGGAAGTCA >mm10_chr8:122216584-122216595(+)::chr8:122216583-122216595(+) ATGAGGAAGGAG >mm10_chr8:122217751-122217762(+)::chr8:122217750-122217762(+) AGCCGGAAGTTC >mm10_chr8:122224143-122224154(+)::chr8:122224142-122224154(+) AGAAGGAAGTCT >mm10_chr8:122264419-122264430(+)::chr8:122264418-122264430(+) AAAGGGAAGTGA >mm10_chr8:122277353-122277364(+)::chr8:122277352-122277364(+) AGAAGGAAGCAG >mm10_chr8:122280842-122280853(+)::chr8:122280841-122280853(+) AGAAGGAAAAAC >mm10_chr8:122376564-122376575(+)::chr8:122376563-122376575(+) GGAAGGAAGTGC >mm10_chr8:122407895-122407906(+)::chr8:122407894-122407906(+) GGAAGGAACTCC >mm10_chr8:122409261-122409272(+)::chr8:122409260-122409272(+) GAGAGGAAGGTA >mm10_chr8:122421523-122421534(-)::chr8:122421522-122421534(-) ACCAGGAACTAG >mm10_chr8:122421730-122421741(+)::chr8:122421729-122421741(+) AGGAGGAAGCAG >mm10_chr8:122426281-122426292(+)::chr8:122426280-122426292(+) AGGAGGAAGCAA >mm10_chr8:122430212-122430223(-)::chr8:122430211-122430223(-) AGAAGGAAGCCA >mm10_chr8:122430222-122430233(-)::chr8:122430221-122430233(-) AGAAGGAAACAG >mm10_chr8:122438320-122438331(+)::chr8:122438319-122438331(+) GGAAGGCAGTAG >mm10_chr8:122444435-122444446(-)::chr8:122444434-122444446(-) ACAAGGAAGCTG >mm10_chr8:122474339-122474350(-)::chr8:122474338-122474350(-) GAGAGGAAGTGG >mm10_chr8:122524712-122524723(+)::chr8:122524711-122524723(+) TGAAGGCAGTGA >mm10_chr8:122524738-122524749(+)::chr8:122524737-122524749(+) AGCAGGAAATGG >mm10_chr8:122533187-122533198(+)::chr8:122533186-122533198(+) CAAAGGAAGTCT >mm10_chr8:122533211-122533222(-)::chr8:122533210-122533222(-) AGCAGGAAGGAT >mm10_chr8:122537190-122537201(-)::chr8:122537189-122537201(-) CTAAGGAACTCG >mm10_chr8:122547241-122547252(+)::chr8:122547240-122547252(+) AGGAGGAAATCA >mm10_chr8:122556829-122556840(-)::chr8:122556828-122556840(-) AGGAGGAAGGGG >mm10_chr8:122556842-122556853(+)::chr8:122556841-122556853(+) TTCAGGAAGTGC >mm10_chr8:122576389-122576400(+)::chr8:122576388-122576400(+) AGGAGGAAGTGT >mm10_chr8:122605322-122605333(+)::chr8:122605321-122605333(+) ACAAGGAAGAGC >mm10_chr8:122611388-122611399(-)::chr8:122611387-122611399(-) AAAAGGAACCGC >mm10_chr8:122622483-122622494(+)::chr8:122622482-122622494(+) AGAAGGAAGCTC >mm10_chr8:122622511-122622522(-)::chr8:122622510-122622522(-) ACAAGGAAGCAG >mm10_chr8:122622522-122622533(-)::chr8:122622521-122622533(-) AGCAGGAAGTGA >mm10_chr8:122627231-122627242(+)::chr8:122627230-122627242(+) AACAGGAAGTAA >mm10_chr8:122652742-122652753(+)::chr8:122652741-122652753(+) ATGAGGAAGTAG >mm10_chr8:122663175-122663186(+)::chr8:122663174-122663186(+) ACAAGGAACTGA >mm10_chr8:122665389-122665400(-)::chr8:122665388-122665400(-) GAAAGGATGTGT >mm10_chr8:122666303-122666314(+)::chr8:122666302-122666314(+) TCAAGGAAGGAG >mm10_chr8:122666688-122666699(-)::chr8:122666687-122666699(-) CCAAGGAAGGAG >mm10_chr8:122725154-122725165(-)::chr8:122725153-122725165(-) agcaggaagtgg >mm10_chr8:122725168-122725179(-)::chr8:122725167-122725179(-) AGCAggaagttg >mm10_chr8:122725182-122725193(-)::chr8:122725181-122725193(-) AGCAGGAAGTGG >mm10_chr8:122725199-122725210(-)::chr8:122725198-122725210(-) ATCAGGAAGCAG >mm10_chr8:122763625-122763636(+)::chr8:122763624-122763636(+) CACGGGAAGTAA >mm10_chr8:122764976-122764987(+)::chr8:122764975-122764987(+) agcaggaagctg >mm10_chr8:122765010-122765021(+)::chr8:122765009-122765021(+) agcaggaagcag >mm10_chr8:122808188-122808199(-)::chr8:122808187-122808199(-) AGAAGGAAGCTC >mm10_chr8:122830563-122830574(-)::chr8:122830562-122830574(-) TAGAGGAAGTAT >mm10_chr8:122877248-122877259(+)::chr8:122877247-122877259(+) taaaggaagtca >mm10_chr8:122932387-122932398(-)::chr8:122932386-122932398(-) GGAAGGAACTGT >mm10_chr8:122932391-122932402(-)::chr8:122932390-122932402(-) GGAAGGAAGGAA >mm10_chr8:122932395-122932406(-)::chr8:122932394-122932406(-) TGAAGGAAGGAA >mm10_chr8:122966788-122966799(+)::chr8:122966787-122966799(+) aagaggaaatac >mm10_chr8:122966802-122966813(+)::chr8:122966801-122966813(+) aacaggaagcag >mm10_chr8:122980320-122980331(+)::chr8:122980319-122980331(+) GACAGGAAATAG >mm10_chr8:122981871-122981882(-)::chr8:122981870-122981882(-) GACAGGAAGTTC >mm10_chr8:122987070-122987081(+)::chr8:122987069-122987081(+) AAGAGGAAGAGA >mm10_chr8:123014632-123014643(+)::chr8:123014631-123014643(+) tgGAGGAAGTAG >mm10_chr8:123020339-123020350(+)::chr8:123020338-123020350(+) ACCAGGAAGAAA >mm10_chr8:123042568-123042579(+)::chr8:123042567-123042579(+) TGCCGGAAGTGA >mm10_chr8:123102331-123102342(-)::chr8:123102330-123102342(-) AAAGGGAAGTGA >mm10_chr8:123155214-123155225(+)::chr8:123155213-123155225(+) GAGAGGAAGAGA >mm10_chr8:123155274-123155285(-)::chr8:123155273-123155285(-) AGCAGGAAGCTG >mm10_chr8:123189045-123189056(+)::chr8:123189044-123189056(+) AGAGGGAAGTGG >mm10_chr8:123318048-123318059(-)::chr8:123318047-123318059(-) AAGAGGAAGTTG >mm10_chr8:123342485-123342496(-)::chr8:123342484-123342496(-) AGGAGGAAGTAC >mm10_chr8:123373740-123373751(+)::chr8:123373739-123373751(+) GGGAGGAAGTTC >mm10_chr8:123374914-123374925(+)::chr8:123374913-123374925(+) ACACGGAAGTAC >mm10_chr8:123444069-123444080(+)::chr8:123444068-123444080(+) ACCAGGAAGATG >mm10_chr8:123481638-123481649(-)::chr8:123481637-123481649(-) acgaggaagggg >mm10_chr8:123522808-123522819(-)::chr8:123522807-123522819(-) AGCAGGAAGGCC >mm10_chr8:123633102-123633113(-)::chr8:123633101-123633113(-) ACAAGGAAGAGA >mm10_chr8:123633123-123633134(-)::chr8:123633122-123633134(-) GACAGGAAGTGT >mm10_chr8:123633144-123633155(-)::chr8:123633143-123633155(-) GACAGGAAGTGA >mm10_chr8:123721002-123721013(+)::chr8:123721001-123721013(+) AGAAGGAAGTAG >mm10_chr8:123722317-123722328(+)::chr8:123722316-123722328(+) TGCAGGAAGCAC >mm10_chr8:123737099-123737110(-)::chr8:123737098-123737110(-) ATCAGGAAGCCA >mm10_chr8:123750423-123750434(-)::chr8:123750422-123750434(-) AACAGGAAGTTG >mm10_chr8:123754841-123754852(-)::chr8:123754840-123754852(-) AAGAGGAAGAGG >mm10_chr8:123757180-123757191(-)::chr8:123757179-123757191(-) TAAAGGAAGGTG >mm10_chr8:123763580-123763591(-)::chr8:123763579-123763591(-) ATGAGGAAATGG >mm10_chr8:123763629-123763640(+)::chr8:123763628-123763640(+) ACCAGGAAGCAG >mm10_chr8:123787532-123787543(+)::chr8:123787531-123787543(+) AACAGGAAATAG >mm10_chr8:123800077-123800088(-)::chr8:123800076-123800088(-) AGGAGGAAGTCA >mm10_chr8:123805827-123805838(-)::chr8:123805826-123805838(-) accaggaaggag >mm10_chr8:123810409-123810420(+)::chr8:123810408-123810420(+) accaggaagagg >mm10_chr8:123810425-123810436(+)::chr8:123810424-123810436(+) ggcaggaagcac >mm10_chr8:123816454-123816465(-)::chr8:123816453-123816465(-) CCAAGGAAGGAG >mm10_chr8:123816463-123816474(+)::chr8:123816462-123816474(+) TGGAGGAAGTGG >mm10_chr8:123860600-123860611(+)::chr8:123860599-123860611(+) AAAAGGAAGCAT >mm10_chr8:123860650-123860661(+)::chr8:123860649-123860661(+) TCCAGGAAGGAT >mm10_chr8:123958282-123958293(-)::chr8:123958281-123958293(-) GGAAGGATGTGC >mm10_chr8:123984382-123984393(-)::chr8:123984381-123984393(-) AACAGGAAGTGC >mm10_chr8:123984392-123984403(-)::chr8:123984391-123984403(-) AAGAGGAAGGAA >mm10_chr8:123984423-123984434(+)::chr8:123984422-123984434(+) TTAAGGAAGGAA >mm10_chr8:124007770-124007781(-)::chr8:124007769-124007781(-) ataaggaacagg >mm10_chr8:124019682-124019693(-)::chr8:124019681-124019693(-) ACCAGGAAGCCA >mm10_chr8:124061994-124062005(+)::chr8:124061993-124062005(+) ACAaggaagagg >mm10_chr8:124062000-124062011(+)::chr8:124061999-124062011(+) aagaggaagagg >mm10_chr8:124062013-124062024(+)::chr8:124062012-124062024(+) gacaggaaggaa >mm10_chr8:124062017-124062028(+)::chr8:124062016-124062028(+) ggaaggaagaag >mm10_chr8:124062032-124062043(+)::chr8:124062031-124062043(+) aggaggaagacg >mm10_chr8:124066694-124066705(-)::chr8:124066693-124066705(-) TGAAGGAAGAAC >mm10_chr8:124066707-124066718(-)::chr8:124066706-124066718(-) AACAGGAAGAAA >mm10_chr8:124113190-124113201(+)::chr8:124113189-124113201(+) AGAAGGAAGCGG >mm10_chr8:124150232-124150243(+)::chr8:124150231-124150243(+) ACAAGGAAGTGA >mm10_chr8:124162771-124162782(+)::chr8:124162770-124162782(+) ggaaggaagaag >mm10_chr8:124193292-124193303(+)::chr8:124193291-124193303(+) CCGAGGAAGGAA >mm10_chr8:124193296-124193307(+)::chr8:124193295-124193307(+) GGAAGGAAATAG >mm10_chr8:124230604-124230615(-)::chr8:124230603-124230615(-) GGAAGGAACTCC >mm10_chr8:124230608-124230619(-)::chr8:124230607-124230619(-) AGGAGGAAGGAA >mm10_chr8:124241216-124241227(-)::chr8:124241215-124241227(-) TACAGGAAATAT >mm10_chr8:124250533-124250544(-)::chr8:124250532-124250544(-) agaaggaagagg >mm10_chr8:124250540-124250551(-)::chr8:124250539-124250551(-) gagaggaagaag >mm10_chr8:124250558-124250569(-)::chr8:124250557-124250569(-) agaaggaaggga >mm10_chr8:124252528-124252539(+)::chr8:124252527-124252539(+) TGCAGGAAGGGC >mm10_chr8:124261789-124261800(+)::chr8:124261788-124261800(+) TCAAGGAAGAGG >mm10_chr8:124261795-124261806(+)::chr8:124261794-124261806(+) AAGAGGAAGGTC >mm10_chr8:124261805-124261816(-)::chr8:124261804-124261816(-) TTGAGGAAGCGA >mm10_chr8:124278088-124278099(-)::chr8:124278087-124278099(-) AGGAGGAAGCCA >mm10_chr8:124278095-124278106(-)::chr8:124278094-124278106(-) ACAAGGAAGGAG >mm10_chr8:124302274-124302285(+)::chr8:124302273-124302285(+) TGAAGGAAGTTG >mm10_chr8:124312412-124312423(-)::chr8:124312411-124312423(-) TGCAGGAAGTAA >mm10_chr8:124332248-124332259(+)::chr8:124332247-124332259(+) TGAAGGAACTTA >mm10_chr8:124493447-124493458(-)::chr8:124493446-124493458(-) accaggaagaac >mm10_chr8:124494589-124494600(-)::chr8:124494588-124494600(-) TGAGGGAAGTGA >mm10_chr8:124494705-124494716(+)::chr8:124494704-124494716(+) AACAGGAAGAAT >mm10_chr8:124526978-124526989(-)::chr8:124526977-124526989(-) AGAAGGAAGTCA >mm10_chr8:124569246-124569257(-)::chr8:124569245-124569257(-) AGCAGGAAGGGA >mm10_chr8:124644674-124644685(-)::chr8:124644673-124644685(-) GCAGGGAAGTTC >mm10_chr8:124699879-124699890(+)::chr8:124699878-124699890(+) ATGAGGAAATGT >mm10_chr8:124701203-124701214(+)::chr8:124701202-124701214(+) AACAGGAAGGAA >mm10_chr8:124701207-124701218(+)::chr8:124701206-124701218(+) GGAAGGAAGAGC >mm10_chr8:124702355-124702366(+)::chr8:124702354-124702366(+) ACACGGAAGGAG >mm10_chr8:124704603-124704614(+)::chr8:124704602-124704614(+) ACAAGGAAGTCC >mm10_chr8:124722224-124722235(+)::chr8:124722223-124722235(+) GCACGGAAGGCG >mm10_chr8:124722245-124722256(-)::chr8:124722244-124722256(-) AAGAGGAAGAAG >mm10_chr8:124743936-124743947(-)::chr8:124743935-124743947(-) GACAGGAAGGAC >mm10_chr8:124743986-124743997(-)::chr8:124743985-124743997(-) ACAAGGAAGAGA >mm10_chr8:124743998-124744009(-)::chr8:124743997-124744009(-) AGAAGGAAGAGC >mm10_chr8:124897883-124897894(+)::chr8:124897882-124897894(+) GCACGGAAGTCA >mm10_chr8:124905522-124905533(+)::chr8:124905521-124905533(+) TTAAGGAAGGGG >mm10_chr8:124905561-124905572(-)::chr8:124905560-124905572(-) TACAGGATGTAC >mm10_chr8:124926004-124926015(-)::chr8:124926003-124926015(-) TGAAGgaaatgc >mm10_chr8:124991171-124991182(-)::chr8:124991170-124991182(-) accaggaagtaa >mm10_chr8:125012987-125012998(+)::chr8:125012986-125012998(+) GGCCGGAAGTGT >mm10_chr8:125037433-125037444(+)::chr8:125037432-125037444(+) ACCAGGAAGGTG >mm10_chr8:125053662-125053673(-)::chr8:125053661-125053673(-) TACAGGAAGTGC >mm10_chr8:125117193-125117204(-)::chr8:125117192-125117204(-) CAGAGGAAGTGG >mm10_chr8:125162872-125162883(-)::chr8:125162871-125162883(-) ACTAGGAAGCTA >mm10_chr8:125199447-125199458(+)::chr8:125199446-125199458(+) AGAAGGAAGTGA >mm10_chr8:125305963-125305974(+)::chr8:125305962-125305974(+) ttcaggaagtag >mm10_chr8:125305983-125305994(+)::chr8:125305982-125305994(+) taaaggaagtag >mm10_chr8:125306031-125306042(-)::chr8:125306030-125306042(-) aacaggaagtgg >mm10_chr8:125341780-125341791(-)::chr8:125341779-125341791(-) aggaggaagcat >mm10_chr8:125351687-125351698(+)::chr8:125351686-125351698(+) acaaggaagaga >mm10_chr8:125363312-125363323(-)::chr8:125363311-125363323(-) AGACGGAAGCAG >mm10_chr8:125363380-125363391(-)::chr8:125363379-125363391(-) TCGAGGAAGAAG >mm10_chr8:125368628-125368639(-)::chr8:125368627-125368639(-) AGCAGGAAGTGA >mm10_chr8:125368873-125368884(+)::chr8:125368872-125368884(+) TTGAGGAAGTCA >mm10_chr8:125390090-125390101(-)::chr8:125390089-125390101(-) AAAAGGAAGTAA >mm10_chr8:125390238-125390249(+)::chr8:125390237-125390249(+) GCCAGGAAGGGC >mm10_chr8:125392020-125392031(+)::chr8:125392019-125392031(+) ACCAGGAAATTA >mm10_chr8:125395191-125395202(+)::chr8:125395190-125395202(+) AGCAGGAACTGA >mm10_chr8:125407024-125407035(+)::chr8:125407023-125407035(+) TCCAGGAAGTTG >mm10_chr8:125434435-125434446(+)::chr8:125434434-125434446(+) CTGAGGAAGTGG >mm10_chr8:125434504-125434515(-)::chr8:125434503-125434515(-) TTCAGGAAGTGA >mm10_chr8:125459864-125459875(-)::chr8:125459863-125459875(-) AACAGGAAGAAA >mm10_chr8:125459887-125459898(-)::chr8:125459886-125459898(-) AGAGGGAAGAAT >mm10_chr8:125459894-125459905(-)::chr8:125459893-125459905(-) TACAGGAAGAGG >mm10_chr8:125486206-125486217(+)::chr8:125486205-125486217(+) aggaggaagagg >mm10_chr8:125486212-125486223(+)::chr8:125486211-125486223(+) aagaggaagaag >mm10_chr8:125486230-125486241(+)::chr8:125486229-125486241(+) aggaggaagagg >mm10_chr8:125486236-125486247(+)::chr8:125486235-125486247(+) aagaggaagaag >mm10_chr8:125486248-125486259(+)::chr8:125486247-125486259(+) aagaggaagagg >mm10_chr8:125489038-125489049(-)::chr8:125489037-125489049(-) ATAAGGAAATGC >mm10_chr8:125501599-125501610(-)::chr8:125501598-125501610(-) GGGAGGAAGAGA >mm10_chr8:125504817-125504828(-)::chr8:125504816-125504828(-) GACAGGAAGAAC >mm10_chr8:125505457-125505468(-)::chr8:125505456-125505468(-) ACAAGGAAGGGA >mm10_chr8:125518485-125518496(-)::chr8:125518484-125518496(-) TGCAGGAAGTAG >mm10_chr8:125521248-125521259(+)::chr8:125521247-125521259(+) AGAAGGGAGTGG >mm10_chr8:125521314-125521325(-)::chr8:125521313-125521325(-) AGAAGGAAGTGC >mm10_chr8:125522350-125522361(+)::chr8:125522349-125522361(+) aggaggaaggga >mm10_chr8:125523407-125523418(+)::chr8:125523406-125523418(+) GACAGGAAGATC >mm10_chr8:125531433-125531444(-)::chr8:125531432-125531444(-) TTCAGGAAGTAA >mm10_chr8:125531466-125531477(-)::chr8:125531465-125531477(-) ATCAGGAAGCAC >mm10_chr8:125531783-125531794(+)::chr8:125531782-125531794(+) aggaggaagcag >mm10_chr8:125531824-125531835(-)::chr8:125531823-125531835(-) gacaggaagagg >mm10_chr8:125531842-125531853(-)::chr8:125531841-125531853(-) accaggaagtaa >mm10_chr8:125560914-125560925(-)::chr8:125560913-125560925(-) CACAGGAAGTGG >mm10_chr8:125560942-125560953(+)::chr8:125560941-125560953(+) AGGAGGAAGTAG >mm10_chr8:125561340-125561351(+)::chr8:125561339-125561351(+) gccaggaagaag >mm10_chr8:125620529-125620540(-)::chr8:125620528-125620540(-) AGCAGGAAGTCA >mm10_chr8:125642036-125642047(+)::chr8:125642035-125642047(+) aggaGGACGTGG >mm10_chr8:125642095-125642106(-)::chr8:125642094-125642106(-) ATGAGGAAGTTA >mm10_chr8:125721707-125721718(-)::chr8:125721706-125721718(-) aacaggaagtgg >mm10_chr8:125742000-125742011(+)::chr8:125741999-125742011(+) AGGAGGAAGTGC >mm10_chr8:125756764-125756775(-)::chr8:125756763-125756775(-) ACAAGGAAGGCA >mm10_chr8:125910119-125910130(+)::chr8:125910118-125910130(+) ACCAGGAAGACT >mm10_chr8:125983712-125983723(-)::chr8:125983711-125983723(-) aggaggaagttg >mm10_chr8:126000160-126000171(-)::chr8:126000159-126000171(-) ataaggaacacg >mm10_chr8:126012487-126012498(-)::chr8:126012486-126012498(-) ACACGGAAGTCC >mm10_chr8:126018840-126018851(+)::chr8:126018839-126018851(+) AGAAGGATGTGT >mm10_chr8:126024915-126024926(-)::chr8:126024914-126024926(-) AGCAGGAAATGT >mm10_chr8:126024928-126024939(-)::chr8:126024927-126024939(-) GCAAGGAAGAGA >mm10_chr8:126025228-126025239(-)::chr8:126025227-126025239(-) AGCAGGAAACGG >mm10_chr8:126025286-126025297(-)::chr8:126025285-126025297(-) TCGAGGAAGTTC >mm10_chr8:126026675-126026686(+)::chr8:126026674-126026686(+) TCTAGGAAGTCA >mm10_chr8:126027381-126027392(-)::chr8:126027380-126027392(-) AGGAGGAAGAGG >mm10_chr8:126036803-126036814(+)::chr8:126036802-126036814(+) tagaggaagtgg >mm10_chr8:126083203-126083214(+)::chr8:126083202-126083214(+) AGCAGGAACTGA >mm10_chr8:126094671-126094682(-)::chr8:126094670-126094682(-) aggaggaagaag >mm10_chr8:126094752-126094763(-)::chr8:126094751-126094763(-) AGCAGGAAGAAA >mm10_chr8:126114075-126114086(-)::chr8:126114074-126114086(-) GCAGGGAAGTTA >mm10_chr8:126318549-126318560(+)::chr8:126318548-126318560(+) gtcaggaagcaa >mm10_chr8:126318562-126318573(+)::chr8:126318561-126318573(+) ttaaggaaggag >mm10_chr8:126391769-126391780(-)::chr8:126391768-126391780(-) AGAAGGAAATAA >mm10_chr8:126392925-126392936(+)::chr8:126392924-126392936(+) AAGAGGAAGAAG >mm10_chr8:126422480-126422491(+)::chr8:126422479-126422491(+) GGCCGGAAGTCC >mm10_chr8:126459229-126459240(-)::chr8:126459228-126459240(-) ctaaggaagcca >mm10_chr8:126459244-126459255(+)::chr8:126459243-126459255(+) ataaggaaacaa >mm10_chr8:126467052-126467063(+)::chr8:126467051-126467063(+) ATAAGGAAGCGA >mm10_chr8:126467401-126467412(-)::chr8:126467400-126467412(-) ACAGGGAAGTGC >mm10_chr8:126473023-126473034(+)::chr8:126473022-126473034(+) agaaggaaaaag >mm10_chr8:126473030-126473041(+)::chr8:126473029-126473041(+) aaaaggaagcaa >mm10_chr8:126498567-126498578(-)::chr8:126498566-126498578(-) AGAAGGAAGCAA >mm10_chr8:126498602-126498613(+)::chr8:126498601-126498613(+) GAAAGGAAGCGA >mm10_chr8:126500700-126500711(+)::chr8:126500699-126500711(+) GGAAGGAAGAGG >mm10_chr8:126510061-126510072(+)::chr8:126510060-126510072(+) gcaaggaaggaa >mm10_chr8:126510073-126510084(+)::chr8:126510072-126510084(+) agaaggaaggaa >mm10_chr8:126510077-126510088(+)::chr8:126510076-126510088(+) ggaaggaaggaa >mm10_chr8:126510081-126510092(+)::chr8:126510080-126510092(+) ggaaggaaggaa >mm10_chr8:126510085-126510096(+)::chr8:126510084-126510096(+) ggaaggaaggaa >mm10_chr8:126510089-126510100(+)::chr8:126510088-126510100(+) ggaaggaagtga >mm10_chr8:126517282-126517293(+)::chr8:126517281-126517293(+) TTAAGGAAGCAG >mm10_chr8:126524292-126524303(-)::chr8:126524291-126524303(-) GAAAGGAAGGAT >mm10_chr8:126527394-126527405(+)::chr8:126527393-126527405(+) CTAAGGAAATGA >mm10_chr8:126536217-126536228(-)::chr8:126536216-126536228(-) ATGAGGAAGACA >mm10_chr8:126536401-126536412(+)::chr8:126536400-126536412(+) AACAGGAAGATG >mm10_chr8:126558655-126558666(-)::chr8:126558654-126558666(-) ACAAGGAAATAA >mm10_chr8:126558726-126558737(+)::chr8:126558725-126558737(+) ATAAGGAAAGAG >mm10_chr8:126572646-126572657(+)::chr8:126572645-126572657(+) AGGAGGAAGAAC >mm10_chr8:126573049-126573060(-)::chr8:126573048-126573060(-) GCAAGGAAGGAA >mm10_chr8:126573283-126573294(-)::chr8:126573282-126573294(-) tagaggaagttg >mm10_chr8:126591775-126591786(-)::chr8:126591774-126591786(-) AAGGGGAAGTCG >mm10_chr8:126591800-126591811(-)::chr8:126591799-126591811(-) AAAAGGAAGCCT >mm10_chr8:126601109-126601120(+)::chr8:126601108-126601120(+) GCCAGGAAGTTG >mm10_chr8:126603153-126603164(-)::chr8:126603152-126603164(-) ACACGGAAATAA >mm10_chr8:126604224-126604235(+)::chr8:126604223-126604235(+) aaaaggaaggag >mm10_chr8:126647789-126647800(+)::chr8:126647788-126647800(+) TCAAGGAAGCTT >mm10_chr8:126648491-126648502(-)::chr8:126648490-126648502(-) tccaggaagttc >mm10_chr8:126648702-126648713(+)::chr8:126648701-126648713(+) ataaggaaggcc >mm10_chr8:126648732-126648743(+)::chr8:126648731-126648743(+) tctaggaagtca >mm10_chr8:126648749-126648760(+)::chr8:126648748-126648760(+) gctaggaagtag >mm10_chr8:126659757-126659768(+)::chr8:126659756-126659768(+) ATAAGGAAATCC >mm10_chr8:126664728-126664739(+)::chr8:126664727-126664739(+) TGGAGGAAGGAG >mm10_chr8:126664732-126664743(+)::chr8:126664731-126664743(+) GGAAGGAGGTGG >mm10_chr8:126666046-126666057(+)::chr8:126666045-126666057(+) TTAAGGAAGCTT >mm10_chr8:126692450-126692461(+)::chr8:126692449-126692461(+) GAAAGGAAGCCA >mm10_chr8:126692505-126692516(+)::chr8:126692504-126692516(+) AGCAGGAAGTCT >mm10_chr8:126693810-126693821(+)::chr8:126693809-126693821(+) aggaggaagtga >mm10_chr8:126693847-126693858(-)::chr8:126693846-126693858(-) atcaggaagtgg >mm10_chr8:126695637-126695648(-)::chr8:126695636-126695648(-) GAGGGGAAGTAT >mm10_chr8:126695711-126695722(+)::chr8:126695710-126695722(+) ACAAGGAACATA >mm10_chr8:126723753-126723764(+)::chr8:126723752-126723764(+) accaggaagtgg >mm10_chr8:126732029-126732040(-)::chr8:126732028-126732040(-) AGAAGGAAGGGG >mm10_chr8:126732056-126732067(+)::chr8:126732055-126732067(+) ACACGGAAGAAG >mm10_chr8:126736228-126736239(+)::chr8:126736227-126736239(+) AGGAGGAAGGAG >mm10_chr8:126751983-126751994(-)::chr8:126751982-126751994(-) ACAAGGAAGTGT >mm10_chr8:126756431-126756442(-)::chr8:126756430-126756442(-) accaggaagaga >mm10_chr8:126758080-126758091(-)::chr8:126758079-126758091(-) AAAAGGACGTTA >mm10_chr8:126758097-126758108(-)::chr8:126758096-126758108(-) GGAAGGAGGTAC >mm10_chr8:126758101-126758112(-)::chr8:126758100-126758112(-) TGCAGGAAGGAG >mm10_chr8:126758119-126758130(+)::chr8:126758118-126758130(+) GGCAGGAAGTGG >mm10_chr8:126798253-126798264(-)::chr8:126798252-126798264(-) TTCAGGAAATAA >mm10_chr8:126804361-126804372(-)::chr8:126804360-126804372(-) AAAGGGAAGTAG >mm10_chr8:126807581-126807592(+)::chr8:126807580-126807592(+) TGTAGGAAGTTG >mm10_chr8:126824751-126824762(+)::chr8:126824750-126824762(+) TCCAGGAAGTAG >mm10_chr8:126827102-126827113(+)::chr8:126827101-126827113(+) ACAAGGAAGCAC >mm10_chr8:126828316-126828327(+)::chr8:126828315-126828327(+) ATGCGGAAGTGC >mm10_chr8:126832818-126832829(+)::chr8:126832817-126832829(+) ATAAGGAAATAC >mm10_chr8:126832858-126832869(-)::chr8:126832857-126832869(-) AAAAGGAAGAAA >mm10_chr8:126839753-126839764(+)::chr8:126839752-126839764(+) AGCAGGAAGCAG >mm10_chr8:126849775-126849786(-)::chr8:126849774-126849786(-) AGGaggaagtgg >mm10_chr8:126850000-126850011(-)::chr8:126849999-126850011(-) ACAGGGAAGTGA >mm10_chr8:126867935-126867946(+)::chr8:126867934-126867946(+) ACAAGGAAGCGG >mm10_chr8:126869089-126869100(-)::chr8:126869088-126869100(-) aataggaagtta >mm10_chr8:126869102-126869113(-)::chr8:126869101-126869113(-) tgaaggaagtca >mm10_chr8:126869639-126869650(+)::chr8:126869638-126869650(+) AGAAGGAAGCTG >mm10_chr8:126869691-126869702(-)::chr8:126869690-126869702(-) GGCAGGAAGGTT >mm10_chr8:126876677-126876688(-)::chr8:126876676-126876688(-) TCAGGGAAGTGA >mm10_chr8:126876751-126876762(-)::chr8:126876750-126876762(-) AGCAGGAAGATG >mm10_chr8:126881321-126881332(+)::chr8:126881320-126881332(+) agacggaagttc >mm10_chr8:126881348-126881359(+)::chr8:126881347-126881359(+) accaggaagcac >mm10_chr8:126900940-126900951(+)::chr8:126900939-126900951(+) ACCAGGAAGTGC >mm10_chr8:126902995-126903006(-)::chr8:126902994-126903006(-) AATAGGAAGTAC >mm10_chr8:126903036-126903047(-)::chr8:126903035-126903047(-) AAAAGGAAGTGT >mm10_chr8:126912396-126912407(-)::chr8:126912395-126912407(-) GAAAGGAAGTAG >mm10_chr8:126912401-126912412(-)::chr8:126912400-126912412(-) AGAAGGAAAGGA >mm10_chr8:126980103-126980114(-)::chr8:126980102-126980114(-) GAAAGGAAATAG >mm10_chr8:126980172-126980183(-)::chr8:126980171-126980183(-) GTTAGGAAGTAA >mm10_chr8:127114576-127114587(-)::chr8:127114575-127114587(-) ggaaggaacggt >mm10_chr8:127114580-127114591(-)::chr8:127114579-127114591(-) tcaaggaaggaa >mm10_chr8:127118705-127118716(-)::chr8:127118704-127118716(-) TGGAGGAAGAAA >mm10_chr8:127139394-127139405(+)::chr8:127139393-127139405(+) AGCAGGAACTTA >mm10_chr8:127143894-127143905(-)::chr8:127143893-127143905(-) ACAAGGAAACAA >mm10_chr8:127143946-127143957(+)::chr8:127143945-127143957(+) AACAGGAAGAGC >mm10_chr8:127145402-127145413(+)::chr8:127145401-127145413(+) TTGAGGAAGTCT >mm10_chr8:127148997-127149008(-)::chr8:127148996-127149008(-) CAAAGGAAGGAA >mm10_chr8:127149040-127149051(-)::chr8:127149039-127149051(-) GTGAGGAAGCGG >mm10_chr8:127151874-127151885(-)::chr8:127151873-127151885(-) agcaggaagagg >mm10_chr8:127154084-127154095(-)::chr8:127154083-127154095(-) CGAAGGAAGTAT >mm10_chr8:127154156-127154167(-)::chr8:127154155-127154167(-) ATAAGGAAGCCA >mm10_chr8:127161008-127161019(+)::chr8:127161007-127161019(+) AAGGGGAAGTAT >mm10_chr8:127161038-127161049(+)::chr8:127161037-127161049(+) TAGAGGAAGAGG >mm10_chr8:127161552-127161563(-)::chr8:127161551-127161563(-) AGGAGGAAATGG >mm10_chr8:127174717-127174728(+)::chr8:127174716-127174728(+) GTCAGGAAGCTA >mm10_chr8:127242418-127242429(-)::chr8:127242417-127242429(-) AACAGGAAATGC >mm10_chr8:127287543-127287554(-)::chr8:127287542-127287554(-) ACAAGGAAGTAA >mm10_chr8:127287970-127287981(-)::chr8:127287969-127287981(-) AAGAGGAAGAAT >mm10_chr8:127303219-127303230(-)::chr8:127303218-127303230(-) AGGAGGAAGAGG >mm10_chr8:127306801-127306812(-)::chr8:127306800-127306812(-) CTGAGGAAGACG >mm10_chr8:127306844-127306855(+)::chr8:127306843-127306855(+) ATAGGGAAGTCC >mm10_chr8:127316018-127316029(+)::chr8:127316017-127316029(+) gtagggaagttt >mm10_chr8:127317200-127317211(-)::chr8:127317199-127317211(-) TGAAGGAAGAAA >mm10_chr8:127318316-127318327(+)::chr8:127318315-127318327(+) aggaggaagagg >mm10_chr8:127318376-127318387(+)::chr8:127318375-127318387(+) aagaggaagagg >mm10_chr8:127318390-127318401(+)::chr8:127318389-127318401(+) aggaggaagaga >mm10_chr8:127323745-127323756(-)::chr8:127323744-127323756(-) AAAAGGAAGCTG >mm10_chr8:127323823-127323834(-)::chr8:127323822-127323834(-) ATGAGGAAGTTG >mm10_chr8:127325832-127325843(+)::chr8:127325831-127325843(+) AGAAGGAAGAGA >mm10_chr8:127338312-127338323(+)::chr8:127338311-127338323(+) TTAAGGAAGTAC >mm10_chr8:127367138-127367149(-)::chr8:127367137-127367149(-) ggaaggaaggga >mm10_chr8:127367142-127367153(-)::chr8:127367141-127367153(-) agaaggaaggaa >mm10_chr8:127367175-127367186(-)::chr8:127367174-127367186(-) agaaggaaaggg >mm10_chr8:127486860-127486871(-)::chr8:127486859-127486871(-) aggaggaagagg >mm10_chr8:127486903-127486914(-)::chr8:127486902-127486914(-) tggaggaagaat >mm10_chr8:127486930-127486941(-)::chr8:127486929-127486941(-) aggaggaagtac >mm10_chr8:127542969-127542980(+)::chr8:127542968-127542980(+) aggaggaagaag >mm10_chr8:127542981-127542992(+)::chr8:127542980-127542992(+) aagaggaagagg >mm10_chr8:127542993-127543004(+)::chr8:127542992-127543004(+) aggaggaAGTTG >mm10_chr8:127571567-127571578(+)::chr8:127571566-127571578(+) gccaggaagcaa >mm10_chr8:127571609-127571620(-)::chr8:127571608-127571620(-) tggaggaagtgg >mm10_chr8:127756964-127756975(-)::chr8:127756963-127756975(-) agaaggcagtgg >mm10_chr8:127756973-127756984(-)::chr8:127756972-127756984(-) aggaggaagaga >mm10_chr8:127756993-127757004(-)::chr8:127756992-127757004(-) tgaaggaaggga >mm10_chr8:127757023-127757034(-)::chr8:127757022-127757034(-) aggaggaagatc >mm10_chr8:127758156-127758167(+)::chr8:127758155-127758167(+) GGCAGGAAGCCA >mm10_chr8:127758216-127758227(+)::chr8:127758215-127758227(+) TCAAGGAAGTGT >mm10_chr8:127781114-127781125(+)::chr8:127781113-127781125(+) TCCAGgaagttg >mm10_chr8:127781155-127781166(+)::chr8:127781154-127781166(+) gtaaggaaggga >mm10_chr8:127781181-127781192(+)::chr8:127781180-127781192(+) agaaggaagttg >mm10_chr8:128453668-128453679(+)::chr8:128453667-128453679(+) CAGAGGAAGTAG >mm10_chr8:128453714-128453725(-)::chr8:128453713-128453725(-) AGAAGGAAATGG >mm10_chr8:128504744-128504755(-)::chr8:128504743-128504755(-) ACAAGGAAGGGA >mm10_chr8:128619332-128619343(+)::chr8:128619331-128619343(+) AAAAGGAAGCCT >mm10_chr8:128648025-128648036(-)::chr8:128648024-128648036(-) AGAAGGAAATAG >mm10_chr8:128654452-128654463(-)::chr8:128654451-128654463(-) GAGAGGAAGTTC >mm10_chr8:128667596-128667607(+)::chr8:128667595-128667607(+) aggaggaaggaa >mm10_chr8:128667600-128667611(+)::chr8:128667599-128667611(+) ggaaggaaggag >mm10_chr8:128667607-128667618(+)::chr8:128667606-128667618(+) aggaggaaggag >mm10_chr8:128667614-128667625(+)::chr8:128667613-128667625(+) aggaggaaggag >mm10_chr8:128685588-128685599(-)::chr8:128685587-128685599(-) AGAAGGAAGGTG >mm10_chr8:128685595-128685606(-)::chr8:128685594-128685606(-) GGCAGGAAGAAG >mm10_chr8:128699950-128699961(-)::chr8:128699949-128699961(-) tgaaggaagtca >mm10_chr8:128728415-128728426(+)::chr8:128728414-128728426(+) agcaggaaattc >mm10_chr8:128728437-128728448(+)::chr8:128728436-128728448(+) ctgaggaagtca >mm10_chr8:128735371-128735382(-)::chr8:128735370-128735382(-) aggaggaagaag >mm10_chr8:129174854-129174865(+)::chr8:129174853-129174865(+) agaAGGAAGGAA >mm10_chr8:129174875-129174886(+)::chr8:129174874-129174886(+) GGGAGGAAGAAA >mm10_chr9:3032403-3032414(-)::chr9:3032402-3032414(-) gcaaggaaaacg >mm10_chr9:3335124-3335135(-)::chr9:3335123-3335135(-) aaacggaagttt >mm10_chr9:3345378-3345389(+)::chr9:3345377-3345389(+) CAGAGGAAGTGT >mm10_chr9:3404674-3404685(-)::chr9:3404673-3404685(-) GAAAGGAAAACG >mm10_chr9:3480560-3480571(-)::chr9:3480559-3480571(-) AAAAGGAAGCCA >mm10_chr9:3634818-3634829(-)::chr9:3634817-3634829(-) ATAAGGAAAGTA >mm10_chr9:3845036-3845047(-)::chr9:3845035-3845047(-) AGCAGGAAGCAG >mm10_chr9:3845365-3845376(+)::chr9:3845364-3845376(+) AACAGGAAGTTA >mm10_chr9:4164858-4164869(+)::chr9:4164857-4164869(+) tcaaggaagtaa >mm10_chr9:4164917-4164928(+)::chr9:4164916-4164928(+) agcaggaaggaa >mm10_chr9:4304566-4304577(+)::chr9:4304565-4304577(+) ctaaggaagtac >mm10_chr9:4431600-4431611(-)::chr9:4431599-4431611(-) AGAAGGAAAACA >mm10_chr9:4793637-4793648(+)::chr9:4793636-4793648(+) gcaaggaagggt >mm10_chr9:4793649-4793660(+)::chr9:4793648-4793660(+) ggaaggaagcaa >mm10_chr9:4793662-4793673(+)::chr9:4793661-4793673(+) gaaaggaaggaG >mm10_chr9:4794754-4794765(+)::chr9:4794753-4794765(+) GGAAAGAAGTGA >mm10_chr9:5263901-5263912(+)::chr9:5263900-5263912(+) ataatgaagtga >mm10_chr9:5298443-5298454(-)::chr9:5298442-5298454(-) tccaggaagaga >mm10_chr9:5308831-5308842(+)::chr9:5308830-5308842(+) CTAAGGAAATGC >mm10_chr9:5458376-5458387(+)::chr9:5458375-5458387(+) gggaggaagtgt >mm10_chr9:5458387-5458398(-)::chr9:5458386-5458398(-) ataaggaaggca >mm10_chr9:5478818-5478829(-)::chr9:5478817-5478829(-) TGTAGGAAGTAG >mm10_chr9:5560027-5560038(+)::chr9:5560026-5560038(+) caaaggaactac >mm10_chr9:6168595-6168606(+)::chr9:6168594-6168606(+) ACAAGGAAATGC >mm10_chr9:6168637-6168648(+)::chr9:6168636-6168648(+) TACAGGAAGTTT >mm10_chr9:6169616-6169627(+)::chr9:6169615-6169627(+) GTACGGAAGTTT >mm10_chr9:6176913-6176924(+)::chr9:6176912-6176924(+) AGGAGGAAGGGA >mm10_chr9:6176925-6176936(+)::chr9:6176924-6176936(+) AGAAGGAAGGAA >mm10_chr9:6176929-6176940(+)::chr9:6176928-6176940(+) GGAAGGAAGTTT >mm10_chr9:6265100-6265111(-)::chr9:6265099-6265111(-) ACAAGGAAACAA >mm10_chr9:6289445-6289456(-)::chr9:6289444-6289456(-) TTTAGGAAGTGT >mm10_chr9:6289462-6289473(+)::chr9:6289461-6289473(+) AGGAGGAAGCAG >mm10_chr9:6298768-6298779(-)::chr9:6298767-6298779(-) ACAAGGAAGTAG >mm10_chr9:6402082-6402093(+)::chr9:6402081-6402093(+) TACAGGAAGTAA >mm10_chr9:6402113-6402124(+)::chr9:6402112-6402124(+) TACAGGAAGAAA >mm10_chr9:6408786-6408797(+)::chr9:6408785-6408797(+) ggtaggaagtga >mm10_chr9:6579763-6579774(-)::chr9:6579762-6579774(-) GCCAGGAAGAAA >mm10_chr9:6644041-6644052(+)::chr9:6644040-6644052(+) ACCAGGAAGCAG >mm10_chr9:6644048-6644059(+)::chr9:6644047-6644059(+) AGCAGGAAGAAG >mm10_chr9:6645469-6645480(+)::chr9:6645468-6645480(+) CAAAGGAAGTCA >mm10_chr9:6668211-6668222(-)::chr9:6668210-6668222(-) CGAAGGAAGGAC >mm10_chr9:6986292-6986303(+)::chr9:6986291-6986303(+) acaaggaagctt >mm10_chr9:7182032-7182043(-)::chr9:7182031-7182043(-) aggaggaagtgt >mm10_chr9:7184501-7184512(-)::chr9:7184500-7184512(-) GACCGGAAGTGA >mm10_chr9:7184522-7184533(-)::chr9:7184521-7184533(-) ACCCGGAAGCGG >mm10_chr9:7217252-7217263(+)::chr9:7217251-7217263(+) GAAAGGAAATTC >mm10_chr9:7221739-7221750(+)::chr9:7221738-7221750(+) AACAGGAAGTGT >mm10_chr9:7250746-7250757(-)::chr9:7250745-7250757(-) AGAAGGAAGTAA >mm10_chr9:7341210-7341221(-)::chr9:7341209-7341221(-) AAGAGGAAGGAG >mm10_chr9:7455089-7455100(+)::chr9:7455088-7455100(+) GAAAGGAAATAG >mm10_chr9:7455158-7455169(-)::chr9:7455157-7455169(-) AGGAGGAAGATC >mm10_chr9:7554530-7554541(+)::chr9:7554529-7554541(+) aagaggaaggga >mm10_chr9:7556435-7556446(-)::chr9:7556434-7556446(-) TTAAGGAAGCAT >mm10_chr9:7556472-7556483(-)::chr9:7556471-7556483(-) CCAAGGAAGAGG >mm10_chr9:7595282-7595293(+)::chr9:7595281-7595293(+) ACAAGGAAATAA >mm10_chr9:7622637-7622648(-)::chr9:7622636-7622648(-) GAAAGGAACACG >mm10_chr9:7622688-7622699(+)::chr9:7622687-7622699(+) GGGAGGAAGTAA >mm10_chr9:7721747-7721758(+)::chr9:7721746-7721758(+) GCTAGGAAGTGA >mm10_chr9:7735564-7735575(+)::chr9:7735563-7735575(+) agaaggatataa >mm10_chr9:7742851-7742862(+)::chr9:7742850-7742862(+) AAAAGGAAGGGT >mm10_chr9:7766808-7766819(+)::chr9:7766807-7766819(+) GGGAGGAAGAAC >mm10_chr9:7771896-7771907(+)::chr9:7771895-7771907(+) GGGAGGAAGGAA >mm10_chr9:7780388-7780399(+)::chr9:7780387-7780399(+) GGAGGGAAGTGA >mm10_chr9:7798502-7798513(+)::chr9:7798501-7798513(+) caaaggaagaag >mm10_chr9:7862654-7862665(-)::chr9:7862653-7862665(-) AGCAGGAAGTCA >mm10_chr9:7873041-7873052(-)::chr9:7873040-7873052(-) AGGAGGAAGTGC >mm10_chr9:7873102-7873113(-)::chr9:7873101-7873113(-) TCCAGGAAGTCA >mm10_chr9:7999105-7999116(-)::chr9:7999104-7999116(-) AGCAGGAAGTGA >mm10_chr9:8004652-8004663(-)::chr9:8004651-8004663(-) GGAAGGAAGAGC >mm10_chr9:8017407-8017418(-)::chr9:8017406-8017418(-) ACCCGGAAGTGG >mm10_chr9:8017459-8017470(-)::chr9:8017458-8017470(-) AAGAGGAAGGGT >mm10_chr9:8055032-8055043(-)::chr9:8055031-8055043(-) TCAAGGAAGAGA >mm10_chr9:8059864-8059875(-)::chr9:8059863-8059875(-) AGAAGGAAGTGT >mm10_chr9:8332192-8332203(-)::chr9:8332191-8332203(-) AACAGGAAATAG >mm10_chr9:8486687-8486698(+)::chr9:8486686-8486698(+) ATGAGGAAGTTG >mm10_chr9:8486704-8486715(+)::chr9:8486703-8486715(+) GGAAGGAAGGAA >mm10_chr9:8486708-8486719(+)::chr9:8486707-8486719(+) GGAAGGAAGTGC >mm10_chr9:8593932-8593943(+)::chr9:8593931-8593943(+) CAAAGGAAATAG >mm10_chr9:8594233-8594244(+)::chr9:8594232-8594244(+) agaaggaagatg >mm10_chr9:8853329-8853340(+)::chr9:8853328-8853340(+) GGGAGGAAGAGT >mm10_chr9:9060136-9060147(+)::chr9:9060135-9060147(+) gcaaggaagaaa >mm10_chr9:9060148-9060159(+)::chr9:9060147-9060159(+) gagaggaagtga >mm10_chr9:9060160-9060171(+)::chr9:9060159-9060171(+) ggcaggaaatgt >mm10_chr9:9101909-9101920(+)::chr9:9101908-9101920(+) gggaggaaatgt >mm10_chr9:9166118-9166129(-)::chr9:9166117-9166129(-) ACGAGGAAGCAA >mm10_chr9:9166161-9166172(-)::chr9:9166160-9166172(-) GTGAGGAAGGGA >mm10_chr9:9173326-9173337(-)::chr9:9173325-9173337(-) ATCAGGAAGTAT >mm10_chr9:9188485-9188496(+)::chr9:9188484-9188496(+) AAGAGGAAGAAA >mm10_chr9:9199453-9199464(-)::chr9:9199452-9199464(-) ACAAGGAAGAGT >mm10_chr9:9207553-9207564(+)::chr9:9207552-9207564(+) gggaggaaatat >mm10_chr9:9214506-9214517(+)::chr9:9214505-9214517(+) ACAAGGATGTCC >mm10_chr9:9218441-9218452(+)::chr9:9218440-9218452(+) ACCAGGAAATGT >mm10_chr9:9246277-9246288(+)::chr9:9246276-9246288(+) acaaggatataa >mm10_chr9:9290660-9290671(+)::chr9:9290659-9290671(+) GTAGGGAAGTTT >mm10_chr9:9290695-9290706(+)::chr9:9290694-9290706(+) AGGAGGATGTAG >mm10_chr9:9290707-9290718(+)::chr9:9290706-9290718(+) GACAGGAAATGA >mm10_chr9:9547776-9547787(+)::chr9:9547775-9547787(+) accaggaaggca >mm10_chr9:9547806-9547817(-)::chr9:9547805-9547817(-) gccaggaagttc >mm10_chr9:9772160-9772171(-)::chr9:9772159-9772171(-) ATGAGGAAGTCG >mm10_chr9:9785627-9785638(-)::chr9:9785626-9785638(-) ACAAGGAAAAGT >mm10_chr9:9918423-9918434(-)::chr9:9918422-9918434(-) AGGAGGAAGTTC >mm10_chr9:9935181-9935192(-)::chr9:9935180-9935192(-) AGGAGGAAGAAA >mm10_chr9:9945018-9945029(+)::chr9:9945017-9945029(+) CTAAGGAAGTGT >mm10_chr9:9945073-9945084(-)::chr9:9945072-9945084(-) ACACGGAAATGT >mm10_chr9:9960975-9960986(-)::chr9:9960974-9960986(-) ACAGGGAAGTAT >mm10_chr9:9961021-9961032(+)::chr9:9961020-9961032(+) AAAAGGAAGCAA >mm10_chr9:10494585-10494596(-)::chr9:10494584-10494596(-) ggcaggaaggcc >mm10_chr9:10908822-10908833(+)::chr9:10908821-10908833(+) aggaggaagagg >mm10_chr9:10908834-10908845(+)::chr9:10908833-10908845(+) aggaggaagagg >mm10_chr9:10908852-10908863(+)::chr9:10908851-10908863(+) aggaggaagagg >mm10_chr9:10908864-10908875(+)::chr9:10908863-10908875(+) aggaggaagagg >mm10_chr9:11925710-11925721(-)::chr9:11925709-11925721(-) AGGAGGAAGTCC >mm10_chr9:11963340-11963351(+)::chr9:11963339-11963351(+) ggcaggaagcat >mm10_chr9:12449628-12449639(+)::chr9:12449627-12449639(+) cccaggaagtac >mm10_chr9:12935216-12935227(+)::chr9:12935215-12935227(+) AACAGGAAGCAC >mm10_chr9:13323075-13323086(-)::chr9:13323074-13323086(-) TACAGGAAGATG >mm10_chr9:13323728-13323739(+)::chr9:13323727-13323739(+) GGAAGGATGTTG >mm10_chr9:13323785-13323796(+)::chr9:13323784-13323796(+) ATGAGGAAGTGT >mm10_chr9:13468495-13468506(-)::chr9:13468494-13468506(-) AAGAGGAAGTAG >mm10_chr9:13468501-13468512(-)::chr9:13468500-13468512(-) TCCAGGAAGAGG >mm10_chr9:13468869-13468880(-)::chr9:13468868-13468880(-) ccaaggaagccg >mm10_chr9:13469381-13469392(+)::chr9:13469380-13469392(+) AGGAGGAAGAGG >mm10_chr9:13470195-13470206(-)::chr9:13470194-13470206(-) GGAAGGAAGTGG >mm10_chr9:13470199-13470210(-)::chr9:13470198-13470210(-) TATAGGAAGGAA >mm10_chr9:13472285-13472296(+)::chr9:13472284-13472296(+) ATCAGGAAGCAG >mm10_chr9:13484600-13484611(-)::chr9:13484599-13484611(-) ACAAGGAAAACA >mm10_chr9:13491885-13491896(+)::chr9:13491884-13491896(+) AGAAGGAATTGA >mm10_chr9:13491922-13491933(-)::chr9:13491921-13491933(-) CAAAGGAAGTTG >mm10_chr9:13491955-13491966(+)::chr9:13491954-13491966(+) CTAAGGAAATCA >mm10_chr9:13498188-13498199(+)::chr9:13498187-13498199(+) TGAGGGAAGTGA >mm10_chr9:13498475-13498486(+)::chr9:13498474-13498486(+) Gagaggaagagg >mm10_chr9:13498481-13498492(+)::chr9:13498480-13498492(+) aagaggaagagg >mm10_chr9:13498487-13498498(+)::chr9:13498486-13498498(+) aagaggaagagg >mm10_chr9:13498493-13498504(+)::chr9:13498492-13498504(+) aagaggaagaag >mm10_chr9:13498502-13498513(+)::chr9:13498501-13498513(+) aagaggaagaag >mm10_chr9:13500273-13500284(+)::chr9:13500272-13500284(+) ggcaggaagccA >mm10_chr9:13511167-13511178(+)::chr9:13511166-13511178(+) ATGAGGAAATTG >mm10_chr9:13518057-13518068(+)::chr9:13518056-13518068(+) ATATGGAAGTTA >mm10_chr9:13518101-13518112(+)::chr9:13518100-13518112(+) AACAGGAAGGCA >mm10_chr9:13518111-13518122(-)::chr9:13518110-13518122(-) GAAAGGAAGCTG >mm10_chr9:13530787-13530798(+)::chr9:13530786-13530798(+) AGCAGGAAGAAC >mm10_chr9:13554937-13554948(+)::chr9:13554936-13554948(+) ATCAGGAAGTGA >mm10_chr9:13554961-13554972(+)::chr9:13554960-13554972(+) ATCAGGAAGTGA >mm10_chr9:13581378-13581389(-)::chr9:13581377-13581389(-) acaaggaactta >mm10_chr9:13581521-13581532(+)::chr9:13581520-13581532(+) ggaaggaagcta >mm10_chr9:13583751-13583762(-)::chr9:13583750-13583762(-) TGGAGGAAGCAA >mm10_chr9:13583767-13583778(+)::chr9:13583766-13583778(+) CCAAGGAAGTGC >mm10_chr9:13586952-13586963(+)::chr9:13586951-13586963(+) ACCAGGAAGAAT >mm10_chr9:13587319-13587330(+)::chr9:13587318-13587330(+) AAAAGGAAGTTA >mm10_chr9:13608632-13608643(-)::chr9:13608631-13608643(-) ACCAGGAAGAGA >mm10_chr9:13609871-13609882(+)::chr9:13609870-13609882(+) gggaggaaggga >mm10_chr9:13609886-13609897(+)::chr9:13609885-13609897(+) ggaaggaagaaa >mm10_chr9:13631871-13631882(+)::chr9:13631870-13631882(+) AGAAGGAAGAGC >mm10_chr9:13645193-13645204(-)::chr9:13645192-13645204(-) ACGCGGAAGGGA >mm10_chr9:13661863-13661874(+)::chr9:13661862-13661874(+) GGAAGGAAGGAT >mm10_chr9:13662460-13662471(+)::chr9:13662459-13662471(+) GGCAGGAAGACA >mm10_chr9:13662476-13662487(+)::chr9:13662475-13662487(+) AGAAGGAAGTAG >mm10_chr9:13694551-13694562(-)::chr9:13694550-13694562(-) ACAAGGAAGGAG >mm10_chr9:13752691-13752702(+)::chr9:13752690-13752702(+) GGAAGGAAATGA >mm10_chr9:13752728-13752739(+)::chr9:13752727-13752739(+) GGCAGGAAGTTT >mm10_chr9:13766323-13766334(+)::chr9:13766322-13766334(+) ACAAGGAAGTAG >mm10_chr9:13783496-13783507(-)::chr9:13783495-13783507(-) agaaggaagctt >mm10_chr9:13797753-13797764(-)::chr9:13797752-13797764(-) tagaggaagtag >mm10_chr9:13835440-13835451(+)::chr9:13835439-13835451(+) AAGAGGAAGTGA >mm10_chr9:13835458-13835469(-)::chr9:13835457-13835469(-) ACTAGGAAGTAG >mm10_chr9:13990839-13990850(-)::chr9:13990838-13990850(-) ggaaggaagACA >mm10_chr9:13990843-13990854(-)::chr9:13990842-13990854(-) ggaaggaaggaa >mm10_chr9:13990847-13990858(-)::chr9:13990846-13990858(-) ggaaggaaggaa >mm10_chr9:13990851-13990862(-)::chr9:13990850-13990862(-) ggaaggaaggaa >mm10_chr9:13990855-13990866(-)::chr9:13990854-13990866(-) ggaaggaaggaa >mm10_chr9:13990859-13990870(-)::chr9:13990858-13990870(-) ggaaggaaggaa >mm10_chr9:13990863-13990874(-)::chr9:13990862-13990874(-) ggaaggaaggaa >mm10_chr9:13990867-13990878(-)::chr9:13990866-13990878(-) ggaaggaaggaa >mm10_chr9:13991163-13991174(-)::chr9:13991162-13991174(-) aggaggaagaag >mm10_chr9:14002548-14002559(-)::chr9:14002547-14002559(-) ACAAGGATGCGT >mm10_chr9:14002590-14002601(+)::chr9:14002589-14002601(+) TGCAGGAAGGTA >mm10_chr9:14005032-14005043(-)::chr9:14005031-14005043(-) agaaggaAGACA >mm10_chr9:14005059-14005070(+)::chr9:14005058-14005070(+) acacggaactcg >mm10_chr9:14055401-14055412(-)::chr9:14055400-14055412(-) TAGAGGAAGGAA >mm10_chr9:14055445-14055456(+)::chr9:14055444-14055456(+) GCAAGGAAGACA >mm10_chr9:14058680-14058691(-)::chr9:14058679-14058691(-) TCAAGGAAGAAA >mm10_chr9:14074460-14074471(+)::chr9:14074459-14074471(+) ccaaggaagtct >mm10_chr9:14076905-14076916(+)::chr9:14076904-14076916(+) TTGAGGAAGATA >mm10_chr9:14076930-14076941(+)::chr9:14076929-14076941(+) CAAAGGAAGATA >mm10_chr9:14093393-14093404(+)::chr9:14093392-14093404(+) AACAGGAAGTGA >mm10_chr9:14093428-14093439(+)::chr9:14093427-14093439(+) AGAAGGAAGAAT >mm10_chr9:14140760-14140771(+)::chr9:14140759-14140771(+) GAAAGGAAGACT >mm10_chr9:14164779-14164790(+)::chr9:14164778-14164790(+) AACAGGAAGACA >mm10_chr9:14200514-14200525(-)::chr9:14200513-14200525(-) TGAAGGAAGTTA >mm10_chr9:14275877-14275888(+)::chr9:14275876-14275888(+) CGGAGGAAGGAA >mm10_chr9:14275881-14275892(+)::chr9:14275880-14275892(+) GGAAGGAACGTT >mm10_chr9:14275922-14275933(+)::chr9:14275921-14275933(+) ATCAGGAAATGT >mm10_chr9:14287459-14287470(-)::chr9:14287458-14287470(-) AAGAGGAAATGG >mm10_chr9:14287471-14287482(-)::chr9:14287470-14287482(-) ATGAGGAAGAAA >mm10_chr9:14307442-14307453(-)::chr9:14307441-14307453(-) CCAGGGAAGTAT >mm10_chr9:14307457-14307468(+)::chr9:14307456-14307468(+) AACAGGAACTAG >mm10_chr9:14365633-14365644(-)::chr9:14365632-14365644(-) ACCAGGAAGAAG >mm10_chr9:14365678-14365689(-)::chr9:14365677-14365689(-) AGCAGGAAGGTG >mm10_chr9:14367817-14367828(+)::chr9:14367816-14367828(+) ATGAGGAAGAAA >mm10_chr9:14381759-14381770(+)::chr9:14381758-14381770(+) TGAAGGAAGTTG >mm10_chr9:14396187-14396198(+)::chr9:14396186-14396198(+) AGAAGGAAGCAG >mm10_chr9:14398025-14398036(+)::chr9:14398024-14398036(+) ACGAGGAAGCCC >mm10_chr9:14477019-14477030(+)::chr9:14477018-14477030(+) TACAGGAAGTGT >mm10_chr9:14477051-14477062(+)::chr9:14477050-14477062(+) AGCAGGAAGGAT >mm10_chr9:14477852-14477863(-)::chr9:14477851-14477863(-) tccaggaagaat >mm10_chr9:14611750-14611761(-)::chr9:14611749-14611761(-) TCCAGGAAGAAA >mm10_chr9:14613016-14613027(-)::chr9:14613015-14613027(-) GCAAGGAAGAAG >mm10_chr9:14617609-14617620(+)::chr9:14617608-14617620(+) TCGAGGAAGAGA >mm10_chr9:14677788-14677799(-)::chr9:14677787-14677799(-) agaaggaagaga >mm10_chr9:14693599-14693610(+)::chr9:14693598-14693610(+) AGAAGGAAGGGA >mm10_chr9:14698022-14698033(-)::chr9:14698021-14698033(-) ggaaggaaggtt >mm10_chr9:14707142-14707153(-)::chr9:14707141-14707153(-) tcaaggaagact >mm10_chr9:14707180-14707191(+)::chr9:14707179-14707191(+) aacaggaagtga >mm10_chr9:14723578-14723589(+)::chr9:14723577-14723589(+) AGAAGGAAGAAG >mm10_chr9:14723585-14723596(+)::chr9:14723584-14723596(+) AGAAGGAAATGT >mm10_chr9:14742877-14742888(-)::chr9:14742876-14742888(-) GGAAGGAAATGG >mm10_chr9:14743202-14743213(+)::chr9:14743201-14743213(+) ggaaggaagaga >mm10_chr9:14743259-14743270(+)::chr9:14743258-14743270(+) aagaggaaggaa >mm10_chr9:14743263-14743274(+)::chr9:14743262-14743274(+) ggaaggaaggga >mm10_chr9:14752375-14752386(-)::chr9:14752374-14752386(-) ATAAGGAAGCTG >mm10_chr9:14758790-14758801(+)::chr9:14758789-14758801(+) ATAAGGAAGTGG >mm10_chr9:14778590-14778601(+)::chr9:14778589-14778601(+) gcaaggaactgt >mm10_chr9:14784881-14784892(+)::chr9:14784880-14784892(+) TTAGGGAAGACG >mm10_chr9:14811571-14811582(-)::chr9:14811570-14811582(-) ACAAGGAAATGG >mm10_chr9:14811590-14811601(+)::chr9:14811589-14811601(+) AACAGGAAGTCT >mm10_chr9:14842684-14842695(-)::chr9:14842683-14842695(-) GGAAGGATGTAC >mm10_chr9:14842693-14842704(-)::chr9:14842692-14842704(-) gggaggaagGGA >mm10_chr9:14919571-14919582(-)::chr9:14919570-14919582(-) AGGAGGAAATAG >mm10_chr9:14956891-14956902(+)::chr9:14956890-14956902(+) tccaggaagtca >mm10_chr9:14956904-14956915(+)::chr9:14956903-14956915(+) ggcaggaagcat >mm10_chr9:14966981-14966992(-)::chr9:14966980-14966992(-) TCAAGGAAATGA >mm10_chr9:14967020-14967031(+)::chr9:14967019-14967031(+) GGGAGGAAGGAA >mm10_chr9:14967024-14967035(+)::chr9:14967023-14967035(+) GGAAGGAAGACA >mm10_chr9:14984891-14984902(+)::chr9:14984890-14984902(+) AGAGGGAAGTAA >mm10_chr9:15022188-15022199(-)::chr9:15022187-15022199(-) tgaaggaagtgg >mm10_chr9:15031712-15031723(-)::chr9:15031711-15031723(-) AACAGGAAGCTG >mm10_chr9:15034555-15034566(+)::chr9:15034554-15034566(+) GAGAGGAAGGAA >mm10_chr9:15034559-15034570(+)::chr9:15034558-15034570(+) GGAAGGAAGTCC >mm10_chr9:15062801-15062812(-)::chr9:15062800-15062812(-) ggaaggaagttt >mm10_chr9:15062805-15062816(-)::chr9:15062804-15062816(-) ttaaggaaggaa >mm10_chr9:15073308-15073319(+)::chr9:15073307-15073319(+) TAGAGGAAGCAC >mm10_chr9:15073333-15073344(-)::chr9:15073332-15073344(-) ACAAGGAAGGCT >mm10_chr9:15099481-15099492(-)::chr9:15099480-15099492(-) agtaggaagggc >mm10_chr9:15099488-15099499(-)::chr9:15099487-15099499(-) agcaggaagtag >mm10_chr9:15106881-15106892(+)::chr9:15106880-15106892(+) GGCAGGAAGAGC >mm10_chr9:15106901-15106912(+)::chr9:15106900-15106912(+) ACAAGGAAGATG >mm10_chr9:15110504-15110515(-)::chr9:15110503-15110515(-) ACCAGGAAGGAG >mm10_chr9:15118756-15118767(-)::chr9:15118755-15118767(-) AGGAGGAAGAGA >mm10_chr9:15118790-15118801(-)::chr9:15118789-15118801(-) AGCAGGAAGGGG >mm10_chr9:15168642-15168653(+)::chr9:15168641-15168653(+) gagaggaagaat >mm10_chr9:15174626-15174637(+)::chr9:15174625-15174637(+) aagaggaagttg >mm10_chr9:15181954-15181965(+)::chr9:15181953-15181965(+) AAAAGGAAGTGC >mm10_chr9:15210685-15210696(-)::chr9:15210684-15210696(-) agaaggaagcct >mm10_chr9:15239030-15239041(-)::chr9:15239029-15239041(-) aggaggaaggga >mm10_chr9:15239041-15239052(-)::chr9:15239040-15239052(-) agagggaagaaa >mm10_chr9:15259581-15259592(-)::chr9:15259580-15259592(-) AGAAGGGAGTGG >mm10_chr9:15269183-15269194(-)::chr9:15269182-15269194(-) AGAAGGAAAGAG >mm10_chr9:15269190-15269201(-)::chr9:15269189-15269201(-) GGAAGGAAGAAG >mm10_chr9:15269199-15269210(+)::chr9:15269198-15269210(+) TCCAGGAAGTAG >mm10_chr9:15274167-15274178(-)::chr9:15274166-15274178(-) AATAGGAAGTTG >mm10_chr9:15274183-15274194(+)::chr9:15274182-15274194(+) AATAGGAAGTGC >mm10_chr9:15279982-15279993(-)::chr9:15279981-15279993(-) TACAGGAAATGT >mm10_chr9:15296646-15296657(+)::chr9:15296645-15296657(+) ATAGGGAAGAAG >mm10_chr9:15296653-15296664(+)::chr9:15296652-15296664(+) AGAAGGAAGCCC >mm10_chr9:15301689-15301700(-)::chr9:15301688-15301700(-) agaaggaaagaa >mm10_chr9:15301696-15301707(-)::chr9:15301695-15301707(-) aaaaggaagaag >mm10_chr9:15301745-15301756(-)::chr9:15301744-15301756(-) ggaaggaagaag >mm10_chr9:15301749-15301760(-)::chr9:15301748-15301760(-) GCCaggaaggaa >mm10_chr9:15382361-15382372(-)::chr9:15382360-15382372(-) AGAGGGAAGTGT >mm10_chr9:15382695-15382706(+)::chr9:15382694-15382706(+) ataaggaacatg >mm10_chr9:15382709-15382720(+)::chr9:15382708-15382720(+) agaaggaagctg >mm10_chr9:15387217-15387228(+)::chr9:15387216-15387228(+) ACTAGGAAGAAG >mm10_chr9:15400700-15400711(+)::chr9:15400699-15400711(+) GCCAGGAAGTTC >mm10_chr9:15482261-15482272(+)::chr9:15482260-15482272(+) ataaagaagttc >mm10_chr9:15482305-15482316(-)::chr9:15482304-15482316(-) agtaggaagtaa >mm10_chr9:15502668-15502679(+)::chr9:15502667-15502679(+) TGGAGGAAGGAG >mm10_chr9:15539888-15539899(-)::chr9:15539887-15539899(-) GCCAGGAAGCGG >mm10_chr9:15539906-15539917(-)::chr9:15539905-15539917(-) CACAGGAAGTGT >mm10_chr9:15592847-15592858(+)::chr9:15592846-15592858(+) cacaggaagtca >mm10_chr9:15600399-15600410(+)::chr9:15600398-15600410(+) AGGAGGAAGTGA >mm10_chr9:15710342-15710353(+)::chr9:15710341-15710353(+) TTAAGGAAGTTA >mm10_chr9:15717553-15717564(+)::chr9:15717552-15717564(+) GGGAGGAAGAGG >mm10_chr9:15717580-15717591(+)::chr9:15717579-15717591(+) AGCAGGAAGAAG >mm10_chr9:15717590-15717601(+)::chr9:15717589-15717601(+) AGAAGGAAGAAG >mm10_chr9:15717597-15717608(+)::chr9:15717596-15717608(+) AGAAGGAAGCCT >mm10_chr9:15717612-15717623(+)::chr9:15717611-15717623(+) AGAAGGAAGCCA >mm10_chr9:15748662-15748673(+)::chr9:15748661-15748673(+) CTACGGAAGTTA >mm10_chr9:16114901-16114912(-)::chr9:16114900-16114912(-) AGGAGGAAGGGT >mm10_chr9:16160847-16160858(+)::chr9:16160846-16160858(+) ATTAGGAAATTA >mm10_chr9:16201199-16201210(-)::chr9:16201198-16201210(-) TACAGGAAGCAT >mm10_chr9:16307573-16307584(-)::chr9:16307572-16307584(-) CTCAGGAAGTTG >mm10_chr9:16307601-16307612(+)::chr9:16307600-16307612(+) ACACGGAAGAAG >mm10_chr9:16307634-16307645(-)::chr9:16307633-16307645(-) GCAAGGAAAATA >mm10_chr9:16970866-16970877(+)::chr9:16970865-16970877(+) TCCAGGAAGGAT >mm10_chr9:16993055-16993066(+)::chr9:16993054-16993066(+) ACCAGGAAGAGA >mm10_chr9:17115962-17115973(-)::chr9:17115961-17115973(-) agaaggaaaagg >mm10_chr9:17175772-17175783(+)::chr9:17175771-17175783(+) taaaggaaaata >mm10_chr9:17269463-17269474(+)::chr9:17269462-17269474(+) CAAAGGAAGATG >mm10_chr9:17269507-17269518(-)::chr9:17269506-17269518(-) AAAGGGAAGTGA >mm10_chr9:18274762-18274773(-)::chr9:18274761-18274773(-) TAAAGGATGTGG >mm10_chr9:18292127-18292138(-)::chr9:18292126-18292138(-) GGGAGGAAGCGG >mm10_chr9:18473719-18473730(-)::chr9:18473718-18473730(-) GGGCGGAAGTGA >mm10_chr9:19159004-19159015(+)::chr9:19159003-19159015(+) ataaagaagtta >mm10_chr9:19622258-19622269(-)::chr9:19622257-19622269(-) TCCAGGAAGCGG >mm10_chr9:20460191-20460202(+)::chr9:20460190-20460202(+) ACCGGGAAGTAC >mm10_chr9:20460255-20460266(-)::chr9:20460254-20460266(-) CCCAGGAAGTAC >mm10_chr9:20519160-20519171(+)::chr9:20519159-20519171(+) aggaggaagaag >mm10_chr9:20519175-20519186(+)::chr9:20519174-20519186(+) aggaggaagagg >mm10_chr9:20519181-20519192(+)::chr9:20519180-20519192(+) aagaggaagaag >mm10_chr9:20519211-20519222(+)::chr9:20519210-20519222(+) aggaggaagagg >mm10_chr9:20519229-20519240(+)::chr9:20519228-20519240(+) aggaggaagCAG >mm10_chr9:20581383-20581394(+)::chr9:20581382-20581394(+) TCTAGGAAGTCG >mm10_chr9:20607418-20607429(+)::chr9:20607417-20607429(+) AACAGGAAGTAG >mm10_chr9:20644801-20644812(-)::chr9:20644800-20644812(-) AAGCGGAAGTGA >mm10_chr9:20644807-20644818(-)::chr9:20644806-20644818(-) AACAGGAAGCGG >mm10_chr9:20651740-20651751(-)::chr9:20651739-20651751(-) AGCAGGAACTAA >mm10_chr9:20651754-20651765(-)::chr9:20651753-20651765(-) GCGCGGAAGTGG >mm10_chr9:20662232-20662243(-)::chr9:20662231-20662243(-) TGCAGGAAGAAC >mm10_chr9:20672213-20672224(-)::chr9:20672212-20672224(-) AAGAGGAAATGG >mm10_chr9:20694025-20694036(-)::chr9:20694024-20694036(-) AGAAGGAAAAGC >mm10_chr9:20694032-20694043(-)::chr9:20694031-20694043(-) AGAAGGAAGAAG >mm10_chr9:20694068-20694079(-)::chr9:20694067-20694079(-) GGGAGGAAGAAG >mm10_chr9:20721788-20721799(+)::chr9:20721787-20721799(+) AGAAGGAAGTGG >mm10_chr9:20721809-20721820(-)::chr9:20721808-20721820(-) GGAGGGAAGTTC >mm10_chr9:20728487-20728498(+)::chr9:20728486-20728498(+) AGAAGGAAAGTA >mm10_chr9:20728530-20728541(+)::chr9:20728529-20728541(+) CTAAGGAAGAGT >mm10_chr9:20739888-20739899(-)::chr9:20739887-20739899(-) AAGAGGAAGCAG >mm10_chr9:20888235-20888246(+)::chr9:20888234-20888246(+) GCACGGAAGTGA >mm10_chr9:20896835-20896846(-)::chr9:20896834-20896846(-) ggcaggaaatga >mm10_chr9:20897950-20897961(-)::chr9:20897949-20897961(-) CCAAGGAAGTCA >mm10_chr9:20897978-20897989(+)::chr9:20897977-20897989(+) TGGAGGAAGATG >mm10_chr9:20898604-20898615(+)::chr9:20898603-20898615(+) GACAGGAAGCCG >mm10_chr9:20934885-20934896(-)::chr9:20934884-20934896(-) ATAAGGAAGCAG >mm10_chr9:20973854-20973865(+)::chr9:20973853-20973865(+) AAGAGGAAGCTA >mm10_chr9:20973884-20973895(+)::chr9:20973883-20973895(+) TGGAGGAAGAGT >mm10_chr9:20978884-20978895(+)::chr9:20978883-20978895(+) AAAAGAAAGTAC >mm10_chr9:20978941-20978952(+)::chr9:20978940-20978952(+) GGGAGGAAGGCA >mm10_chr9:20983402-20983413(-)::chr9:20983401-20983413(-) TGGAGGAAGTGT >mm10_chr9:20983470-20983481(+)::chr9:20983469-20983481(+) ACCAGGAAGAGA >mm10_chr9:21148639-21148650(+)::chr9:21148638-21148650(+) AACAGGAAATAA >mm10_chr9:21153695-21153706(+)::chr9:21153694-21153706(+) ATAGGGAAGTGG >mm10_chr9:21161475-21161486(+)::chr9:21161474-21161486(+) ACGGGGAAGTCC >mm10_chr9:21161499-21161510(+)::chr9:21161498-21161510(+) GCGAGGAACTAG >mm10_chr9:21220631-21220642(+)::chr9:21220630-21220642(+) ATCAGGAAGCGG >mm10_chr9:21221087-21221098(-)::chr9:21221086-21221098(-) TTAAGGAAGTGG >mm10_chr9:21221096-21221107(+)::chr9:21221095-21221107(+) TAAAGGAAGCCT >mm10_chr9:21286695-21286706(+)::chr9:21286694-21286706(+) AAAAGGAAGTAG >mm10_chr9:21286717-21286728(+)::chr9:21286716-21286728(+) AGGAGGAAGTTG >mm10_chr9:21312304-21312315(-)::chr9:21312303-21312315(-) AGAAGGAAGCCG >mm10_chr9:21312311-21312322(-)::chr9:21312310-21312322(-) CGCAGGAAGAAG >mm10_chr9:21321722-21321733(-)::chr9:21321721-21321733(-) TCCAGGAAGTTC >mm10_chr9:21321809-21321820(-)::chr9:21321808-21321820(-) AGGAGGAAGGCA >mm10_chr9:21321890-21321901(-)::chr9:21321889-21321901(-) GCCAGGAAGGGT >mm10_chr9:21324511-21324522(+)::chr9:21324510-21324522(+) ggcaggAAGCGC >mm10_chr9:21327770-21327781(-)::chr9:21327769-21327781(-) gaaaggaagagt >mm10_chr9:21327814-21327825(-)::chr9:21327813-21327825(-) ggacggaagtta >mm10_chr9:21347135-21347146(-)::chr9:21347134-21347146(-) TACAGGAAGGGC >mm10_chr9:21348119-21348130(-)::chr9:21348118-21348130(-) AGCAGGAAGAAG >mm10_chr9:21348352-21348363(-)::chr9:21348351-21348363(-) AAGAGGAAGTCT >mm10_chr9:21348358-21348369(-)::chr9:21348357-21348369(-) AACAGGAAGAGG >mm10_chr9:21362204-21362215(-)::chr9:21362203-21362215(-) aggaggaagagg >mm10_chr9:21362228-21362239(-)::chr9:21362227-21362239(-) aggaggaagaag >mm10_chr9:21426179-21426190(+)::chr9:21426178-21426190(+) TCCAGGAAGTGA >mm10_chr9:21429119-21429130(+)::chr9:21429118-21429130(+) AGAAGGAAGTGG >mm10_chr9:21431157-21431168(-)::chr9:21431156-21431168(-) ACACGGAAGCAA >mm10_chr9:21436239-21436250(+)::chr9:21436238-21436250(+) GGAAGGAAGCCT >mm10_chr9:21440472-21440483(-)::chr9:21440471-21440483(-) AAGAGGAAGAAA >mm10_chr9:21444904-21444915(+)::chr9:21444903-21444915(+) AGGAGGAAGGGA >mm10_chr9:21444924-21444935(-)::chr9:21444923-21444935(-) agacggaagcat >mm10_chr9:21444935-21444946(-)::chr9:21444934-21444946(-) ctcaggaagtca >mm10_chr9:21447908-21447919(+)::chr9:21447907-21447919(+) TGAAGGAAGCAG >mm10_chr9:21450440-21450451(+)::chr9:21450439-21450451(+) ACCAGGAAGCAG >mm10_chr9:21453411-21453422(+)::chr9:21453410-21453422(+) AGAAGGAAGCAG >mm10_chr9:21463369-21463380(+)::chr9:21463368-21463380(+) TGCAGGAAGAGT >mm10_chr9:21525325-21525336(+)::chr9:21525324-21525336(+) gacaggaaataa >mm10_chr9:21552639-21552650(-)::chr9:21552638-21552650(-) ACGAGGAAATAT >mm10_chr9:21558520-21558531(-)::chr9:21558519-21558531(-) CCAAGGAAGGTC >mm10_chr9:21558580-21558591(-)::chr9:21558579-21558591(-) GACAGGAAGTGT >mm10_chr9:21571134-21571145(-)::chr9:21571133-21571145(-) AACAGGAAGTCT >mm10_chr9:21571163-21571174(-)::chr9:21571162-21571174(-) AGAAGGAAGGGA >mm10_chr9:21592861-21592872(-)::chr9:21592860-21592872(-) TACAGGAAGTAG >mm10_chr9:21645885-21645896(-)::chr9:21645884-21645896(-) AGGAGGAAGCAC >mm10_chr9:21652706-21652717(-)::chr9:21652705-21652717(-) ACAAGgaagctg >mm10_chr9:21652909-21652920(+)::chr9:21652908-21652920(+) AGTAGGAAGTGG >mm10_chr9:21661520-21661531(-)::chr9:21661519-21661531(-) GCAAGGAAGGGA >mm10_chr9:21663620-21663631(-)::chr9:21663619-21663631(-) AAAAGCAAGTCG >mm10_chr9:21668034-21668045(+)::chr9:21668033-21668045(+) GTAAGGAAGGAG >mm10_chr9:21668074-21668085(-)::chr9:21668073-21668085(-) TGTAGGAAGAGA >mm10_chr9:21668994-21669005(-)::chr9:21668993-21669005(-) AGCAGGAAGGGC >mm10_chr9:21669017-21669028(+)::chr9:21669016-21669028(+) AGAAGGAAGTTG >mm10_chr9:21680132-21680143(-)::chr9:21680131-21680143(-) ATCAGGAAGAGT >mm10_chr9:21715430-21715441(+)::chr9:21715429-21715441(+) AGGGGGAAGTGT >mm10_chr9:21724333-21724344(+)::chr9:21724332-21724344(+) TGGAGGAAGAGG >mm10_chr9:21846866-21846877(+)::chr9:21846865-21846877(+) TCCAGGAAGGAA >mm10_chr9:21846870-21846881(+)::chr9:21846869-21846881(+) GGAAGGAAATCA >mm10_chr9:21901610-21901621(+)::chr9:21901609-21901621(+) TACCGGAAGTTA >mm10_chr9:21955619-21955630(-)::chr9:21955618-21955630(-) TGGAGGAAGTGG >mm10_chr9:22002981-22002992(+)::chr9:22002980-22002992(+) AAACGGAAGTGA >mm10_chr9:22003109-22003120(+)::chr9:22003108-22003120(+) GGGAGGAAGGCA >mm10_chr9:22093632-22093643(+)::chr9:22093631-22093643(+) GCCAGGAAGCAT >mm10_chr9:22093648-22093659(-)::chr9:22093647-22093659(-) aTGAGGACGTGA >mm10_chr9:22117158-22117169(-)::chr9:22117157-22117169(-) GGCCGGAAGTTA >mm10_chr9:22117178-22117189(+)::chr9:22117177-22117189(+) AAACGGAAATAT >mm10_chr9:22131481-22131492(-)::chr9:22131480-22131492(-) TCAAGGAAGGAG >mm10_chr9:22143408-22143419(+)::chr9:22143407-22143419(+) gacaggaagatt >mm10_chr9:22151169-22151180(-)::chr9:22151168-22151180(-) acaaggaagtga >mm10_chr9:22195615-22195626(+)::chr9:22195614-22195626(+) CTAAGGAAGGGA >mm10_chr9:22216855-22216866(+)::chr9:22216854-22216866(+) ACCAGGAAGATC >mm10_chr9:22225629-22225640(-)::chr9:22225628-22225640(-) TGACGGAAGGCG >mm10_chr9:22225693-22225704(+)::chr9:22225692-22225704(+) ATGAGGAAGTGG >mm10_chr9:22286152-22286163(-)::chr9:22286151-22286163(-) gagaggaaggag >mm10_chr9:22368926-22368937(+)::chr9:22368925-22368937(+) ATAAGGAAGAAG >mm10_chr9:22389444-22389455(-)::chr9:22389443-22389455(-) CGGAGGAAGAAG >mm10_chr9:22399173-22399184(+)::chr9:22399172-22399184(+) TCCAGGAAGAGG >mm10_chr9:22408877-22408888(+)::chr9:22408876-22408888(+) agcaggaagact >mm10_chr9:22408898-22408909(+)::chr9:22408897-22408909(+) caaaggacgtgt >mm10_chr9:22462080-22462091(+)::chr9:22462079-22462091(+) tgaaggaagtgg >mm10_chr9:22468237-22468248(-)::chr9:22468236-22468248(-) GGGAGGAAGAGG >mm10_chr9:22486382-22486393(-)::chr9:22486381-22486393(-) tggaggaagaga >mm10_chr9:22486398-22486409(+)::chr9:22486397-22486409(+) acccggaagtcc >mm10_chr9:22497510-22497521(-)::chr9:22497509-22497521(-) aaaaggaagaag >mm10_chr9:22497530-22497541(-)::chr9:22497529-22497541(-) aagaggaagggg >mm10_chr9:22497536-22497547(-)::chr9:22497535-22497547(-) aggaggaagagg >mm10_chr9:22497564-22497575(-)::chr9:22497563-22497575(-) ATAAGGAAGAaa >mm10_chr9:22533231-22533242(+)::chr9:22533230-22533242(+) GTAAGGAAGACA >mm10_chr9:22533251-22533262(-)::chr9:22533250-22533262(-) TAAAGGAAGTGC >mm10_chr9:22637507-22637518(-)::chr9:22637506-22637518(-) AGCAGGAAGTAC >mm10_chr9:22814783-22814794(+)::chr9:22814782-22814794(+) TAGAGGAAGGGG >mm10_chr9:22814802-22814813(+)::chr9:22814801-22814813(+) AGGAGGAAGAAA >mm10_chr9:22814820-22814831(+)::chr9:22814819-22814831(+) AAGAGGAAGACA >mm10_chr9:23037940-23037951(-)::chr9:23037939-23037951(-) TAAAGGAAGCAA >mm10_chr9:23275873-23275884(+)::chr9:23275872-23275884(+) GAGAGGAAGTCA >mm10_chr9:23293415-23293426(+)::chr9:23293414-23293426(+) TGAAGGAAGAAC >mm10_chr9:23293432-23293443(-)::chr9:23293431-23293443(-) TGAAGGAACTGT >mm10_chr9:23293788-23293799(-)::chr9:23293787-23293799(-) atagggaagttg >mm10_chr9:23328844-23328855(+)::chr9:23328843-23328855(+) AGAAGGAATTGG >mm10_chr9:23354687-23354698(-)::chr9:23354686-23354698(-) GGAAGGAAATAA >mm10_chr9:23354691-23354702(-)::chr9:23354690-23354702(-) AAAAGGAAGGAA >mm10_chr9:23553937-23553948(+)::chr9:23553936-23553948(+) ATAAGGAAGAAA >mm10_chr9:23570365-23570376(-)::chr9:23570364-23570376(-) aagaggaagtct >mm10_chr9:24331872-24331883(+)::chr9:24331871-24331883(+) agcaggaaatca >mm10_chr9:24341637-24341648(+)::chr9:24341636-24341648(+) GAGAGGAAGAGA >mm10_chr9:24341653-24341664(+)::chr9:24341652-24341664(+) AATAGGAAGAGT >mm10_chr9:24516587-24516598(-)::chr9:24516586-24516598(-) GGAAGGAAGAAA >mm10_chr9:24516591-24516602(-)::chr9:24516590-24516602(-) AACAGGAAGGAA >mm10_chr9:24536975-24536986(-)::chr9:24536974-24536986(-) AACAGGAAGATG >mm10_chr9:24776777-24776788(-)::chr9:24776776-24776788(-) TGAGGGAAGTGT >mm10_chr9:24931264-24931275(-)::chr9:24931263-24931275(-) ggaagtaagtta >mm10_chr9:24931268-24931279(-)::chr9:24931267-24931279(-) acagggaagtaa >mm10_chr9:24931310-24931321(-)::chr9:24931309-24931321(-) acgaggaagtaa >mm10_chr9:25021910-25021921(-)::chr9:25021909-25021921(-) ACAAGGAAGTCG >mm10_chr9:25140449-25140460(+)::chr9:25140448-25140460(+) gtcaggaagtgg >mm10_chr9:25158413-25158424(-)::chr9:25158412-25158424(-) gaaaggaagtag >mm10_chr9:25158444-25158455(+)::chr9:25158443-25158455(+) aggaggaaaTGA >mm10_chr9:25167581-25167592(+)::chr9:25167580-25167592(+) AAGAGGAAATGT >mm10_chr9:25167603-25167614(+)::chr9:25167602-25167614(+) ACCAGGAAGCTG >mm10_chr9:25173446-25173457(+)::chr9:25173445-25173457(+) ATCAGGAAGTAG >mm10_chr9:25187162-25187173(+)::chr9:25187161-25187173(+) ACGAGGATGTGG >mm10_chr9:25244065-25244076(+)::chr9:25244064-25244076(+) AGCAGGAAGGAA >mm10_chr9:25251732-25251743(-)::chr9:25251731-25251743(-) TGAAGGAAGCTC >mm10_chr9:25294394-25294405(-)::chr9:25294393-25294405(-) taaaggaagaga >mm10_chr9:25294436-25294447(-)::chr9:25294435-25294447(-) aacaggaagtga >mm10_chr9:25294451-25294462(-)::chr9:25294450-25294462(-) aaaaggaaggag >mm10_chr9:25388010-25388021(-)::chr9:25388009-25388021(-) GACAGGAAGGGC >mm10_chr9:25434022-25434033(-)::chr9:25434021-25434033(-) AAGAGGAAGGGA >mm10_chr9:25475998-25476009(+)::chr9:25475997-25476009(+) atgaggaagaga >mm10_chr9:25476010-25476021(+)::chr9:25476009-25476021(+) aggaggaagagg >mm10_chr9:25476030-25476041(+)::chr9:25476029-25476041(+) gggaggaagagc >mm10_chr9:25485732-25485743(+)::chr9:25485731-25485743(+) AACAGGAAGCAT >mm10_chr9:25486489-25486500(-)::chr9:25486488-25486500(-) AGGAGGAAGAAC >mm10_chr9:25486496-25486507(-)::chr9:25486495-25486507(-) GGAAGGAAGGAG >mm10_chr9:25509011-25509022(-)::chr9:25509010-25509022(-) ATAAGGAAGTTG >mm10_chr9:25512666-25512677(+)::chr9:25512665-25512677(+) CTAAGGAAGGAA >mm10_chr9:25512670-25512681(+)::chr9:25512669-25512681(+) GGAAGGAAGGAA >mm10_chr9:25512674-25512685(+)::chr9:25512673-25512685(+) GGAAGGAAGAGG >mm10_chr9:25512680-25512691(+)::chr9:25512679-25512691(+) AAGAGGAAGGGT >mm10_chr9:25512703-25512714(+)::chr9:25512702-25512714(+) TCAAGGAAGAAA >mm10_chr9:25532279-25532290(-)::chr9:25532278-25532290(-) GTAAGAAAGTTA >mm10_chr9:25532313-25532324(-)::chr9:25532312-25532324(-) AAAAGGAACTTT >mm10_chr9:25532352-25532363(-)::chr9:25532351-25532363(-) ATAAGGAAGTTC >mm10_chr9:25549315-25549326(-)::chr9:25549314-25549326(-) ACCAGGAAGTGA >mm10_chr9:25549601-25549612(-)::chr9:25549600-25549612(-) aggaggaaggag >mm10_chr9:25592044-25592055(+)::chr9:25592043-25592055(+) tccaggaagtag >mm10_chr9:25592967-25592978(+)::chr9:25592966-25592978(+) GACAGGAAGTAG >mm10_chr9:25769710-25769721(-)::chr9:25769709-25769721(-) tacaggaaatag >mm10_chr9:25769769-25769780(+)::chr9:25769768-25769780(+) aaaaggaagtac >mm10_chr9:25797572-25797583(+)::chr9:25797571-25797583(+) AGAAGGAAGGAA >mm10_chr9:25797576-25797587(+)::chr9:25797575-25797587(+) GGAAGGAAATTA >mm10_chr9:25797585-25797596(+)::chr9:25797584-25797596(+) TTAAGGAAGAAA >mm10_chr9:25958828-25958839(-)::chr9:25958827-25958839(-) agaaggaagttg >mm10_chr9:26116944-26116955(+)::chr9:26116943-26116955(+) GAATGGAAGTAG >mm10_chr9:26614534-26614545(+)::chr9:26614533-26614545(+) CAAAGGAAGATC >mm10_chr9:26859864-26859875(+)::chr9:26859863-26859875(+) GGCAGGAAGAAG >mm10_chr9:26920193-26920204(-)::chr9:26920192-26920204(-) tggaggaagtag >mm10_chr9:26937486-26937497(-)::chr9:26937485-26937497(-) ACAAGGAAGTAC >mm10_chr9:26939008-26939019(+)::chr9:26939007-26939019(+) aggaggaagaag >mm10_chr9:26939020-26939031(+)::chr9:26939019-26939031(+) agaaggaagaaa >mm10_chr9:26939054-26939065(+)::chr9:26939053-26939065(+) aagaggaagagg >mm10_chr9:26987625-26987636(-)::chr9:26987624-26987636(-) acaaggaagcaa >mm10_chr9:26987632-26987643(-)::chr9:26987631-26987643(-) ataaggaacaag >mm10_chr9:26999840-26999851(+)::chr9:26999839-26999851(+) AGAAGGAAAGGG >mm10_chr9:27014753-27014764(+)::chr9:27014752-27014764(+) GGAAGGAAGCAG >mm10_chr9:27023793-27023804(+)::chr9:27023792-27023804(+) AAGAGGAAGTCA >mm10_chr9:27030438-27030449(+)::chr9:27030437-27030449(+) TACAGGAAGTAT >mm10_chr9:27111217-27111228(+)::chr9:27111216-27111228(+) TGGAGGAAGTCC >mm10_chr9:27112815-27112826(+)::chr9:27112814-27112826(+) TCCAGGAAGAAT >mm10_chr9:27112826-27112837(+)::chr9:27112825-27112837(+) TGGAGGAAGAAC >mm10_chr9:27112892-27112903(+)::chr9:27112891-27112903(+) AACAGGAAGAGA >mm10_chr9:27176310-27176321(-)::chr9:27176309-27176321(-) AACAGGAAGCTA >mm10_chr9:27192303-27192314(-)::chr9:27192302-27192314(-) CTCAGGAAGTCC >mm10_chr9:27268606-27268617(+)::chr9:27268605-27268617(+) aacaggaagagt >mm10_chr9:27300860-27300871(-)::chr9:27300859-27300871(-) AGGAGGAAGTCA >mm10_chr9:27323620-27323631(+)::chr9:27323619-27323631(+) GTGAGGAAGTGA >mm10_chr9:27324158-27324169(-)::chr9:27324157-27324169(-) ACAAGGAAGACA >mm10_chr9:27461662-27461673(-)::chr9:27461661-27461673(-) aCAAAGAAGTGA >mm10_chr9:27461680-27461691(-)::chr9:27461679-27461691(-) aaaaggaagagg >mm10_chr9:27640576-27640587(-)::chr9:27640575-27640587(-) AGCAGGAAGAGA >mm10_chr9:27783799-27783810(+)::chr9:27783798-27783810(+) agaaggaagtgt >mm10_chr9:27800265-27800276(+)::chr9:27800264-27800276(+) GTAAGGAAATGA >mm10_chr9:27804448-27804459(+)::chr9:27804447-27804459(+) TTAAGGAAGCCT >mm10_chr9:27850764-27850775(+)::chr9:27850763-27850775(+) AGGAGGAAGAGG >mm10_chr9:27917448-27917459(-)::chr9:27917447-27917459(-) AGAAGGAAACGG >mm10_chr9:27945075-27945086(-)::chr9:27945074-27945086(-) ATCAGGAAGTAG >mm10_chr9:28008137-28008148(-)::chr9:28008136-28008148(-) AAGAGGAAATGA >mm10_chr9:28153914-28153925(-)::chr9:28153913-28153925(-) AACAGGAAGTGG >mm10_chr9:29294780-29294791(+)::chr9:29294779-29294791(+) AAGAGGAAATAC >mm10_chr9:29736047-29736058(+)::chr9:29736046-29736058(+) GACAGGAAGAGA >mm10_chr9:29736064-29736075(+)::chr9:29736063-29736075(+) ATGAGGAAGCCA >mm10_chr9:29750792-29750803(-)::chr9:29750791-29750803(-) TTGAGGAAGTGC >mm10_chr9:29760063-29760074(+)::chr9:29760062-29760074(+) TGGAGGAAGGAA >mm10_chr9:29760067-29760078(+)::chr9:29760066-29760078(+) GGAAGGAAGCAC >mm10_chr9:29760091-29760102(-)::chr9:29760090-29760102(-) GGAAGGAAGAAC >mm10_chr9:29768167-29768178(+)::chr9:29768166-29768178(+) GACAGGAAGTGA >mm10_chr9:29861144-29861155(-)::chr9:29861143-29861155(-) AGCAGGAAGAGG >mm10_chr9:29912489-29912500(-)::chr9:29912488-29912500(-) TCAAGGAACTGA >mm10_chr9:29912536-29912547(-)::chr9:29912535-29912547(-) AGGAGGAAGAAC >mm10_chr9:29920310-29920321(-)::chr9:29920309-29920321(-) agagggaaggga >mm10_chr9:29920321-29920332(-)::chr9:29920320-29920332(-) AAAaggaagaga >mm10_chr9:29921578-29921589(+)::chr9:29921577-29921589(+) CCGAGGAAGCAG >mm10_chr9:29943908-29943919(+)::chr9:29943907-29943919(+) ACCCGGAAGTTT >mm10_chr9:29957185-29957196(+)::chr9:29957184-29957196(+) AAAAGGAAGAAG >mm10_chr9:29957192-29957203(+)::chr9:29957191-29957203(+) AGAAGGAAATGG >mm10_chr9:29963070-29963081(+)::chr9:29963069-29963081(+) ACAAGGAAGCCG >mm10_chr9:29983413-29983424(-)::chr9:29983412-29983424(-) AGGAGGAAGTCA >mm10_chr9:29990460-29990471(+)::chr9:29990459-29990471(+) GTGAGGAAGGAA >mm10_chr9:29990464-29990475(+)::chr9:29990463-29990475(+) GGAAGGAAGCAA >mm10_chr9:30037422-30037433(-)::chr9:30037421-30037433(-) ACAAGGAAGTCA >mm10_chr9:30105594-30105605(+)::chr9:30105593-30105605(+) AGAAGGAAGACG >mm10_chr9:30105664-30105675(-)::chr9:30105663-30105675(-) AGAATGAAGTTA >mm10_chr9:30105664-30105675(-)::chr9:30105663-30105675(-) AGAATGAAGTTA >mm10_chr9:30105696-30105707(+)::chr9:30105695-30105707(+) GTCAGGAAGTCC >mm10_chr9:30137971-30137982(-)::chr9:30137970-30137982(-) AACAGGAAGTTT >mm10_chr9:30140159-30140170(-)::chr9:30140158-30140170(-) GGCAGGAAGTCC >mm10_chr9:30190177-30190188(-)::chr9:30190176-30190188(-) AAAAGGAAGTGT >mm10_chr9:30193821-30193832(-)::chr9:30193820-30193832(-) ATGAGGAAGGAG >mm10_chr9:30206879-30206890(-)::chr9:30206878-30206890(-) AGGAGGAAGAAG >mm10_chr9:30208824-30208835(-)::chr9:30208823-30208835(-) agaaggaaaaag >mm10_chr9:30208839-30208850(+)::chr9:30208838-30208850(+) acccggaagtcc >mm10_chr9:30210003-30210014(-)::chr9:30210002-30210014(-) AGAAGGAAGTTG >mm10_chr9:30235252-30235263(+)::chr9:30235251-30235263(+) TACAGGAAGTAA >mm10_chr9:30280088-30280099(+)::chr9:30280087-30280099(+) TCAAGGAAGAGA >mm10_chr9:30293247-30293258(+)::chr9:30293246-30293258(+) TAAAGGAAGAGC >mm10_chr9:30536898-30536909(-)::chr9:30536897-30536909(-) TCAAGGAAATGC >mm10_chr9:30565358-30565369(+)::chr9:30565357-30565369(+) TAGAGGAAGTGC >mm10_chr9:30598098-30598109(+)::chr9:30598097-30598109(+) TCAAGGAAGAAA >mm10_chr9:30654852-30654863(+)::chr9:30654851-30654863(+) attaggaagaag >mm10_chr9:30726142-30726153(-)::chr9:30726141-30726153(-) tggaggaagagc >mm10_chr9:30726150-30726161(+)::chr9:30726149-30726161(+) tccaggaagaat >mm10_chr9:30794271-30794282(-)::chr9:30794270-30794282(-) tcaaggaagtgc >mm10_chr9:30813385-30813396(-)::chr9:30813384-30813396(-) ACAAGGAAATAG >mm10_chr9:30919837-30919848(+)::chr9:30919836-30919848(+) ACAAGGAAACAA >mm10_chr9:30920974-30920985(-)::chr9:30920973-30920985(-) CCCAGGAAGTTG >mm10_chr9:30934974-30934985(-)::chr9:30934973-30934985(-) AGGAGGAAGGAG >mm10_chr9:30941072-30941083(+)::chr9:30941071-30941083(+) ACAAGGAACTTA >mm10_chr9:30960499-30960510(-)::chr9:30960498-30960510(-) tccaggaagcgc >mm10_chr9:30966840-30966851(-)::chr9:30966839-30966851(-) aacaggaagttg >mm10_chr9:31011759-31011770(-)::chr9:31011758-31011770(-) agGAGgaaggcc >mm10_chr9:31058917-31058928(+)::chr9:31058916-31058928(+) ataaggaactca >mm10_chr9:31083096-31083107(+)::chr9:31083095-31083107(+) AAGAGGAAGCAG >mm10_chr9:31096279-31096290(-)::chr9:31096278-31096290(-) GGAAGGCAGTAT >mm10_chr9:31096322-31096333(-)::chr9:31096321-31096333(-) gaaaggaagact >mm10_chr9:31110615-31110626(-)::chr9:31110614-31110626(-) accaggaagtcc >mm10_chr9:31110647-31110658(+)::chr9:31110646-31110658(+) gcaaggaaggag >mm10_chr9:31117738-31117749(-)::chr9:31117737-31117749(-) TCCAGGAAGTTG >mm10_chr9:31120854-31120865(-)::chr9:31120853-31120865(-) AGAAGGAAGGTG >mm10_chr9:31123644-31123655(+)::chr9:31123643-31123655(+) GCGAGGAagagg >mm10_chr9:31123731-31123742(+)::chr9:31123730-31123742(+) gagaggaagttt >mm10_chr9:31130103-31130114(-)::chr9:31130102-31130114(-) TTAAGGAACTTA >mm10_chr9:31134197-31134208(+)::chr9:31134196-31134208(+) TCAAGGAAGAGG >mm10_chr9:31163088-31163099(-)::chr9:31163087-31163099(-) TACAGGAAGTAA >mm10_chr9:31163992-31164003(+)::chr9:31163991-31164003(+) agaaggaagcta >mm10_chr9:31170477-31170488(-)::chr9:31170476-31170488(-) aagaggaagagg >mm10_chr9:31170483-31170494(-)::chr9:31170482-31170494(-) aggaggaagagg >mm10_chr9:31198793-31198804(+)::chr9:31198792-31198804(+) AGGAGGAAGCTG >mm10_chr9:31211786-31211797(-)::chr9:31211785-31211797(-) GTAGGGAAGCGC >mm10_chr9:31213025-31213036(-)::chr9:31213024-31213036(-) AGCAGGAAGGGC >mm10_chr9:31235094-31235105(-)::chr9:31235093-31235105(-) GGAAGGAAGTGG >mm10_chr9:31235098-31235109(-)::chr9:31235097-31235109(-) GAAAGGAAGGAA >mm10_chr9:31235106-31235117(-)::chr9:31235105-31235117(-) GAAAGGAAGAAA >mm10_chr9:31247572-31247583(+)::chr9:31247571-31247583(+) AGAAGGAAGGAG >mm10_chr9:31253561-31253572(-)::chr9:31253560-31253572(-) AGAAGGAAATGA >mm10_chr9:31266238-31266249(+)::chr9:31266237-31266249(+) AGCAGGAAATTC >mm10_chr9:31274067-31274078(-)::chr9:31274066-31274078(-) AGCAGGAAATGA >mm10_chr9:31274082-31274093(+)::chr9:31274081-31274093(+) AGCAGGAAGAGG >mm10_chr9:31316053-31316064(-)::chr9:31316052-31316064(-) ACAAGGAAAAGA >mm10_chr9:31320989-31321000(-)::chr9:31320988-31321000(-) AACAGGAAGAGC >mm10_chr9:31324921-31324932(+)::chr9:31324920-31324932(+) AAAAGGAAGGAG >mm10_chr9:31344728-31344739(+)::chr9:31344727-31344739(+) AGTAGGAAGAGC >mm10_chr9:31344780-31344791(+)::chr9:31344779-31344791(+) TCGAGGAAATGA >mm10_chr9:31446781-31446792(-)::chr9:31446780-31446792(-) ggaaggaagagg >mm10_chr9:31446785-31446796(-)::chr9:31446784-31446796(-) agaaggaaggaa >mm10_chr9:31446799-31446810(-)::chr9:31446798-31446810(-) gggaggaagtgg >mm10_chr9:31484364-31484375(+)::chr9:31484363-31484375(+) actaggaaatag >mm10_chr9:31500224-31500235(-)::chr9:31500223-31500235(-) ACAAGGAAAAAG >mm10_chr9:31509516-31509527(+)::chr9:31509515-31509527(+) aggaggaaatgc >mm10_chr9:31529185-31529196(+)::chr9:31529184-31529196(+) ACCAGGAAGTCT >mm10_chr9:31541952-31541963(+)::chr9:31541951-31541963(+) ACCAGGAAGTAT >mm10_chr9:31543028-31543039(-)::chr9:31543027-31543039(-) aggaggaaggaa >mm10_chr9:31543038-31543049(-)::chr9:31543037-31543049(-) aagaggaaggag >mm10_chr9:31543044-31543055(-)::chr9:31543043-31543055(-) aggaggaagagg >mm10_chr9:31543084-31543095(-)::chr9:31543083-31543095(-) aaaaggaagaga >mm10_chr9:31559711-31559722(+)::chr9:31559710-31559722(+) ACCAGGAAATGA >mm10_chr9:31577782-31577793(+)::chr9:31577781-31577793(+) TGGAGGAAGGAG >mm10_chr9:31577789-31577800(+)::chr9:31577788-31577800(+) AGGAGGAAGCCA >mm10_chr9:31577820-31577831(+)::chr9:31577819-31577831(+) AGGAGGAAGCAG >mm10_chr9:31584963-31584974(+)::chr9:31584962-31584974(+) ATAAGGAAGTTT >mm10_chr9:31586245-31586256(+)::chr9:31586244-31586256(+) accaggaagaac >mm10_chr9:31594037-31594048(+)::chr9:31594036-31594048(+) AGCAGGAAGGCA >mm10_chr9:31636421-31636432(+)::chr9:31636420-31636432(+) accaggaagccc >mm10_chr9:31659965-31659976(-)::chr9:31659964-31659976(-) AACAGGAAGTGG >mm10_chr9:31660232-31660243(+)::chr9:31660231-31660243(+) ACCAGGAAGTCT >mm10_chr9:31688220-31688231(-)::chr9:31688219-31688231(-) GGAAGGAAGAAA >mm10_chr9:31748032-31748043(-)::chr9:31748031-31748043(-) aaaaggaaatgt >mm10_chr9:31759190-31759201(+)::chr9:31759189-31759201(+) AACAGGAAGAGA >mm10_chr9:31840262-31840273(-)::chr9:31840261-31840273(-) ACAAGGAAGGTG >mm10_chr9:31849218-31849229(-)::chr9:31849217-31849229(-) AACAGGAAGATT >mm10_chr9:31870817-31870828(+)::chr9:31870816-31870828(+) TGAATGAAGTGA >mm10_chr9:31871260-31871271(+)::chr9:31871259-31871271(+) TGAAGGAAGCCA >mm10_chr9:31905978-31905989(+)::chr9:31905977-31905989(+) TGAAGGAAGCCC >mm10_chr9:31906034-31906045(-)::chr9:31906033-31906045(-) TCCAGGAAATAC >mm10_chr9:31915798-31915809(+)::chr9:31915797-31915809(+) ACAAGGAAGGAA >mm10_chr9:31915802-31915813(+)::chr9:31915801-31915813(+) GGAAGGAAGGGG >mm10_chr9:31915835-31915846(+)::chr9:31915834-31915846(+) TGAAGGAAGGAA >mm10_chr9:31920876-31920887(-)::chr9:31920875-31920887(-) aggaggaagagg >mm10_chr9:31920888-31920899(-)::chr9:31920887-31920899(-) aggaggaagagg >mm10_chr9:31926849-31926860(-)::chr9:31926848-31926860(-) ATAAGGAAATAG >mm10_chr9:31931899-31931910(-)::chr9:31931898-31931910(-) tgagggaagtcc >mm10_chr9:31931961-31931972(-)::chr9:31931960-31931972(-) actaggaagagg >mm10_chr9:31997047-31997058(+)::chr9:31997046-31997058(+) AGGAGGAAGTGT >mm10_chr9:32012211-32012222(-)::chr9:32012210-32012222(-) ataaggaaaatg >mm10_chr9:32096790-32096801(-)::chr9:32096789-32096801(-) AAAAGGAAGGTC >mm10_chr9:32096810-32096821(-)::chr9:32096809-32096821(-) ACAAGGAATTAG >mm10_chr9:32116459-32116470(+)::chr9:32116458-32116470(+) ACCAGGAAGAGA >mm10_chr9:32118017-32118028(+)::chr9:32118016-32118028(+) ATCAGGAAGGCA >mm10_chr9:32209455-32209466(+)::chr9:32209454-32209466(+) AGCAGGAAGAGA >mm10_chr9:32211598-32211609(+)::chr9:32211597-32211609(+) atcaggaagtgg >mm10_chr9:32211628-32211639(-)::chr9:32211627-32211639(-) aagaggaaggag >mm10_chr9:32223822-32223833(-)::chr9:32223821-32223833(-) AAAGGGAAGTTT >mm10_chr9:32224174-32224185(+)::chr9:32224173-32224185(+) ACCAGGAAGCGC >mm10_chr9:32225055-32225066(+)::chr9:32225054-32225066(+) ATAAGGAAGTAA >mm10_chr9:32239702-32239713(-)::chr9:32239701-32239713(-) ACAGGGAAGTTG >mm10_chr9:32245446-32245457(-)::chr9:32245445-32245457(-) CTGAGGAAGTCA >mm10_chr9:32349541-32349552(-)::chr9:32349540-32349552(-) AAGAGGAAATGA >mm10_chr9:32570802-32570813(+)::chr9:32570801-32570813(+) ACCAGGAAGTGA >mm10_chr9:32570825-32570836(+)::chr9:32570824-32570836(+) CAGAGGAAGTAG >mm10_chr9:32570849-32570860(+)::chr9:32570848-32570860(+) ATCAGGAAATAG >mm10_chr9:32570872-32570883(+)::chr9:32570871-32570883(+) CAGAGGAAGTGG >mm10_chr9:32656384-32656395(+)::chr9:32656383-32656395(+) ACAAGGCAGTAA >mm10_chr9:33080117-33080128(+)::chr9:33080116-33080128(+) AGAACGAAGTTG >mm10_chr9:33152280-33152291(+)::chr9:33152279-33152291(+) ACAAGCAAGTGA >mm10_chr9:33746306-33746317(+)::chr9:33746305-33746317(+) GAAAGGAATTTA >mm10_chr9:33800929-33800940(-)::chr9:33800928-33800940(-) ACAAGGAAATGG >mm10_chr9:33846329-33846340(+)::chr9:33846328-33846340(+) TCAAGGAAGAGC >mm10_chr9:34247488-34247499(-)::chr9:34247487-34247499(-) gtaaggaagagg >mm10_chr9:34247505-34247516(+)::chr9:34247504-34247516(+) ACAAGGAACTTC >mm10_chr9:34247510-34247521(-)::chr9:34247509-34247521(-) ATGAGGAAGTTC >mm10_chr9:34249711-34249722(+)::chr9:34249710-34249722(+) GAAAGGATGTAA >mm10_chr9:34249732-34249743(+)::chr9:34249731-34249743(+) TCCAGGAAGCGT >mm10_chr9:34249746-34249757(-)::chr9:34249745-34249757(-) AAGAGGAAGCAA >mm10_chr9:34256758-34256769(-)::chr9:34256757-34256769(-) AGAAGGAAGCTC >mm10_chr9:34380195-34380206(+)::chr9:34380194-34380206(+) TCTAGGAAGTAC >mm10_chr9:34489139-34489150(-)::chr9:34489138-34489150(-) AAGAGGAAGAAG >mm10_chr9:34531861-34531872(-)::chr9:34531860-34531872(-) GACAGGAAGGAG >mm10_chr9:34558784-34558795(-)::chr9:34558783-34558795(-) CCAAGGAAGCCA >mm10_chr9:34572912-34572923(-)::chr9:34572911-34572923(-) AGGAGGAAGGTG >mm10_chr9:34650776-34650787(+)::chr9:34650775-34650787(+) TGAAGGAAGTCA >mm10_chr9:34650793-34650804(+)::chr9:34650792-34650804(+) ATGAGGAAGTAG >mm10_chr9:34682860-34682871(-)::chr9:34682859-34682871(-) GGAAGGAAGTGA >mm10_chr9:34688672-34688683(-)::chr9:34688671-34688683(-) TGAAGGAAGTAC >mm10_chr9:34688730-34688741(+)::chr9:34688729-34688741(+) ACCAGGAAGGAA >mm10_chr9:34694374-34694385(-)::chr9:34694373-34694385(-) ACAGGGAAGTCA >mm10_chr9:34694408-34694419(-)::chr9:34694407-34694419(-) ACCAGGAAGTCT >mm10_chr9:34740945-34740956(+)::chr9:34740944-34740956(+) AAGAGGAAGAGG >mm10_chr9:34741641-34741652(-)::chr9:34741640-34741652(-) GACAGGAAGTTG >mm10_chr9:34741650-34741661(-)::chr9:34741649-34741661(-) AGAAGGAAAGAC >mm10_chr9:34747563-34747574(-)::chr9:34747562-34747574(-) agaaggaaggag >mm10_chr9:34751131-34751142(-)::chr9:34751130-34751142(-) AGCAGGAAGTAA >mm10_chr9:34756619-34756630(+)::chr9:34756618-34756630(+) AGAAGGAAGAGT >mm10_chr9:34994153-34994164(+)::chr9:34994152-34994164(+) ctcaggaagtgc >mm10_chr9:35018566-35018577(+)::chr9:35018565-35018577(+) AGGAGGAAGGGG >mm10_chr9:35019558-35019569(-)::chr9:35019557-35019569(-) CGAAGGAAAGGA >mm10_chr9:35019643-35019654(+)::chr9:35019642-35019654(+) ACAAGGAAGCAA >mm10_chr9:35027805-35027816(+)::chr9:35027804-35027816(+) AGGAGGAAGTTG >mm10_chr9:35032726-35032737(+)::chr9:35032725-35032737(+) TCAAGGAAGACA >mm10_chr9:35032765-35032776(+)::chr9:35032764-35032776(+) GTGAGGAAGAGG >mm10_chr9:35039478-35039489(+)::chr9:35039477-35039489(+) AACAGGAAATTC >mm10_chr9:35039495-35039506(-)::chr9:35039494-35039506(-) ACCAGGAAGTGA >mm10_chr9:35039547-35039558(+)::chr9:35039546-35039558(+) AGCAGGAAGTGA >mm10_chr9:35055830-35055841(+)::chr9:35055829-35055841(+) TACAGGAAGGGG >mm10_chr9:35062311-35062322(+)::chr9:35062310-35062322(+) aacaggaagtgg >mm10_chr9:35062337-35062348(-)::chr9:35062336-35062348(-) agaaggaaaaag >mm10_chr9:35069356-35069367(-)::chr9:35069355-35069367(-) agaaggaaggaa >mm10_chr9:35069381-35069392(+)::chr9:35069380-35069392(+) aggaggaaggtc >mm10_chr9:35108934-35108945(-)::chr9:35108933-35108945(-) ACCAGGAAGGGG >mm10_chr9:35108956-35108967(-)::chr9:35108955-35108967(-) AGGAGGAAATGT >mm10_chr9:35113950-35113961(+)::chr9:35113949-35113961(+) TAGAGGAAATAG >mm10_chr9:35113963-35113974(+)::chr9:35113962-35113974(+) AACAGGAAATAG >mm10_chr9:35124262-35124273(+)::chr9:35124261-35124273(+) TGGAGGAAGTCC >mm10_chr9:35159350-35159361(+)::chr9:35159349-35159361(+) atcaggaagagg >mm10_chr9:35159356-35159367(+)::chr9:35159355-35159367(+) aagaggaaatgc >mm10_chr9:35169796-35169807(-)::chr9:35169795-35169807(-) TCCAGGAAGTTC >mm10_chr9:35175881-35175892(-)::chr9:35175880-35175892(-) AGAAGGAAGCAG >mm10_chr9:35183586-35183597(+)::chr9:35183585-35183597(+) TCGAGGAAGTGC >mm10_chr9:35183631-35183642(+)::chr9:35183630-35183642(+) GCCAGGAAGGAT >mm10_chr9:35183652-35183663(+)::chr9:35183651-35183663(+) CCAAGGAAGATG >mm10_chr9:35187653-35187664(-)::chr9:35187652-35187664(-) ACGAGGAAGTCA >mm10_chr9:35187685-35187696(-)::chr9:35187684-35187696(-) AGGAGGAAGCCA >mm10_chr9:35194225-35194236(-)::chr9:35194224-35194236(-) GGAAGGATGTGG >mm10_chr9:35199383-35199394(-)::chr9:35199382-35199394(-) AGAAGGAAGTAG >mm10_chr9:35199890-35199901(+)::chr9:35199889-35199901(+) TCCGGGAAGTGC >mm10_chr9:35262474-35262485(-)::chr9:35262473-35262485(-) AGCAGGAAGTGT >mm10_chr9:35265298-35265309(+)::chr9:35265297-35265309(+) GTCAGGAAGTAG >mm10_chr9:35265346-35265357(+)::chr9:35265345-35265357(+) ATGAGGAAGAGG >mm10_chr9:35265381-35265392(+)::chr9:35265380-35265392(+) AGAAGGAAGTGG >mm10_chr9:35299442-35299453(-)::chr9:35299441-35299453(-) GCAGGGAAGTCA >mm10_chr9:35338612-35338623(+)::chr9:35338611-35338623(+) AGAAGGAAGTGC >mm10_chr9:35338674-35338685(-)::chr9:35338673-35338685(-) AGGAGGAAGAGA >mm10_chr9:35405001-35405012(+)::chr9:35405000-35405012(+) ACCAGGAAGGGA >mm10_chr9:35410588-35410599(-)::chr9:35410587-35410599(-) AAAAGCAAGTGT >mm10_chr9:35410601-35410612(+)::chr9:35410600-35410612(+) TCCAGGAAGAAA >mm10_chr9:35412380-35412391(+)::chr9:35412379-35412391(+) aggaggaagaga >mm10_chr9:35413335-35413346(-)::chr9:35413334-35413346(-) GGAAGGAAGTGG >mm10_chr9:35413368-35413379(-)::chr9:35413367-35413379(-) AGAAGGAAGGGG >mm10_chr9:35423391-35423402(+)::chr9:35423390-35423402(+) AGCAGGAAGTAC >mm10_chr9:35583643-35583654(-)::chr9:35583642-35583654(-) aagaggaagagg >mm10_chr9:35583655-35583666(-)::chr9:35583654-35583666(-) aagaggaagaag >mm10_chr9:35583661-35583672(-)::chr9:35583660-35583672(-) aggaggaagagg >mm10_chr9:35633532-35633543(-)::chr9:35633531-35633543(-) ACAAGGAAACAA >mm10_chr9:35687132-35687143(-)::chr9:35687131-35687143(-) CGGAGGAAGTAG >mm10_chr9:35864982-35864993(+)::chr9:35864981-35864993(+) GCAAGGAATTGA >mm10_chr9:35927033-35927044(+)::chr9:35927032-35927044(+) AGCAGGAAGTCA >mm10_chr9:35927668-35927679(+)::chr9:35927667-35927679(+) ATAGGGAAGTGT >mm10_chr9:35969644-35969655(+)::chr9:35969643-35969655(+) TATAGGAAGTAC >mm10_chr9:35975541-35975552(-)::chr9:35975540-35975552(-) ataaggatgttg >mm10_chr9:35975572-35975583(+)::chr9:35975571-35975583(+) ttgaggaagcga >mm10_chr9:36301069-36301080(-)::chr9:36301068-36301080(-) ACAAGGAAAATG >mm10_chr9:36301109-36301120(-)::chr9:36301108-36301120(-) TCAAGGAAGTAA >mm10_chr9:36433676-36433687(-)::chr9:36433675-36433687(-) ATGAGGAAGTAC >mm10_chr9:36475792-36475803(-)::chr9:36475791-36475803(-) GGGAGGAAGTGG >mm10_chr9:36475823-36475834(-)::chr9:36475822-36475834(-) ACAGGGAAGTAT >mm10_chr9:36577092-36577103(+)::chr9:36577091-36577103(+) AGGGGGAAGTGG >mm10_chr9:36728904-36728915(-)::chr9:36728903-36728915(-) aacaggaagtgt >mm10_chr9:36728922-36728933(+)::chr9:36728921-36728933(+) ccaaggaagagc >mm10_chr9:36728941-36728952(+)::chr9:36728940-36728952(+) ccaaggaagagc >mm10_chr9:36749334-36749345(-)::chr9:36749333-36749345(-) accaggaagtgc >mm10_chr9:36767907-36767918(+)::chr9:36767906-36767918(+) ACCAGGAAGGAG >mm10_chr9:37065082-37065093(-)::chr9:37065081-37065093(-) AGGAGGAAGGAG >mm10_chr9:37065103-37065114(+)::chr9:37065102-37065114(+) AGCAGGAAATAC >mm10_chr9:37348448-37348459(-)::chr9:37348447-37348459(-) AAGCGGAAGTGA >mm10_chr9:37375831-37375842(-)::chr9:37375830-37375842(-) aaaaggaaggat >mm10_chr9:37528775-37528786(-)::chr9:37528774-37528786(-) AGCAGGAAGGAA >mm10_chr9:37528782-37528793(-)::chr9:37528781-37528793(-) GGCAGGAAGCAG >mm10_chr9:37614067-37614078(-)::chr9:37614066-37614078(-) AAAAGGCAGTAG >mm10_chr9:37647190-37647201(+)::chr9:37647189-37647201(+) ACGCGGAAGTAG >mm10_chr9:37656466-37656477(+)::chr9:37656465-37656477(+) AGCAGGAAGTGT >mm10_chr9:37657236-37657247(+)::chr9:37657235-37657247(+) CCAAGGAAGACC >mm10_chr9:37657248-37657259(+)::chr9:37657247-37657259(+) CGCCGGAAGTTG >mm10_chr9:37657280-37657291(-)::chr9:37657279-37657291(-) ACCCGGAAGTTA >mm10_chr9:37667641-37667652(+)::chr9:37667640-37667652(+) ATCAGGAAATGC >mm10_chr9:37669254-37669265(+)::chr9:37669253-37669265(+) TAGAGGAAGGCA >mm10_chr9:37772489-37772500(+)::chr9:37772488-37772500(+) CCCAGGAAGTTA >mm10_chr9:38495354-38495365(+)::chr9:38495353-38495365(+) AACAGGAAATGT >mm10_chr9:38719209-38719220(+)::chr9:38719208-38719220(+) ATGAGGAAATGA >mm10_chr9:38719484-38719495(-)::chr9:38719483-38719495(-) ACAAGGAAGAGG >mm10_chr9:38995417-38995428(-)::chr9:38995416-38995428(-) ACAAGGAAATCA >mm10_chr9:38997358-38997369(+)::chr9:38997357-38997369(+) atcaggaagtga >mm10_chr9:40166640-40166651(-)::chr9:40166639-40166651(-) GGCAGGAAGATG >mm10_chr9:40179545-40179556(+)::chr9:40179544-40179556(+) TCCAGGAAGGAA >mm10_chr9:40191188-40191199(+)::chr9:40191187-40191199(+) aacaggaagagg >mm10_chr9:40191194-40191205(+)::chr9:40191193-40191205(+) aagaggaagagg >mm10_chr9:40206915-40206926(+)::chr9:40206914-40206926(+) AGCAGGAAGGAA >mm10_chr9:40206919-40206930(+)::chr9:40206918-40206930(+) GGAAGGAAGTAG >mm10_chr9:40251860-40251871(-)::chr9:40251859-40251871(-) AGCAGGAAGTAA >mm10_chr9:40271422-40271433(+)::chr9:40271421-40271433(+) AAAAGGAAGAGA >mm10_chr9:40280809-40280820(+)::chr9:40280808-40280820(+) GTGAGGAAGAAG >mm10_chr9:40294987-40294998(+)::chr9:40294986-40294998(+) AGAAGGAAGTGT >mm10_chr9:40316979-40316990(+)::chr9:40316978-40316990(+) AGCAGGAAGTTC >mm10_chr9:40317470-40317481(-)::chr9:40317469-40317481(-) ACCAGGAAGTCT >mm10_chr9:40331362-40331373(-)::chr9:40331361-40331373(-) ACAAGGAAGGAC >mm10_chr9:40333084-40333095(-)::chr9:40333083-40333095(-) GCCAGGAAGAGC >mm10_chr9:40333696-40333707(+)::chr9:40333695-40333707(+) AAGAGGAAGGAA >mm10_chr9:40333700-40333711(+)::chr9:40333699-40333711(+) GGAAGGAAGGAA >mm10_chr9:40333704-40333715(+)::chr9:40333703-40333715(+) GGAAGGAAGGAA >mm10_chr9:40333708-40333719(+)::chr9:40333707-40333719(+) GGAAGGAAGGCT >mm10_chr9:40345254-40345265(-)::chr9:40345253-40345265(-) ACCAGGAAGATA >mm10_chr9:40345289-40345300(+)::chr9:40345288-40345300(+) CACAGGAAGTCA >mm10_chr9:40345811-40345822(+)::chr9:40345810-40345822(+) ACAAGGAAACAA >mm10_chr9:40346553-40346564(-)::chr9:40346552-40346564(-) AAGAGGAAGTAA >mm10_chr9:40358049-40358060(+)::chr9:40358048-40358060(+) aagaggaagagg >mm10_chr9:40358055-40358066(+)::chr9:40358054-40358066(+) aagaggaagaag >mm10_chr9:40358073-40358084(+)::chr9:40358072-40358084(+) aggaggaagagg >mm10_chr9:40358079-40358090(+)::chr9:40358078-40358090(+) aagaggaagagg >mm10_chr9:40358085-40358096(+)::chr9:40358084-40358096(+) aagaggaagCAG >mm10_chr9:40365731-40365742(+)::chr9:40365730-40365742(+) AGACGGAAGAGA >mm10_chr9:40376476-40376487(-)::chr9:40376475-40376487(-) GGGCGGAAGTGG >mm10_chr9:40389323-40389334(+)::chr9:40389322-40389334(+) TCCCGGAAGTGA >mm10_chr9:40402084-40402095(-)::chr9:40402083-40402095(-) TTAAGGAAGGAG >mm10_chr9:40402113-40402124(+)::chr9:40402112-40402124(+) TCAAGGATGTGG >mm10_chr9:40408085-40408096(+)::chr9:40408084-40408096(+) AGCAGGAAGCTG >mm10_chr9:40414572-40414583(-)::chr9:40414571-40414583(-) acccggaagtcc >mm10_chr9:40414587-40414598(+)::chr9:40414586-40414598(+) gaaaggaagagg >mm10_chr9:40436120-40436131(-)::chr9:40436119-40436131(-) TGAAGGAAGTCC >mm10_chr9:40445246-40445257(+)::chr9:40445245-40445257(+) agacggaagaag >mm10_chr9:40450582-40450593(+)::chr9:40450581-40450593(+) TCAAGGAATTAT >mm10_chr9:40455805-40455816(-)::chr9:40455804-40455816(-) AAAAGGAACTTG >mm10_chr9:40456635-40456646(+)::chr9:40456634-40456646(+) ACCAGGAAGACA >mm10_chr9:40456661-40456672(+)::chr9:40456660-40456672(+) AGAAGGAAAATG >mm10_chr9:40505152-40505163(-)::chr9:40505151-40505163(-) agaaggaagagc >mm10_chr9:40505168-40505179(-)::chr9:40505167-40505179(-) agaaggaaggCG >mm10_chr9:40505210-40505221(-)::chr9:40505209-40505221(-) aggaggaaggga >mm10_chr9:40518195-40518206(-)::chr9:40518194-40518206(-) TGCAGGAAGAGC >mm10_chr9:40523621-40523632(+)::chr9:40523620-40523632(+) AAGAGGAAGAAA >mm10_chr9:40667515-40667526(+)::chr9:40667514-40667526(+) aggaggaagcag >mm10_chr9:40667530-40667541(+)::chr9:40667529-40667541(+) agaaggaagaaa >mm10_chr9:40667551-40667562(+)::chr9:40667550-40667562(+) aggaggaaggag >mm10_chr9:40667572-40667583(+)::chr9:40667571-40667583(+) aggaggaagagg >mm10_chr9:40765647-40765658(+)::chr9:40765646-40765658(+) GATAGGAAGTAA >mm10_chr9:40765686-40765697(-)::chr9:40765685-40765697(-) TCCCGGAAGTTA >mm10_chr9:40773725-40773736(-)::chr9:40773724-40773736(-) tgtaggaagtta >mm10_chr9:40782221-40782232(+)::chr9:40782220-40782232(+) AGGAGGAAGTAA >mm10_chr9:40783548-40783559(-)::chr9:40783547-40783559(-) AGCAGGAAGTGG >mm10_chr9:40784203-40784214(-)::chr9:40784202-40784214(-) AATAGGAAATGC >mm10_chr9:40784240-40784251(+)::chr9:40784239-40784251(+) AAGCGGAAGTGC >mm10_chr9:40796149-40796160(+)::chr9:40796148-40796160(+) TCAAGGAAGTGA >mm10_chr9:40796274-40796285(+)::chr9:40796273-40796285(+) TTACGGAAGGAA >mm10_chr9:40796278-40796289(+)::chr9:40796277-40796289(+) GGAAGGAAGTTC >mm10_chr9:40800757-40800768(-)::chr9:40800756-40800768(-) AGACGGAAGTGG >mm10_chr9:41068087-41068098(+)::chr9:41068086-41068098(+) aaagggaagtga >mm10_chr9:41102723-41102734(-)::chr9:41102722-41102734(-) ACAAGGAAATCA >mm10_chr9:41158219-41158230(+)::chr9:41158218-41158230(+) gccaggaaggaa >mm10_chr9:41158223-41158234(+)::chr9:41158222-41158234(+) ggaaggaaggga >mm10_chr9:41161245-41161256(-)::chr9:41161244-41161256(-) aagaggaagaga >mm10_chr9:41161251-41161262(-)::chr9:41161250-41161262(-) agcaggaagagg >mm10_chr9:41207992-41208003(+)::chr9:41207991-41208003(+) GTCAGGAAGTAA >mm10_chr9:41212812-41212823(-)::chr9:41212811-41212823(-) AGCAGGAAGCTC >mm10_chr9:41212824-41212835(+)::chr9:41212823-41212835(+) AGGCGGAAGTGC >mm10_chr9:41264776-41264787(-)::chr9:41264775-41264787(-) TGAAGGAAGATT >mm10_chr9:41265024-41265035(-)::chr9:41265023-41265035(-) ACAAGGAAGACA >mm10_chr9:41327161-41327172(+)::chr9:41327160-41327172(+) ACCAGGAAGAAT >mm10_chr9:41356033-41356044(-)::chr9:41356032-41356044(-) GGAAGGACGTCT >mm10_chr9:41356037-41356048(-)::chr9:41356036-41356048(-) AACAGGAAGGAC >mm10_chr9:41358156-41358167(+)::chr9:41358155-41358167(+) AGGAGGAAGGGT >mm10_chr9:41430365-41430376(+)::chr9:41430364-41430376(+) ATGAGGAAATAG >mm10_chr9:41445289-41445300(+)::chr9:41445288-41445300(+) TAAAGGAAGAGG >mm10_chr9:41445295-41445306(+)::chr9:41445294-41445306(+) AAGAGGAAGTTT >mm10_chr9:41447188-41447199(-)::chr9:41447187-41447199(-) AAAAGGAAGTTT >mm10_chr9:41461870-41461881(-)::chr9:41461869-41461881(-) AAGAGGAAGCAG >mm10_chr9:41478658-41478669(+)::chr9:41478657-41478669(+) AGGAGGAAGGAA >mm10_chr9:41478662-41478673(+)::chr9:41478661-41478673(+) GGAAGGAAGGCT >mm10_chr9:41478714-41478725(-)::chr9:41478713-41478725(-) TTAAGGAAGACT >mm10_chr9:41485526-41485537(-)::chr9:41485525-41485537(-) TCAAGGAAGTAA >mm10_chr9:41502288-41502299(-)::chr9:41502287-41502299(-) ACAAGGAAGGAA >mm10_chr9:41502331-41502342(-)::chr9:41502330-41502342(-) AGAAGGAAGTGG >mm10_chr9:41526291-41526302(+)::chr9:41526290-41526302(+) TGAAGGAAGGAA >mm10_chr9:41526295-41526306(+)::chr9:41526294-41526306(+) GGAAGGAAATTG >mm10_chr9:41571627-41571638(+)::chr9:41571626-41571638(+) AGGAGGAAATAG >mm10_chr9:41581436-41581447(-)::chr9:41581435-41581447(-) AGCAGGAAGCAG >mm10_chr9:41637242-41637253(+)::chr9:41637241-41637253(+) GACAGGAAGTCG >mm10_chr9:41640029-41640040(+)::chr9:41640028-41640040(+) accaggaagtgc >mm10_chr9:41640064-41640075(-)::chr9:41640063-41640075(-) AAGAGGAAGAGT >mm10_chr9:41640075-41640086(+)::chr9:41640074-41640086(+) TCCAGGAAGAGG >mm10_chr9:41643260-41643271(+)::chr9:41643259-41643271(+) AACAGGAAGCAG >mm10_chr9:41714934-41714945(+)::chr9:41714933-41714945(+) AGAAGGAAGAGA >mm10_chr9:41715400-41715411(-)::chr9:41715399-41715411(-) acaaggaagttc >mm10_chr9:41721800-41721811(-)::chr9:41721799-41721811(-) gcaaggaagaaa >mm10_chr9:41722872-41722883(+)::chr9:41722871-41722883(+) ttcaggaagtcg >mm10_chr9:41755591-41755602(-)::chr9:41755590-41755602(-) AATAGGAAGAGA >mm10_chr9:41875497-41875508(-)::chr9:41875496-41875508(-) AAGAGGAAATAC >mm10_chr9:41932240-41932251(+)::chr9:41932239-41932251(+) agcaggaagaga >mm10_chr9:41932252-41932263(+)::chr9:41932251-41932263(+) aacaggaagagg >mm10_chr9:41938227-41938238(-)::chr9:41938226-41938238(-) ACAAGGAAAAAT >mm10_chr9:41938468-41938479(+)::chr9:41938467-41938479(+) AACAGGAAGAGG >mm10_chr9:42004291-42004302(+)::chr9:42004290-42004302(+) ACAAGGAAATAA >mm10_chr9:42034344-42034355(-)::chr9:42034343-42034355(-) AACAGGAAGCAA >mm10_chr9:42034397-42034408(-)::chr9:42034396-42034408(-) CAAAGGAAGCAG >mm10_chr9:42060436-42060447(+)::chr9:42060435-42060447(+) AAGAGGAAGGAG >mm10_chr9:42064937-42064948(+)::chr9:42064936-42064948(+) AACAGGAAATCA >mm10_chr9:42064970-42064981(-)::chr9:42064969-42064981(-) AAGAGGAAGTAG >mm10_chr9:42064998-42065009(+)::chr9:42064997-42065009(+) ACGAGGAAATCA >mm10_chr9:42072350-42072361(+)::chr9:42072349-42072361(+) CCAAGGAAGAGA >mm10_chr9:42088681-42088692(-)::chr9:42088680-42088692(-) AGCAGGAAATAG >mm10_chr9:42094349-42094360(-)::chr9:42094348-42094360(-) AGAAGGAAGGAG >mm10_chr9:42094393-42094404(-)::chr9:42094392-42094404(-) GGGAGGAAGTAC >mm10_chr9:42098051-42098062(-)::chr9:42098050-42098062(-) AGGAGGAAGTGC >mm10_chr9:42098083-42098094(+)::chr9:42098082-42098094(+) CCCAGGAAGTGG >mm10_chr9:42099712-42099723(-)::chr9:42099711-42099723(-) AGGAGGAAGAAT >mm10_chr9:42099727-42099738(+)::chr9:42099726-42099738(+) GCCAGGAAGTTG >mm10_chr9:42102157-42102168(+)::chr9:42102156-42102168(+) AAGAGGAAGTTG >mm10_chr9:42108801-42108812(-)::chr9:42108800-42108812(-) GAAAGGAAGAGG >mm10_chr9:42108814-42108825(-)::chr9:42108813-42108825(-) TGGAGGAAGAAG >mm10_chr9:42123710-42123721(+)::chr9:42123709-42123721(+) GCCGGGAAGTCC >mm10_chr9:42128207-42128218(+)::chr9:42128206-42128218(+) gtaaggatgtta >mm10_chr9:42180291-42180302(-)::chr9:42180290-42180302(-) TAGAGGAAGCGA >mm10_chr9:42180316-42180327(+)::chr9:42180315-42180327(+) GAAGGGAAGTAG >mm10_chr9:42188373-42188384(+)::chr9:42188372-42188384(+) ACAAGGAAGTTA >mm10_chr9:42196561-42196572(+)::chr9:42196560-42196572(+) TCAAGGAAGTAT >mm10_chr9:42196772-42196783(-)::chr9:42196771-42196783(-) TGGAGGAAGAAA >mm10_chr9:42246913-42246924(-)::chr9:42246912-42246924(-) AGAAGGAAGTGT >mm10_chr9:42315942-42315953(+)::chr9:42315941-42315953(+) acaaggaagcta >mm10_chr9:42428196-42428207(+)::chr9:42428195-42428207(+) gggaggaaggaa >mm10_chr9:42428200-42428211(+)::chr9:42428199-42428211(+) ggaaggaaggcc >mm10_chr9:42465894-42465905(-)::chr9:42465893-42465905(-) GGAAGGAAGTGC >mm10_chr9:42465898-42465909(-)::chr9:42465897-42465909(-) AAAAGGAAGGAA >mm10_chr9:42550413-42550424(+)::chr9:42550412-42550424(+) ACCAGGAAGAGG >mm10_chr9:42627856-42627867(+)::chr9:42627855-42627867(+) GGCAGGAAGAGG >mm10_chr9:42800331-42800342(+)::chr9:42800330-42800342(+) agcaggaagctg >mm10_chr9:42896644-42896655(-)::chr9:42896643-42896655(-) ACAAGGAAGTGT >mm10_chr9:42896644-42896655(-)::chr9:42896643-42896655(-) ACAAGGAAGTGT >mm10_chr9:42908857-42908868(-)::chr9:42908856-42908868(-) GGGAGGAAGTTA >mm10_chr9:42908887-42908898(+)::chr9:42908886-42908898(+) GGAAGCAAGTGT >mm10_chr9:42986955-42986966(-)::chr9:42986954-42986966(-) AGTAGGAAGGAA >mm10_chr9:43081305-43081316(+)::chr9:43081304-43081316(+) TACAGGAAGAGG >mm10_chr9:43103896-43103907(+)::chr9:43103895-43103907(+) AACAGGAAGAGC >mm10_chr9:43106231-43106242(-)::chr9:43106230-43106242(-) GAGCGGAAGTAT >mm10_chr9:43106261-43106272(+)::chr9:43106260-43106272(+) AGAGGGAAGCGA >mm10_chr9:43239849-43239860(-)::chr9:43239848-43239860(-) TGAAGGAAGTGA >mm10_chr9:43289252-43289263(-)::chr9:43289251-43289263(-) GGCAGGAAGCGC >mm10_chr9:43398858-43398869(-)::chr9:43398857-43398869(-) TAAAGGAAGGGA >mm10_chr9:43489439-43489450(-)::chr9:43489438-43489450(-) gccaggaagagt >mm10_chr9:43492363-43492374(+)::chr9:43492362-43492374(+) ATAAGGAAATGT >mm10_chr9:43492578-43492589(-)::chr9:43492577-43492589(-) CCAAGGAAGGAA >mm10_chr9:43501713-43501724(+)::chr9:43501712-43501724(+) TGCAGGAAGTAA >mm10_chr9:43600153-43600164(+)::chr9:43600152-43600164(+) CCCAGGAAGTGG >mm10_chr9:43662261-43662272(-)::chr9:43662260-43662272(-) AAAGGGAAGTTC >mm10_chr9:43662282-43662293(-)::chr9:43662281-43662293(-) AGGAGGAAGTGT >mm10_chr9:43674527-43674538(+)::chr9:43674526-43674538(+) GTCAGGAAATGA >mm10_chr9:43674538-43674549(-)::chr9:43674537-43674549(-) AGCAGGAAGACT >mm10_chr9:43698407-43698418(-)::chr9:43698406-43698418(-) AACAGGAAGCGT >mm10_chr9:43721070-43721081(+)::chr9:43721069-43721081(+) AGATGGAAGTGA >mm10_chr9:43784191-43784202(+)::chr9:43784190-43784202(+) GGAAGGAAGTCC >mm10_chr9:44013051-44013062(+)::chr9:44013050-44013062(+) AGAAGGAAATGG >mm10_chr9:44089054-44089065(+)::chr9:44089053-44089065(+) GGAAGGAAGTGT >mm10_chr9:44089358-44089369(+)::chr9:44089357-44089369(+) AGCAGGAAGCAC >mm10_chr9:44089374-44089385(+)::chr9:44089373-44089385(+) AAAAGGAAGTTG >mm10_chr9:44089417-44089428(-)::chr9:44089416-44089428(-) AGGAGGAAGATC >mm10_chr9:44133132-44133143(+)::chr9:44133131-44133143(+) AAGAGGAAGAAT >mm10_chr9:44207656-44207667(+)::chr9:44207655-44207667(+) aaaAGGAAGAGG >mm10_chr9:44207662-44207673(+)::chr9:44207661-44207673(+) AAGAGGAAGAGC >mm10_chr9:44207703-44207714(+)::chr9:44207702-44207714(+) AAAAGGAAGCAC >mm10_chr9:44207977-44207988(+)::chr9:44207976-44207988(+) ggcaggaagaag >mm10_chr9:44207984-44207995(+)::chr9:44207983-44207995(+) agaagcaagtgg >mm10_chr9:44230318-44230329(+)::chr9:44230317-44230329(+) ATCAGGAAGATG >mm10_chr9:44255089-44255100(-)::chr9:44255088-44255100(-) ACAAGGAAGACA >mm10_chr9:44255111-44255122(-)::chr9:44255110-44255122(-) aaacggaaataa >mm10_chr9:44266860-44266871(-)::chr9:44266859-44266871(-) AGCAGGAAGTTC >mm10_chr9:44266876-44266887(+)::chr9:44266875-44266887(+) ACAAGGATGTGC >mm10_chr9:44267120-44267131(-)::chr9:44267119-44267131(-) TTGAGGAAGTAG >mm10_chr9:44268617-44268628(-)::chr9:44268616-44268628(-) AGAAGGAAGTTA >mm10_chr9:44268636-44268647(-)::chr9:44268635-44268647(-) GACAGGAAGAAC >mm10_chr9:44268675-44268686(-)::chr9:44268674-44268686(-) ACACGGAAGTCC >mm10_chr9:44274687-44274698(+)::chr9:44274686-44274698(+) GCAAGGAAGAAA >mm10_chr9:44274708-44274719(+)::chr9:44274707-44274719(+) ACCAGGAAGTCC >mm10_chr9:44275559-44275570(-)::chr9:44275558-44275570(-) GGGAGGAAGGAG >mm10_chr9:44305767-44305778(+)::chr9:44305766-44305778(+) ACACGGAAGAGG >mm10_chr9:44311205-44311216(-)::chr9:44311204-44311216(-) AGAAGGAAGCTG >mm10_chr9:44319251-44319262(+)::chr9:44319250-44319262(+) AGGCGGAAGTGC >mm10_chr9:44326561-44326572(+)::chr9:44326560-44326572(+) ACGAGGAAGCGT >mm10_chr9:44327516-44327527(-)::chr9:44327515-44327527(-) AGGAGGAAGAAG >mm10_chr9:44327582-44327593(-)::chr9:44327581-44327593(-) ATAAGGAAAACA >mm10_chr9:44380459-44380470(-)::chr9:44380458-44380470(-) AGAAGGAAACAG >mm10_chr9:44391523-44391534(-)::chr9:44391522-44391534(-) aggaggaaggac >mm10_chr9:44431205-44431216(-)::chr9:44431204-44431216(-) ACAAGGAAGCTC >mm10_chr9:44461865-44461876(+)::chr9:44461864-44461876(+) GCAAGGAACTAA >mm10_chr9:44468281-44468292(-)::chr9:44468280-44468292(-) AGCAGGAAGAGG >mm10_chr9:44468313-44468324(-)::chr9:44468312-44468324(-) GAGAGGAAGGGA >mm10_chr9:44477527-44477538(+)::chr9:44477526-44477538(+) AGGCGGAAGTGA >mm10_chr9:44484322-44484333(-)::chr9:44484321-44484333(-) GGAAGGAAGCTG >mm10_chr9:44484326-44484337(-)::chr9:44484325-44484337(-) GGAAGGAAGGAA >mm10_chr9:44484349-44484360(-)::chr9:44484348-44484360(-) GGAAGGTAGTGT >mm10_chr9:44484353-44484364(-)::chr9:44484352-44484364(-) GGGAGGAAGGTA >mm10_chr9:44485768-44485779(-)::chr9:44485767-44485779(-) ACAAGGAAGCAA >mm10_chr9:44487069-44487080(-)::chr9:44487068-44487080(-) AGGAGGAAGAAA >mm10_chr9:44510190-44510201(-)::chr9:44510189-44510201(-) GACGGGAAGGCG >mm10_chr9:44513245-44513256(+)::chr9:44513244-44513256(+) TGAGGGAAGTAG >mm10_chr9:44518354-44518365(+)::chr9:44518353-44518365(+) AGAAGTAAGCGA >mm10_chr9:44523960-44523971(+)::chr9:44523959-44523971(+) GGCAGGAAGTGC >mm10_chr9:44571512-44571523(-)::chr9:44571511-44571523(-) CTAAGGAAGCGG >mm10_chr9:44575233-44575244(-)::chr9:44575232-44575244(-) AGCAGGAAATGA >mm10_chr9:44576475-44576486(-)::chr9:44576474-44576486(-) CTCAGGAAGTGA >mm10_chr9:44576549-44576560(-)::chr9:44576548-44576560(-) ACGAGGAAGGGC >mm10_chr9:44579795-44579806(-)::chr9:44579794-44579806(-) aagaggaagaag >mm10_chr9:44579801-44579812(-)::chr9:44579800-44579812(-) aagaggaagagg >mm10_chr9:44579807-44579818(-)::chr9:44579806-44579818(-) aagaggaagagg >mm10_chr9:44588842-44588853(-)::chr9:44588841-44588853(-) TATAGGAAGGGA >mm10_chr9:44692469-44692480(-)::chr9:44692468-44692480(-) AGCAGGAAGCTG >mm10_chr9:44692476-44692487(-)::chr9:44692475-44692487(-) AAAAGGAAGCAG >mm10_chr9:44721498-44721509(+)::chr9:44721497-44721509(+) aagaggaagtga >mm10_chr9:44723231-44723242(+)::chr9:44723230-44723242(+) ACGAGGAAGCTG >mm10_chr9:44727507-44727518(-)::chr9:44727506-44727518(-) AGGAGGAAGCAC >mm10_chr9:44727539-44727550(+)::chr9:44727538-44727550(+) AGCAGGAAGGCA >mm10_chr9:44733020-44733031(-)::chr9:44733019-44733031(-) GCAGGGAAGACG >mm10_chr9:44733044-44733055(+)::chr9:44733043-44733055(+) TCGAGGAAGGGC >mm10_chr9:44737759-44737770(+)::chr9:44737758-44737770(+) gcagggaagttc >mm10_chr9:44737772-44737783(+)::chr9:44737771-44737783(+) ttaaggaaggta >mm10_chr9:44835009-44835020(+)::chr9:44835008-44835020(+) AGGAGGAAGGAG >mm10_chr9:44835016-44835027(+)::chr9:44835015-44835027(+) AGGAGGAAGGAT >mm10_chr9:44852871-44852882(+)::chr9:44852870-44852882(+) AGGAGGAAGCCA >mm10_chr9:44863212-44863223(+)::chr9:44863211-44863223(+) GACAGGAAAtgc >mm10_chr9:44866030-44866041(+)::chr9:44866029-44866041(+) GCCAGGAAGAGT >mm10_chr9:44866985-44866996(+)::chr9:44866984-44866996(+) AAGAGGAAGTCA >mm10_chr9:44890350-44890361(-)::chr9:44890349-44890361(-) acaaggaagtca >mm10_chr9:44899255-44899266(-)::chr9:44899254-44899266(-) AAAAGGAAGAAT >mm10_chr9:44899883-44899894(+)::chr9:44899882-44899894(+) AGAAGGAAGTCT >mm10_chr9:44921289-44921300(+)::chr9:44921288-44921300(+) AAGAGGAAGAAA >mm10_chr9:44945212-44945223(-)::chr9:44945211-44945223(-) ggaaGGAAGGAC >mm10_chr9:44945216-44945227(-)::chr9:44945215-44945227(-) cgaaggaaGGAA >mm10_chr9:44965542-44965553(-)::chr9:44965541-44965553(-) AGTCGGAAGTAA >mm10_chr9:45024415-45024426(+)::chr9:45024414-45024426(+) CAAGGGAAGTGG >mm10_chr9:45027675-45027686(+)::chr9:45027674-45027686(+) ATAAGGAAGGTG >mm10_chr9:45030490-45030501(+)::chr9:45030489-45030501(+) AGAAGGAACTAG >mm10_chr9:45030534-45030545(-)::chr9:45030533-45030545(-) ATAAGGAAAGTA >mm10_chr9:45033366-45033377(-)::chr9:45033365-45033377(-) aggaggaagtat >mm10_chr9:45036487-45036498(-)::chr9:45036486-45036498(-) TGAAGGAAGTTA >mm10_chr9:45036527-45036538(-)::chr9:45036526-45036538(-) TCAAGGAAGAGA >mm10_chr9:45055170-45055181(-)::chr9:45055169-45055181(-) TGCCGGAAGTGA >mm10_chr9:45055436-45055447(+)::chr9:45055435-45055447(+) Cgaaggaaggaa >mm10_chr9:45055440-45055451(+)::chr9:45055439-45055451(+) ggaaggaaggaa >mm10_chr9:45055444-45055455(+)::chr9:45055443-45055455(+) ggaaggaaggaa >mm10_chr9:45055448-45055459(+)::chr9:45055447-45055459(+) ggaaggaaggga >mm10_chr9:45060655-45060666(+)::chr9:45060654-45060666(+) tacaggaagaac >mm10_chr9:45060685-45060696(+)::chr9:45060684-45060696(+) agtaggaagcAG >mm10_chr9:45061208-45061219(+)::chr9:45061207-45061219(+) agaaggaagaag >mm10_chr9:45061238-45061249(+)::chr9:45061237-45061249(+) aggaggaagaag >mm10_chr9:45084791-45084802(-)::chr9:45084790-45084802(-) AGGAGGAAGTAA >mm10_chr9:45092407-45092418(-)::chr9:45092406-45092418(-) TACAGGAAGTGA >mm10_chr9:45092465-45092476(-)::chr9:45092464-45092476(-) AGTAGGAAGAAA >mm10_chr9:45116949-45116960(+)::chr9:45116948-45116960(+) ATGAGGAAGTCA >mm10_chr9:45188868-45188879(-)::chr9:45188867-45188879(-) AGAAGGAAGAGC >mm10_chr9:45188899-45188910(+)::chr9:45188898-45188910(+) GGAAGGAACTTA >mm10_chr9:45202526-45202537(-)::chr9:45202525-45202537(-) TCCAGGAAGTGA >mm10_chr9:45203977-45203988(-)::chr9:45203976-45203988(-) AAGAGGAAGAAG >mm10_chr9:45203983-45203994(-)::chr9:45203982-45203994(-) GGAAGGAAGAGG >mm10_chr9:45205199-45205210(-)::chr9:45205198-45205210(-) ACAAGGAAGGCC >mm10_chr9:45205870-45205881(-)::chr9:45205869-45205881(-) AGCAGGAAGCCA >mm10_chr9:45210716-45210727(+)::chr9:45210715-45210727(+) GTGAGGAAGGAC >mm10_chr9:45220646-45220657(+)::chr9:45220645-45220657(+) TACAGGAAGTCT >mm10_chr9:45220696-45220707(-)::chr9:45220695-45220707(-) AGCAGGAAGTTA >mm10_chr9:45300618-45300629(-)::chr9:45300617-45300629(-) CCGGGGAAGTGA >mm10_chr9:45301162-45301173(+)::chr9:45301161-45301173(+) aggaggaagaag >mm10_chr9:45301184-45301195(+)::chr9:45301183-45301195(+) aggaggaagagg >mm10_chr9:45301190-45301201(+)::chr9:45301189-45301201(+) aagaggaagaag >mm10_chr9:45312003-45312014(-)::chr9:45312002-45312014(-) ACAAGGAAGCAA >mm10_chr9:45315358-45315369(-)::chr9:45315357-45315369(-) ggcaggaagatt >mm10_chr9:45315371-45315382(-)::chr9:45315370-45315382(-) tgagggaagtag >mm10_chr9:45317786-45317797(+)::chr9:45317785-45317797(+) GAGAGGAAGAGA >mm10_chr9:45320896-45320907(+)::chr9:45320895-45320907(+) aggaggaagagg >mm10_chr9:45320909-45320920(+)::chr9:45320908-45320920(+) gagaggaagggg >mm10_chr9:45349540-45349551(+)::chr9:45349539-45349551(+) acaaggaaacaa >mm10_chr9:45349548-45349559(+)::chr9:45349547-45349559(+) acaaggaagtgc >mm10_chr9:45362216-45362227(+)::chr9:45362215-45362227(+) acagggaaggta >mm10_chr9:45368456-45368467(+)::chr9:45368455-45368467(+) GCCCGGAAGTAG >mm10_chr9:45749357-45749368(-)::chr9:45749356-45749368(-) AGCAGGAAGTGC >mm10_chr9:45755283-45755294(+)::chr9:45755282-45755294(+) ATCAGGAAGAAA >mm10_chr9:45782025-45782036(-)::chr9:45782024-45782036(-) aggaggaagaaa >mm10_chr9:45782034-45782045(-)::chr9:45782033-45782045(-) aagaggaagagg >mm10_chr9:45782040-45782051(-)::chr9:45782039-45782051(-) gggaggaagagg >mm10_chr9:45782064-45782075(-)::chr9:45782063-45782075(-) agaaggaagacg >mm10_chr9:45782076-45782087(-)::chr9:45782075-45782087(-) gagaggaagagg >mm10_chr9:45784369-45784380(+)::chr9:45784368-45784380(+) GACAGGAAGAAA >mm10_chr9:45795864-45795875(+)::chr9:45795863-45795875(+) agaaggaagcac >mm10_chr9:45795888-45795899(+)::chr9:45795887-45795899(+) aacaggaagacc >mm10_chr9:45815888-45815899(+)::chr9:45815887-45815899(+) AACAGGAAGTCA >mm10_chr9:45825862-45825873(-)::chr9:45825861-45825873(-) tggaggaagaat >mm10_chr9:45825880-45825891(-)::chr9:45825879-45825891(-) cggaggaagagg >mm10_chr9:45825901-45825912(-)::chr9:45825900-45825912(-) tggaggaagagg >mm10_chr9:45825919-45825930(-)::chr9:45825918-45825930(-) tggaggaagagg >mm10_chr9:45825940-45825951(-)::chr9:45825939-45825951(-) gataggaagagg >mm10_chr9:45826463-45826474(+)::chr9:45826462-45826474(+) AAGAGGAAGGAA >mm10_chr9:45848449-45848460(-)::chr9:45848448-45848460(-) GGGAGGAAGACC >mm10_chr9:45848463-45848474(+)::chr9:45848462-45848474(+) GGGAGGAAGTTT >mm10_chr9:45851769-45851780(+)::chr9:45851768-45851780(+) GCAaggaagaga >mm10_chr9:45851778-45851789(+)::chr9:45851777-45851789(+) agaaggaagaaa >mm10_chr9:45851790-45851801(+)::chr9:45851789-45851801(+) agaaggaagagg >mm10_chr9:45861634-45861645(-)::chr9:45861633-45861645(-) GTAAGGAAGCCC >mm10_chr9:45861642-45861653(-)::chr9:45861641-45861653(-) AGAAGAAAGTAA >mm10_chr9:45862013-45862024(-)::chr9:45862012-45862024(-) GCAAGGAAGAGG >mm10_chr9:45907686-45907697(+)::chr9:45907685-45907697(+) AATAGGAAATAT >mm10_chr9:45916724-45916735(+)::chr9:45916723-45916735(+) GACAGGAAGTTG >mm10_chr9:45917991-45918002(+)::chr9:45917990-45918002(+) TGGAGGAAGCAA >mm10_chr9:45919988-45919999(-)::chr9:45919987-45919999(-) TGAAGGAAGGGG >mm10_chr9:45926598-45926609(-)::chr9:45926597-45926609(-) ATGAGGAAGTTT >mm10_chr9:45930597-45930608(-)::chr9:45930596-45930608(-) GGAAGGGAGTCG >mm10_chr9:45930601-45930612(-)::chr9:45930600-45930612(-) GAAAGGAAGGGA >mm10_chr9:45947436-45947447(+)::chr9:45947435-45947447(+) TCAAGGAAGATG >mm10_chr9:45953625-45953636(-)::chr9:45953624-45953636(-) ATGAGGAAGAAG >mm10_chr9:45953956-45953967(-)::chr9:45953955-45953967(-) TCCCGGAAGTGG >mm10_chr9:45954006-45954017(+)::chr9:45954005-45954017(+) TCAAGGAAATGG >mm10_chr9:45959832-45959843(+)::chr9:45959831-45959843(+) TAAAGGAAGCAC >mm10_chr9:45961970-45961981(+)::chr9:45961969-45961981(+) AAGAGGAAGATG >mm10_chr9:46003947-46003958(+)::chr9:46003946-46003958(+) AAAAGGAAGCAA >mm10_chr9:46050494-46050505(-)::chr9:46050493-46050505(-) aggaggaagaag >mm10_chr9:46050926-46050937(-)::chr9:46050925-46050937(-) ggaaggaaggcg >mm10_chr9:46050930-46050941(-)::chr9:46050929-46050941(-) acaaggaaggaa >mm10_chr9:46050952-46050963(-)::chr9:46050951-46050963(-) aacaggaaatgc >mm10_chr9:46069746-46069757(+)::chr9:46069745-46069757(+) GGCAGGAAGGGG >mm10_chr9:46075696-46075707(+)::chr9:46075695-46075707(+) TCCAGGAAATAG >mm10_chr9:46167276-46167287(+)::chr9:46167275-46167287(+) cgaaggaagaaa >mm10_chr9:46167284-46167295(+)::chr9:46167283-46167295(+) gaaaggaaggaa >mm10_chr9:46167288-46167299(+)::chr9:46167287-46167299(+) ggaaggaaggaa >mm10_chr9:46167292-46167303(+)::chr9:46167291-46167303(+) ggaaggaagagg >mm10_chr9:46167298-46167309(+)::chr9:46167297-46167309(+) aagaggaaggaa >mm10_chr9:46167302-46167313(+)::chr9:46167301-46167313(+) ggaaggaaggga >mm10_chr9:46167314-46167325(+)::chr9:46167313-46167325(+) agaaggaaggaa >mm10_chr9:46167318-46167329(+)::chr9:46167317-46167329(+) ggaaggaagggg >mm10_chr9:46229945-46229956(+)::chr9:46229944-46229956(+) TAGAGGAAGTGA >mm10_chr9:46273034-46273045(+)::chr9:46273033-46273045(+) TTACGGAAGTGC >mm10_chr9:46273054-46273065(+)::chr9:46273053-46273065(+) AACCGGAAGTCT >mm10_chr9:46329445-46329456(-)::chr9:46329444-46329456(-) ACCAGGAAGGAG >mm10_chr9:46353718-46353729(+)::chr9:46353717-46353729(+) TCAAGGAAGTAA >mm10_chr9:46446335-46446346(-)::chr9:46446334-46446346(-) AGGAGGAAGGAC >mm10_chr9:46446350-46446361(-)::chr9:46446349-46446361(-) AGGAGGAAGGAG >mm10_chr9:46550875-46550886(+)::chr9:46550874-46550886(+) ACCGGGAAGTGG >mm10_chr9:46562558-46562569(+)::chr9:46562557-46562569(+) tccgggaagtca >mm10_chr9:46619191-46619202(+)::chr9:46619190-46619202(+) ACCAGGAAGCAG >mm10_chr9:46619235-46619246(-)::chr9:46619234-46619246(-) GAAAGGATGTAG >mm10_chr9:46629399-46629410(+)::chr9:46629398-46629410(+) agcaggaagact >mm10_chr9:46714532-46714543(-)::chr9:46714531-46714543(-) GGAAGGAAGCAG >mm10_chr9:46714613-46714624(-)::chr9:46714612-46714624(-) TGAAGGAAATCT >mm10_chr9:46739449-46739460(-)::chr9:46739448-46739460(-) AGCAGGAAGACG >mm10_chr9:46739456-46739467(-)::chr9:46739455-46739467(-) GACAGGAAGCAG >mm10_chr9:46765939-46765950(-)::chr9:46765938-46765950(-) ATGAGGAAGTAG >mm10_chr9:46896721-46896732(+)::chr9:46896720-46896732(+) AAGAGGAAGCAG >mm10_chr9:46933462-46933473(-)::chr9:46933461-46933473(-) TGAAGGAAGTAA >mm10_chr9:47116380-47116391(+)::chr9:47116379-47116391(+) GGTAGGAAGTGC >mm10_chr9:47123380-47123391(-)::chr9:47123379-47123391(-) AGGAGGAAGAGC >mm10_chr9:47123755-47123766(+)::chr9:47123754-47123766(+) aggaggaaggag >mm10_chr9:47123791-47123802(+)::chr9:47123790-47123802(+) aaaaggaagagg >mm10_chr9:47168087-47168098(+)::chr9:47168086-47168098(+) GACAGGAAGAGG >mm10_chr9:47190430-47190441(-)::chr9:47190429-47190441(-) acgaggaagtta >mm10_chr9:47190445-47190456(-)::chr9:47190444-47190456(-) tggaggaaggca >mm10_chr9:47193413-47193424(+)::chr9:47193412-47193424(+) acaaggaaaaag >mm10_chr9:47194593-47194604(-)::chr9:47194592-47194604(-) tcagggaagtgc >mm10_chr9:47215449-47215460(+)::chr9:47215448-47215460(+) AACAGGAAGGAA >mm10_chr9:47215472-47215483(+)::chr9:47215471-47215483(+) GACAGGAAGGAA >mm10_chr9:47215476-47215487(+)::chr9:47215475-47215487(+) GGAAGGAAGCTT >mm10_chr9:47215518-47215529(+)::chr9:47215517-47215529(+) AACAGGAAGGAT >mm10_chr9:47215802-47215813(+)::chr9:47215801-47215813(+) AGAAGGAAGTCA >mm10_chr9:47215844-47215855(+)::chr9:47215843-47215855(+) GACAGGAAGGAA >mm10_chr9:47215848-47215859(+)::chr9:47215847-47215859(+) GGAAGGAAGCCT >mm10_chr9:47235525-47235536(+)::chr9:47235524-47235536(+) ATAAGGAAGTCT >mm10_chr9:47235978-47235989(+)::chr9:47235977-47235989(+) ACAAGGAAGGGA >mm10_chr9:47241169-47241180(-)::chr9:47241168-47241180(-) ccaaggaaggca >mm10_chr9:47249270-47249281(+)::chr9:47249269-47249281(+) GGCAGGAAGGAA >mm10_chr9:47249274-47249285(+)::chr9:47249273-47249285(+) GGAAGGAAGCTG >mm10_chr9:47278812-47278823(-)::chr9:47278811-47278823(-) TGGAGGAAGTTA >mm10_chr9:47333043-47333054(+)::chr9:47333042-47333054(+) AGAAGGAAGGAT >mm10_chr9:47427893-47427904(+)::chr9:47427892-47427904(+) CCAAGGAAGCTA >mm10_chr9:47427929-47427940(-)::chr9:47427928-47427940(-) GGAAGGAAGGTG >mm10_chr9:47471230-47471241(-)::chr9:47471229-47471241(-) GGAAGGAAATCA >mm10_chr9:47471251-47471262(-)::chr9:47471250-47471262(-) TGGAGGAAGGAG >mm10_chr9:47551916-47551927(+)::chr9:47551915-47551927(+) AGAAGGAAGTTG >mm10_chr9:47566377-47566388(-)::chr9:47566376-47566388(-) CGAAGGAAAAAA >mm10_chr9:47614769-47614780(-)::chr9:47614768-47614780(-) CAAAGGAAGCAT >mm10_chr9:47628229-47628240(-)::chr9:47628228-47628240(-) AGGAGGAAGCAC >mm10_chr9:47634408-47634419(-)::chr9:47634407-47634419(-) AGAAGGAACTAG >mm10_chr9:47634415-47634426(-)::chr9:47634414-47634426(-) AAAAGGAAGAAG >mm10_chr9:47634870-47634881(+)::chr9:47634869-47634881(+) ACCAGGAAGCAG >mm10_chr9:47642182-47642193(+)::chr9:47642181-47642193(+) AACAGGAAGTCA >mm10_chr9:47671272-47671283(-)::chr9:47671271-47671283(-) aagaggaagCAG >mm10_chr9:47671278-47671289(-)::chr9:47671277-47671289(-) aagaggaagagg >mm10_chr9:47671284-47671295(-)::chr9:47671283-47671295(-) aagaggaagagg >mm10_chr9:47671290-47671301(-)::chr9:47671289-47671301(-) aagaggaagagg >mm10_chr9:47671296-47671307(-)::chr9:47671295-47671307(-) aagaggaagagg >mm10_chr9:47671302-47671313(-)::chr9:47671301-47671313(-) aagaggaagagg >mm10_chr9:47671308-47671319(-)::chr9:47671307-47671319(-) aggaggaagagg >mm10_chr9:47703715-47703726(-)::chr9:47703714-47703726(-) ATAAGGAAGCCC >mm10_chr9:47741882-47741893(-)::chr9:47741881-47741893(-) gcaaggaagcca >mm10_chr9:47745929-47745940(-)::chr9:47745928-47745940(-) AGCAGGAAGCAG >mm10_chr9:47753269-47753280(-)::chr9:47753268-47753280(-) ACCAGGAAGATG >mm10_chr9:47947121-47947132(-)::chr9:47947120-47947132(-) TTGAGGAAGTCC >mm10_chr9:47949118-47949129(-)::chr9:47949117-47949129(-) ATAAGGAAGGGA >mm10_chr9:47967734-47967745(-)::chr9:47967733-47967745(-) actaggaagtgt >mm10_chr9:47967741-47967752(-)::chr9:47967740-47967752(-) accaggaactag >mm10_chr9:47986114-47986125(+)::chr9:47986113-47986125(+) AGAAGGAAGGAG >mm10_chr9:48077038-48077049(+)::chr9:48077037-48077049(+) TCAAGGAAGACT >mm10_chr9:48137703-48137714(-)::chr9:48137702-48137714(-) ATAAGGAAGGAG >mm10_chr9:48182324-48182335(-)::chr9:48182323-48182335(-) GGCAGGAAGACA >mm10_chr9:48182368-48182379(-)::chr9:48182367-48182379(-) ATAAGGAAGAAA >mm10_chr9:48200365-48200376(-)::chr9:48200364-48200376(-) GAAAGGAAACGC >mm10_chr9:48254355-48254366(-)::chr9:48254354-48254366(-) AGAAGGAAGAGG >mm10_chr9:48290346-48290357(+)::chr9:48290345-48290357(+) ggcaggaagagg >mm10_chr9:48293995-48294006(+)::chr9:48293994-48294006(+) CCAAGGAAGCAG >mm10_chr9:48331322-48331333(+)::chr9:48331321-48331333(+) TAAAGGAAGGGA >mm10_chr9:48406030-48406041(+)::chr9:48406029-48406041(+) TCAAGGAAGAAT >mm10_chr9:48418640-48418651(+)::chr9:48418639-48418651(+) ACAAGGAAGACA >mm10_chr9:48494878-48494889(+)::chr9:48494877-48494889(+) ACACGGAAGTTA >mm10_chr9:48517882-48517893(+)::chr9:48517881-48517893(+) AGCAGGAAGTTG >mm10_chr9:48585916-48585927(+)::chr9:48585915-48585927(+) AAAAGGAAGAGA >mm10_chr9:48586456-48586467(-)::chr9:48586455-48586467(-) AAAAGGAATTTA >mm10_chr9:48610023-48610034(+)::chr9:48610022-48610034(+) acccggaagtat >mm10_chr9:48618733-48618744(-)::chr9:48618732-48618744(-) AAGAGGAAGGGT >mm10_chr9:48618739-48618750(-)::chr9:48618738-48618750(-) ATCAGGAAGAGG >mm10_chr9:48622868-48622879(-)::chr9:48622867-48622879(-) aataggaagcgt >mm10_chr9:48695006-48695017(-)::chr9:48695005-48695017(-) TCCAGGAAGTCC >mm10_chr9:48707993-48708004(-)::chr9:48707992-48708004(-) TGCAGGAAGGTA >mm10_chr9:48709795-48709806(-)::chr9:48709794-48709806(-) ATGAGGAAATAG >mm10_chr9:48713496-48713507(+)::chr9:48713495-48713507(+) AGAAGGAAGGCT >mm10_chr9:48713506-48713517(-)::chr9:48713505-48713517(-) AGCAGGAAGCAG >mm10_chr9:48721318-48721329(+)::chr9:48721317-48721329(+) AGCAGGAAGAAA >mm10_chr9:48722652-48722663(-)::chr9:48722651-48722663(-) AAGAGGAAATGG >mm10_chr9:48734072-48734083(-)::chr9:48734071-48734083(-) CAAAGGAAGAAA >mm10_chr9:48734115-48734126(-)::chr9:48734114-48734126(-) AAAAGGAAGGGA >mm10_chr9:48740041-48740052(+)::chr9:48740040-48740052(+) ATAGGGAAGTga >mm10_chr9:48740058-48740069(+)::chr9:48740057-48740069(+) aggaggaagagg >mm10_chr9:48745116-48745127(-)::chr9:48745115-48745127(-) AAGAGGAAGGCA >mm10_chr9:48761258-48761269(+)::chr9:48761257-48761269(+) GGCAGGAAGTAC >mm10_chr9:48771704-48771715(-)::chr9:48771703-48771715(-) AGAAGGAAATAA >mm10_chr9:48771714-48771725(-)::chr9:48771713-48771725(-) AAGAGGAAGCAG >mm10_chr9:48793011-48793022(+)::chr9:48793010-48793022(+) aaaaggaagacg >mm10_chr9:48793039-48793050(+)::chr9:48793038-48793050(+) aggaggaaggaa >mm10_chr9:48793051-48793062(+)::chr9:48793050-48793062(+) aggaggaagagg >mm10_chr9:48793057-48793068(+)::chr9:48793056-48793068(+) aagaggaagagg >mm10_chr9:48917394-48917405(+)::chr9:48917393-48917405(+) AATAGGAAGACA >mm10_chr9:48934346-48934357(+)::chr9:48934345-48934357(+) AGAAGGAAACAG >mm10_chr9:48934373-48934384(-)::chr9:48934372-48934384(-) AACAGGAAGTGT >mm10_chr9:48984930-48984941(-)::chr9:48984929-48984941(-) CCCAGGAAGTGG >mm10_chr9:49010032-49010043(-)::chr9:49010031-49010043(-) AGGAGGAAGTGG >mm10_chr9:49020231-49020242(-)::chr9:49020230-49020242(-) tggaggaaggtg >mm10_chr9:49020249-49020260(-)::chr9:49020248-49020260(-) gccaggaaggtg >mm10_chr9:49020268-49020279(-)::chr9:49020267-49020279(-) TCCAGGAAGTTA >mm10_chr9:49122740-49122751(-)::chr9:49122739-49122751(-) aggaggaaggag >mm10_chr9:49122747-49122758(-)::chr9:49122746-49122758(-) aggaggaaggag >mm10_chr9:49122754-49122765(-)::chr9:49122753-49122765(-) aggaggaaggag >mm10_chr9:49275982-49275993(-)::chr9:49275981-49275993(-) agaaggaaacat >mm10_chr9:49417242-49417253(+)::chr9:49417241-49417253(+) GGAAGGAAGGCA >mm10_chr9:49540568-49540579(+)::chr9:49540567-49540579(+) AACAGGAAGTCT >mm10_chr9:49540619-49540630(-)::chr9:49540618-49540630(-) ATCAGGAAGTGA >mm10_chr9:49540630-49540641(-)::chr9:49540629-49540641(-) TATAGGAAGTAA >mm10_chr9:49854334-49854345(+)::chr9:49854333-49854345(+) TACAGGAAGTAC >mm10_chr9:49854381-49854392(-)::chr9:49854380-49854392(-) AGGAGGAAGTAC >mm10_chr9:49919299-49919310(-)::chr9:49919298-49919310(-) TTAGGGAAGTTG >mm10_chr9:49981076-49981087(-)::chr9:49981075-49981087(-) GGAAGGAAGGAG >mm10_chr9:49981080-49981091(-)::chr9:49981079-49981091(-) ATGAGGAAGGAA >mm10_chr9:49990111-49990122(+)::chr9:49990110-49990122(+) AGGAGGAAGGAG >mm10_chr9:50038566-50038577(+)::chr9:50038565-50038577(+) ATTAGGAAGGAG >mm10_chr9:50038583-50038594(+)::chr9:50038582-50038594(+) ACAAGGAagaag >mm10_chr9:50038596-50038607(+)::chr9:50038595-50038607(+) aggaggaagggg >mm10_chr9:50042496-50042507(+)::chr9:50042495-50042507(+) GCCAGGAAGAGA >mm10_chr9:50091091-50091102(-)::chr9:50091090-50091102(-) AGAAGGAAGCTC >mm10_chr9:50091108-50091119(+)::chr9:50091107-50091119(+) AGAAGGAAGGGG >mm10_chr9:50323995-50324006(-)::chr9:50323994-50324006(-) ggcaggaagttg >mm10_chr9:50324008-50324019(-)::chr9:50324007-50324019(-) tgaaggaagtca >mm10_chr9:50324440-50324451(+)::chr9:50324439-50324451(+) AGCAGGAAGTAT >mm10_chr9:50415033-50415044(-)::chr9:50415032-50415044(-) AGAAGGAAACAG >mm10_chr9:50462780-50462791(+)::chr9:50462779-50462791(+) agaaggaagaag >mm10_chr9:50462787-50462798(+)::chr9:50462786-50462798(+) agaaggaaagga >mm10_chr9:50462832-50462843(-)::chr9:50462831-50462843(-) accaggaagcag >mm10_chr9:50469884-50469895(+)::chr9:50469883-50469895(+) ACCAGGAAGCTA >mm10_chr9:50470519-50470530(+)::chr9:50470518-50470530(+) ACCAGGAAATGG >mm10_chr9:50477047-50477058(-)::chr9:50477046-50477058(-) atagggaagtgg >mm10_chr9:50484595-50484606(+)::chr9:50484594-50484606(+) aggaggaagagg >mm10_chr9:50488943-50488954(-)::chr9:50488942-50488954(-) agaaggaagtga >mm10_chr9:50488979-50488990(-)::chr9:50488978-50488990(-) agcaggaagctg >mm10_chr9:50488986-50488997(-)::chr9:50488985-50488997(-) tgcaggaagcag >mm10_chr9:50496806-50496817(+)::chr9:50496805-50496817(+) ACAAGGATGTCA >mm10_chr9:50496821-50496832(-)::chr9:50496820-50496832(-) TTGAGGAAGTAG >mm10_chr9:50497439-50497450(+)::chr9:50497438-50497450(+) ATGAGGAAGTCT >mm10_chr9:50507854-50507865(+)::chr9:50507853-50507865(+) CCAAGGAAATGG >mm10_chr9:50508480-50508491(-)::chr9:50508479-50508491(-) tacaggaagtac >mm10_chr9:50522808-50522819(+)::chr9:50522807-50522819(+) AGAAGGAAGAAA >mm10_chr9:50528441-50528452(-)::chr9:50528440-50528452(-) AAGAGGAAATGG >mm10_chr9:50530279-50530290(+)::chr9:50530278-50530290(+) aggaggaagagg >mm10_chr9:50530291-50530302(+)::chr9:50530290-50530302(+) aggaggaagaag >mm10_chr9:50530312-50530323(+)::chr9:50530311-50530323(+) aggaggaagagg >mm10_chr9:50530327-50530338(+)::chr9:50530326-50530338(+) aggaggaAGCCA >mm10_chr9:50533453-50533464(-)::chr9:50533452-50533464(-) GAGCGGAAGTAC >mm10_chr9:50533500-50533511(+)::chr9:50533499-50533511(+) AGGAGGAAGTTG >mm10_chr9:50565336-50565347(-)::chr9:50565335-50565347(-) ATGAGGAAGAAG >mm10_chr9:50604048-50604059(+)::chr9:50604047-50604059(+) ATGAGGAAGGAA >mm10_chr9:50617270-50617281(-)::chr9:50617269-50617281(-) GGAAGGAAGCCG >mm10_chr9:50617283-50617294(+)::chr9:50617282-50617294(+) GGCCGGAAGTCG >mm10_chr9:50652894-50652905(+)::chr9:50652893-50652905(+) agcaggaagagg >mm10_chr9:50652912-50652923(+)::chr9:50652911-50652923(+) aagaggaagagg >mm10_chr9:50653349-50653360(-)::chr9:50653348-50653360(-) AGGAGGAAGATA >mm10_chr9:50670563-50670574(+)::chr9:50670562-50670574(+) aggaggaagaga >mm10_chr9:50670576-50670587(+)::chr9:50670575-50670587(+) aggaggaaggag >mm10_chr9:50670583-50670594(+)::chr9:50670582-50670594(+) aggaggaagagg >mm10_chr9:50670595-50670606(+)::chr9:50670594-50670606(+) aggaggaagagg >mm10_chr9:50746528-50746539(-)::chr9:50746527-50746539(-) ACCAGGAAATGC >mm10_chr9:50746566-50746577(+)::chr9:50746565-50746577(+) CCCAGGAAGTCT >mm10_chr9:50751299-50751310(-)::chr9:50751298-50751310(-) AAGAGGAAGAGA >mm10_chr9:50751305-50751316(-)::chr9:50751304-50751316(-) CCGAGGAAGAGG >mm10_chr9:50751327-50751338(+)::chr9:50751326-50751338(+) AGCAGGAAGCAG >mm10_chr9:50775149-50775160(+)::chr9:50775148-50775160(+) TGGAGGAAGCAA >mm10_chr9:50775165-50775176(+)::chr9:50775164-50775176(+) TAAAGGAAGGAC >mm10_chr9:50776347-50776358(+)::chr9:50776346-50776358(+) AGCAGGAAGTGG >mm10_chr9:50776376-50776387(-)::chr9:50776375-50776387(-) ATAAGGAATTGC >mm10_chr9:50842834-50842845(+)::chr9:50842833-50842845(+) AGCAGGAAGAAA >mm10_chr9:50850918-50850929(+)::chr9:50850917-50850929(+) AAAAGGAAGTAA >mm10_chr9:50856973-50856984(+)::chr9:50856972-50856984(+) AGGAGGAAGAAC >mm10_chr9:50874039-50874050(-)::chr9:50874038-50874050(-) AGCAGGAAGTAG >mm10_chr9:50874365-50874376(-)::chr9:50874364-50874376(-) ACCAGGAAGGCA >mm10_chr9:50885463-50885474(+)::chr9:50885462-50885474(+) TGCAGGAAGGAG >mm10_chr9:50943414-50943425(-)::chr9:50943413-50943425(-) AGAAGGAAAGGG >mm10_chr9:50970592-50970603(+)::chr9:50970591-50970603(+) AGCAGGAAGAGC >mm10_chr9:50970636-50970647(-)::chr9:50970635-50970647(-) AAGAGGAAGTTA >mm10_chr9:50986807-50986818(-)::chr9:50986806-50986818(-) ATGAGGAAATAC >mm10_chr9:50986863-50986874(+)::chr9:50986862-50986874(+) ACAAAGAAGTGC >mm10_chr9:51030294-51030305(-)::chr9:51030293-51030305(-) aaaagcaagtga >mm10_chr9:51030315-51030326(-)::chr9:51030314-51030326(-) atgaggaaggag >mm10_chr9:51030364-51030375(-)::chr9:51030363-51030375(-) ttgaggaagtga >mm10_chr9:51032754-51032765(+)::chr9:51032753-51032765(+) AGAAGGAAGGAA >mm10_chr9:51032758-51032769(+)::chr9:51032757-51032769(+) GGAAGGAAGGTA >mm10_chr9:51036103-51036114(+)::chr9:51036102-51036114(+) AGGAGGAAGAGT >mm10_chr9:51036136-51036147(+)::chr9:51036135-51036147(+) TCAAGGAAGCAG >mm10_chr9:51042656-51042667(-)::chr9:51042655-51042667(-) GCGAGCAAGTAA >mm10_chr9:51075963-51075974(+)::chr9:51075962-51075974(+) TTCAGGAAGTGT >mm10_chr9:51075990-51076001(+)::chr9:51075989-51076001(+) TGCAGGAAGGGG >mm10_chr9:51146143-51146154(-)::chr9:51146142-51146154(-) AGCAGGAAGAAC >mm10_chr9:51146679-51146690(-)::chr9:51146678-51146690(-) agcaggaagccc >mm10_chr9:51165473-51165484(+)::chr9:51165472-51165484(+) TGGAGGAAGGGT >mm10_chr9:51181437-51181448(-)::chr9:51181436-51181448(-) ATGAGGAAGGAA >mm10_chr9:51181466-51181477(-)::chr9:51181465-51181477(-) TAAAGGAAGAGA >mm10_chr9:51183566-51183577(+)::chr9:51183565-51183577(+) GGCAGGAAGAGG >mm10_chr9:51183572-51183583(+)::chr9:51183571-51183583(+) AAGAGGAAGAGG >mm10_chr9:51183578-51183589(+)::chr9:51183577-51183589(+) AAGAGGAAGAGA >mm10_chr9:51201422-51201433(+)::chr9:51201421-51201433(+) AGCAGGAAGAAG >mm10_chr9:51201658-51201669(-)::chr9:51201657-51201669(-) ACCAGGAAATAG >mm10_chr9:51235101-51235112(-)::chr9:51235100-51235112(-) ATAAGGAAGTTC >mm10_chr9:51268670-51268681(+)::chr9:51268669-51268681(+) aggaggaagagg >mm10_chr9:51321212-51321223(+)::chr9:51321211-51321223(+) AAGAGGAAGTAA >mm10_chr9:51481100-51481111(+)::chr9:51481099-51481111(+) ACAAGGAAGAAT >mm10_chr9:51664669-51664680(+)::chr9:51664668-51664680(+) TGCAGGAAGATG >mm10_chr9:51723410-51723421(+)::chr9:51723409-51723421(+) GAGAGGAAGAGA >mm10_chr9:51766271-51766282(-)::chr9:51766270-51766282(-) TGGAGGAAGGGA >mm10_chr9:51777453-51777464(+)::chr9:51777452-51777464(+) AGGAGGAAGTAG >mm10_chr9:51781278-51781289(+)::chr9:51781277-51781289(+) AATAGGAAGTCC >mm10_chr9:51781308-51781319(+)::chr9:51781307-51781319(+) TGAAGGAAGGCC >mm10_chr9:51865555-51865566(-)::chr9:51865554-51865566(-) AGAAGGAAAAAT >mm10_chr9:51865693-51865704(+)::chr9:51865692-51865704(+) AGCAGGAAGTAG >mm10_chr9:51874473-51874484(-)::chr9:51874472-51874484(-) acagggaagtgc >mm10_chr9:51883894-51883905(+)::chr9:51883893-51883905(+) CAGAGGAAGTAC >mm10_chr9:51946911-51946922(-)::chr9:51946910-51946922(-) agtaggaagtga >mm10_chr9:51946918-51946929(-)::chr9:51946917-51946929(-) ggcaggaagtag >mm10_chr9:51952517-51952528(+)::chr9:51952516-51952528(+) TACAGGAAATGC >mm10_chr9:52014506-52014517(-)::chr9:52014505-52014517(-) AAGAGGAAATAG >mm10_chr9:52014529-52014540(-)::chr9:52014528-52014540(-) AGAAGGAAGATT >mm10_chr9:52057151-52057162(+)::chr9:52057150-52057162(+) GAAATGAAGTAG >mm10_chr9:52082501-52082512(+)::chr9:52082500-52082512(+) AAAAGGAAGAGG >mm10_chr9:52082507-52082518(+)::chr9:52082506-52082518(+) AAGAGGAAGCTA >mm10_chr9:52132523-52132534(-)::chr9:52132522-52132534(-) AAGAGGAAGTGG >mm10_chr9:52132559-52132570(-)::chr9:52132558-52132570(-) TCCAGGAAGTGT >mm10_chr9:52141428-52141439(-)::chr9:52141427-52141439(-) GTCAGGAAGTTG >mm10_chr9:52141442-52141453(-)::chr9:52141441-52141453(-) GCCAGGAAGTTG >mm10_chr9:52141505-52141516(-)::chr9:52141504-52141516(-) AGGAGGAAGAAG >mm10_chr9:52154486-52154497(-)::chr9:52154485-52154497(-) tgaaggaagcca >mm10_chr9:52168827-52168838(-)::chr9:52168826-52168838(-) CGAAGGAAGTCA >mm10_chr9:52200484-52200495(+)::chr9:52200483-52200495(+) TAAAGGAAATGC >mm10_chr9:52233578-52233589(+)::chr9:52233577-52233589(+) TCAAGGAAGTCA >mm10_chr9:52233642-52233653(+)::chr9:52233641-52233653(+) GGGAGGAAGAGG >mm10_chr9:52249251-52249262(-)::chr9:52249250-52249262(-) ATGAGGAAATGT >mm10_chr9:52259833-52259844(-)::chr9:52259832-52259844(-) AGAAGGAAAAGC >mm10_chr9:52434626-52434637(+)::chr9:52434625-52434637(+) AAAAGGAAATGT >mm10_chr9:53022657-53022668(+)::chr9:53022656-53022668(+) ACAAGGAAATCC >mm10_chr9:53099229-53099240(-)::chr9:53099228-53099240(-) ACAAGGAAGAGA >mm10_chr9:53114170-53114181(+)::chr9:53114169-53114181(+) AGTAGGAAGTAG >mm10_chr9:53114177-53114188(+)::chr9:53114176-53114188(+) AGTAGGAAGTGC >mm10_chr9:53137004-53137015(+)::chr9:53137003-53137015(+) ttaaggaagcga >mm10_chr9:53137055-53137066(-)::chr9:53137054-53137066(-) ACAAGGAAATTC >mm10_chr9:53159767-53159778(+)::chr9:53159766-53159778(+) AACAGGAAGCGG >mm10_chr9:53166073-53166084(+)::chr9:53166072-53166084(+) AGAAGGAAGAAG >mm10_chr9:53213143-53213154(+)::chr9:53213142-53213154(+) AGGAGGAAGAAG >mm10_chr9:53213150-53213161(+)::chr9:53213149-53213161(+) AGAAGGAAGGAA >mm10_chr9:53213154-53213165(+)::chr9:53213153-53213165(+) GGAAGGAAGTGC >mm10_chr9:53288707-53288718(+)::chr9:53288706-53288718(+) AGGAGGAAGTGC >mm10_chr9:53301773-53301784(+)::chr9:53301772-53301784(+) ACGAGGAAGAAG >mm10_chr9:53301784-53301795(+)::chr9:53301783-53301795(+) GCCAGGAAGATC >mm10_chr9:53330684-53330695(-)::chr9:53330683-53330695(-) acaaggaaGAGT >mm10_chr9:53330726-53330737(+)::chr9:53330725-53330737(+) ttaaggaaggga >mm10_chr9:53376192-53376203(+)::chr9:53376191-53376203(+) GGCAGGAAGTCA >mm10_chr9:53376223-53376234(+)::chr9:53376222-53376234(+) ATGAGGAAGGTT >mm10_chr9:53384644-53384655(-)::chr9:53384643-53384655(-) TCTAGGAAGTTT >mm10_chr9:53536646-53536657(-)::chr9:53536645-53536657(-) AGCGGGAAGGCG >mm10_chr9:53536653-53536664(-)::chr9:53536652-53536664(-) AGCCGGAAGCGG >mm10_chr9:53536700-53536711(+)::chr9:53536699-53536711(+) CAAAGGAAGAAG >mm10_chr9:53536924-53536935(+)::chr9:53536923-53536935(+) AGACGGAAATGA >mm10_chr9:53705797-53705808(+)::chr9:53705796-53705808(+) AGGAGGAAGTCG >mm10_chr9:53708898-53708909(-)::chr9:53708897-53708909(-) aggaggaagaCA >mm10_chr9:53709202-53709213(-)::chr9:53709201-53709213(-) aagaggaagaag >mm10_chr9:53709208-53709219(-)::chr9:53709207-53709219(-) aagaggaagagg >mm10_chr9:53709214-53709225(-)::chr9:53709213-53709225(-) aggaggaagagg >mm10_chr9:53709229-53709240(-)::chr9:53709228-53709240(-) gggaggaagagg >mm10_chr9:53996452-53996463(+)::chr9:53996451-53996463(+) acaagggagtta >mm10_chr9:54063871-54063882(+)::chr9:54063870-54063882(+) ACAAGGAAAGGA >mm10_chr9:54063876-54063887(+)::chr9:54063875-54063887(+) GAAAGGAACTTG >mm10_chr9:54080513-54080524(+)::chr9:54080512-54080524(+) gtaaggatgtgg >mm10_chr9:54080527-54080538(-)::chr9:54080526-54080538(-) atgaggaagttt >mm10_chr9:54246896-54246907(-)::chr9:54246895-54246907(-) TGAAGTAAGTGT >mm10_chr9:54283439-54283450(+)::chr9:54283438-54283450(+) AGGAGGAAATAG >mm10_chr9:54366037-54366048(+)::chr9:54366036-54366048(+) AACAGGAAGGAA >mm10_chr9:54366041-54366052(+)::chr9:54366040-54366052(+) GGAAGGAAAACG >mm10_chr9:54366067-54366078(-)::chr9:54366066-54366078(-) TGGAGGAAGAAA >mm10_chr9:54380961-54380972(-)::chr9:54380960-54380972(-) AACAGGAAGTTC >mm10_chr9:54380975-54380986(+)::chr9:54380974-54380986(+) ACAAGGAAATGC >mm10_chr9:54380998-54381009(+)::chr9:54380997-54381009(+) GACAGGAAGTGC >mm10_chr9:54567013-54567024(-)::chr9:54567012-54567024(-) AAAGGGAAGTGC >mm10_chr9:54586259-54586270(+)::chr9:54586258-54586270(+) GGGAGGAAGGGG >mm10_chr9:54645207-54645218(+)::chr9:54645206-54645218(+) AGGAGGAAGTCT >mm10_chr9:54707864-54707875(+)::chr9:54707863-54707875(+) ACAGGGAAGTGC >mm10_chr9:54822482-54822493(-)::chr9:54822481-54822493(-) ACCAGGAAGGCC >mm10_chr9:54842520-54842531(+)::chr9:54842519-54842531(+) GACAGGAAGTGC >mm10_chr9:54863759-54863770(-)::chr9:54863758-54863770(-) ggaaggaagCAG >mm10_chr9:54863763-54863774(-)::chr9:54863762-54863774(-) aggaggaaggaa >mm10_chr9:54863793-54863804(-)::chr9:54863792-54863804(-) gggaggaagacg >mm10_chr9:54950645-54950656(-)::chr9:54950644-54950656(-) GGAGGGAAGCGT >mm10_chr9:55044225-55044236(-)::chr9:55044224-55044236(-) ACAAGGAAGAGG >mm10_chr9:55044237-55044248(-)::chr9:55044236-55044248(-) GCCAGGAAGAGC >mm10_chr9:55044491-55044502(-)::chr9:55044490-55044502(-) ACCCGGAAGTGA >mm10_chr9:55108478-55108489(+)::chr9:55108477-55108489(+) CGAAGGAAAAGG >mm10_chr9:55117506-55117517(-)::chr9:55117505-55117517(-) tggaggaagtat >mm10_chr9:55122764-55122775(-)::chr9:55122763-55122775(-) AAGAGGAAGTAG >mm10_chr9:55189689-55189700(+)::chr9:55189688-55189700(+) TGTAGGAAGTTA >mm10_chr9:55216339-55216350(+)::chr9:55216338-55216350(+) tggaggaagttt >mm10_chr9:55332667-55332678(-)::chr9:55332666-55332678(-) GGAAGGAAATCT >mm10_chr9:55332671-55332682(-)::chr9:55332670-55332682(-) ATCAGGAAGGAA >mm10_chr9:55374804-55374815(+)::chr9:55374803-55374815(+) GAGAGGAAGGAA >mm10_chr9:55374808-55374819(+)::chr9:55374807-55374819(+) GGAAGGAAGGAG >mm10_chr9:55507417-55507428(-)::chr9:55507416-55507428(-) ggaaggaagccc >mm10_chr9:55507421-55507432(-)::chr9:55507420-55507432(-) tccaggaaggaa >mm10_chr9:55507475-55507486(-)::chr9:55507474-55507486(-) acaaggaagaag >mm10_chr9:55564061-55564072(-)::chr9:55564060-55564072(-) GAAAGGAAGAGA >mm10_chr9:55566271-55566282(+)::chr9:55566270-55566282(+) AGGAGGAAGAGA >mm10_chr9:55648060-55648071(+)::chr9:55648059-55648071(+) ATCAGGAAGAAG >mm10_chr9:55648088-55648099(+)::chr9:55648087-55648099(+) TTGAGGAAGTTT >mm10_chr9:55663128-55663139(+)::chr9:55663127-55663139(+) aagaggaagaag >mm10_chr9:55663143-55663154(+)::chr9:55663142-55663154(+) aaaaggaagaag >mm10_chr9:55663167-55663178(+)::chr9:55663166-55663178(+) gagaggaagagg >mm10_chr9:55663173-55663184(+)::chr9:55663172-55663184(+) aagaggaagagg >mm10_chr9:55663185-55663196(+)::chr9:55663184-55663196(+) aggaggaagagg >mm10_chr9:55663191-55663202(+)::chr9:55663190-55663202(+) aagaggaagagg >mm10_chr9:55710774-55710785(+)::chr9:55710773-55710785(+) gacaggaagaca >mm10_chr9:56043529-56043540(+)::chr9:56043528-56043540(+) ATAATGAAGTTA >mm10_chr9:56076119-56076130(-)::chr9:56076118-56076130(-) TACAGGAAGTGA >mm10_chr9:56100067-56100078(+)::chr9:56100066-56100078(+) aacaggaaggaa >mm10_chr9:56100071-56100082(+)::chr9:56100070-56100082(+) ggaaggaaggca >mm10_chr9:56100112-56100123(+)::chr9:56100111-56100123(+) AAGAGGAAGACA >mm10_chr9:56100131-56100142(+)::chr9:56100130-56100142(+) AGAAGGAAGTGG >mm10_chr9:56105424-56105435(-)::chr9:56105423-56105435(-) agaaggaaggag >mm10_chr9:56105439-56105450(-)::chr9:56105438-56105450(-) gggaggaagtgg >mm10_chr9:56105483-56105494(-)::chr9:56105482-56105494(-) aggaggaagagg >mm10_chr9:56150977-56150988(+)::chr9:56150976-56150988(+) gtcaggaagttg >mm10_chr9:56151044-56151055(+)::chr9:56151043-56151055(+) aacaggaagtta >mm10_chr9:56156714-56156725(-)::chr9:56156713-56156725(-) TTGAGGAAGTAC >mm10_chr9:56158389-56158400(+)::chr9:56158388-56158400(+) TGAAGGATGTAT >mm10_chr9:56180287-56180298(-)::chr9:56180286-56180298(-) GAAAGGAAGTAG >mm10_chr9:56180985-56180996(+)::chr9:56180984-56180996(+) ctagggaagtaa >mm10_chr9:56181816-56181827(-)::chr9:56181815-56181827(-) GCAAGGAAGTAA >mm10_chr9:56205517-56205528(+)::chr9:56205516-56205528(+) TGACGGAAGGAA >mm10_chr9:56205521-56205532(+)::chr9:56205520-56205532(+) GGAAGGAAGCTG >mm10_chr9:56233455-56233466(+)::chr9:56233454-56233466(+) TCAGGGAAGTAA >mm10_chr9:56253247-56253258(-)::chr9:56253246-56253258(-) AATAGGAAATGC >mm10_chr9:56253300-56253311(-)::chr9:56253299-56253311(-) AAAAGGAAGAGG >mm10_chr9:56295688-56295699(-)::chr9:56295687-56295699(-) AGATGGAAGTCA >mm10_chr9:56318774-56318785(-)::chr9:56318773-56318785(-) GCAAGGAAGAAA >mm10_chr9:56324764-56324775(+)::chr9:56324763-56324775(+) AGAAGGAAGAAA >mm10_chr9:56345474-56345485(-)::chr9:56345473-56345485(-) TGAAGGAAGAGG >mm10_chr9:56345506-56345517(-)::chr9:56345505-56345517(-) AGGAGGAAGTTA >mm10_chr9:56432777-56432788(+)::chr9:56432776-56432788(+) ttaaggaaggga >mm10_chr9:56436507-56436518(-)::chr9:56436506-56436518(-) accaggaagctg >mm10_chr9:56457262-56457273(+)::chr9:56457261-56457273(+) ggaaggaagaca >mm10_chr9:56457283-56457294(+)::chr9:56457282-56457294(+) accaggaagaaa >mm10_chr9:56458882-56458893(-)::chr9:56458881-56458893(-) ACAAGGAAGTGA >mm10_chr9:56465455-56465466(-)::chr9:56465454-56465466(-) CAAAGGAAGCAG >mm10_chr9:56465469-56465480(-)::chr9:56465468-56465480(-) AAAAGGAAATAG >mm10_chr9:56467899-56467910(-)::chr9:56467898-56467910(-) tggaggaagtgg >mm10_chr9:56467911-56467922(-)::chr9:56467910-56467922(-) gggaggaagcac >mm10_chr9:56506877-56506888(+)::chr9:56506876-56506888(+) aagaggaagaag >mm10_chr9:56506904-56506915(+)::chr9:56506903-56506915(+) gaaagGAAGGGA >mm10_chr9:56506934-56506945(+)::chr9:56506933-56506945(+) ATGAGGAAGAAA >mm10_chr9:56516857-56516868(-)::chr9:56516856-56516868(-) GTCAGGAAGTAT >mm10_chr9:56580039-56580050(-)::chr9:56580038-56580050(-) atgaggaaatag >mm10_chr9:56613034-56613045(-)::chr9:56613033-56613045(-) ACCAGGAAGTGG >mm10_chr9:56701718-56701729(-)::chr9:56701717-56701729(-) GTAAGGAAGGCC >mm10_chr9:56701734-56701745(-)::chr9:56701733-56701745(-) AGAAGGAAAGAG >mm10_chr9:56744581-56744592(-)::chr9:56744580-56744592(-) AGGAGGAAGTCA >mm10_chr9:56841300-56841311(+)::chr9:56841299-56841311(+) AGCAGGAAGGAA >mm10_chr9:56841304-56841315(+)::chr9:56841303-56841315(+) GGAAGGAAGACC >mm10_chr9:56841328-56841339(-)::chr9:56841327-56841339(-) CGGAGGAACTAC >mm10_chr9:56877469-56877480(-)::chr9:56877468-56877480(-) GGGAGGAAGTGG >mm10_chr9:56922690-56922701(+)::chr9:56922689-56922701(+) agaaggaagagg >mm10_chr9:56922705-56922716(+)::chr9:56922704-56922716(+) agaaggaagagg >mm10_chr9:56922711-56922722(+)::chr9:56922710-56922722(+) aagaggaagagg >mm10_chr9:56922726-56922737(+)::chr9:56922725-56922737(+) agaaggaagagg >mm10_chr9:56922735-56922746(+)::chr9:56922734-56922746(+) aggaggaagagg >mm10_chr9:56922747-56922758(+)::chr9:56922746-56922758(+) aggaggaagaag >mm10_chr9:56924059-56924070(-)::chr9:56924058-56924070(-) GTGCGGAAGTAG >mm10_chr9:56927306-56927317(-)::chr9:56927305-56927317(-) AGGAGGAAGGAG >mm10_chr9:56927313-56927324(-)::chr9:56927312-56927324(-) GAGAGGAAGGAG >mm10_chr9:56928159-56928170(-)::chr9:56928158-56928170(-) GCACGGAAGTGC >mm10_chr9:56937351-56937362(+)::chr9:56937350-56937362(+) AACAGGAAGTTC >mm10_chr9:56938611-56938622(-)::chr9:56938610-56938622(-) AACAGGAAGTCT >mm10_chr9:56950788-56950799(+)::chr9:56950787-56950799(+) GGGCGGAAGTAA >mm10_chr9:56998693-56998704(-)::chr9:56998692-56998704(-) aggaggaagaCC >mm10_chr9:56998702-56998713(-)::chr9:56998701-56998713(-) aagaggaagagg >mm10_chr9:56998708-56998719(-)::chr9:56998707-56998719(-) aagaggaagagg >mm10_chr9:56998714-56998725(-)::chr9:56998713-56998725(-) aagaggaagagg >mm10_chr9:56998720-56998731(-)::chr9:56998719-56998731(-) aagaggaagagg >mm10_chr9:56998726-56998737(-)::chr9:56998725-56998737(-) aagaggaagagg >mm10_chr9:57073198-57073209(+)::chr9:57073197-57073209(+) AAAAGGAAATGC >mm10_chr9:57073794-57073805(-)::chr9:57073793-57073805(-) GGAGGGAAGTTG >mm10_chr9:57251121-57251132(+)::chr9:57251120-57251132(+) GACAGGAAATGA >mm10_chr9:57259927-57259938(+)::chr9:57259926-57259938(+) AACAGGAAGGTC >mm10_chr9:57259945-57259956(+)::chr9:57259944-57259956(+) TTAAGGAAGGAG >mm10_chr9:57288244-57288255(-)::chr9:57288243-57288255(-) agaaggaagcct >mm10_chr9:57317680-57317691(+)::chr9:57317679-57317691(+) TCGAGGAAGGAA >mm10_chr9:57395966-57395977(+)::chr9:57395965-57395977(+) gggaggaaatgg >mm10_chr9:57420939-57420950(+)::chr9:57420938-57420950(+) CTAAGGAAGGCG >mm10_chr9:57421434-57421445(-)::chr9:57421433-57421445(-) ACAGGGAAGTGA >mm10_chr9:57525880-57525891(-)::chr9:57525879-57525891(-) AAAAGGAAGTGT >mm10_chr9:57525896-57525907(-)::chr9:57525895-57525907(-) AGGAGGAAGAGG >mm10_chr9:57560960-57560971(+)::chr9:57560959-57560971(+) TCCCGGAAGTGG >mm10_chr9:57565761-57565772(+)::chr9:57565760-57565772(+) AAGAGGAAGCAG >mm10_chr9:57565768-57565779(+)::chr9:57565767-57565779(+) AGCAGGAAGCTG >mm10_chr9:57589964-57589975(+)::chr9:57589963-57589975(+) GCACGGAAGTTG >mm10_chr9:57594135-57594146(+)::chr9:57594134-57594146(+) AGGAGGAAGCTG >mm10_chr9:57601353-57601364(+)::chr9:57601352-57601364(+) AGCAGGAAGTGG >mm10_chr9:57638239-57638250(+)::chr9:57638238-57638250(+) AACAGGAAGGTC >mm10_chr9:57638687-57638698(+)::chr9:57638686-57638698(+) CAAAGGAAGTTT >mm10_chr9:57646810-57646821(+)::chr9:57646809-57646821(+) ATAGGGAAGTTG >mm10_chr9:57651119-57651130(-)::chr9:57651118-57651130(-) TGCCGGAAGTAA >mm10_chr9:57673401-57673412(-)::chr9:57673400-57673412(-) AGGAGGAAGAGG >mm10_chr9:57673408-57673419(-)::chr9:57673407-57673419(-) TCAAGGAAGGAG >mm10_chr9:57673448-57673459(-)::chr9:57673447-57673459(-) ACAAGGAAGTAA >mm10_chr9:57729641-57729652(-)::chr9:57729640-57729652(-) AAAAGGAAATGA >mm10_chr9:57738811-57738822(+)::chr9:57738810-57738822(+) AAGAGGAAGAAC >mm10_chr9:57738841-57738852(+)::chr9:57738840-57738852(+) AAGAGGAAGCAG >mm10_chr9:57759391-57759402(+)::chr9:57759390-57759402(+) AGCAGGAAATGA >mm10_chr9:57765129-57765140(-)::chr9:57765128-57765140(-) AGCCGGAAGCGG >mm10_chr9:57769903-57769914(-)::chr9:57769902-57769914(-) GCCAGGAAGAGG >mm10_chr9:57769936-57769947(+)::chr9:57769935-57769947(+) GACAGGAAGATG >mm10_chr9:57780347-57780358(-)::chr9:57780346-57780358(-) ggaaggaactca >mm10_chr9:57780360-57780371(-)::chr9:57780359-57780371(-) gaaaggaagtca >mm10_chr9:57805771-57805782(-)::chr9:57805770-57805782(-) GCAAGGAAGTGC >mm10_chr9:57820570-57820581(+)::chr9:57820569-57820581(+) GCAAGGAAGCAG >mm10_chr9:57820594-57820605(-)::chr9:57820593-57820605(-) AGCAGGAAGGCC >mm10_chr9:57820621-57820632(-)::chr9:57820620-57820632(-) AGAGGGAAGTAG >mm10_chr9:57831145-57831156(+)::chr9:57831144-57831156(+) AGAAGGAAGGTA >mm10_chr9:57861791-57861802(-)::chr9:57861790-57861802(-) CCAAGGAAGAGA >mm10_chr9:57867998-57868009(+)::chr9:57867997-57868009(+) AGGAGGAAGAGT >mm10_chr9:57879376-57879387(+)::chr9:57879375-57879387(+) GGGAGGAAATGG >mm10_chr9:57921941-57921952(-)::chr9:57921940-57921952(-) AGGAGGAAGAAC >mm10_chr9:57921977-57921988(-)::chr9:57921976-57921988(-) AACAGGAAGCCA >mm10_chr9:57935460-57935471(+)::chr9:57935459-57935471(+) aagaggaagaga >mm10_chr9:57935475-57935486(+)::chr9:57935474-57935486(+) ataaggaaaaga >mm10_chr9:57935484-57935495(+)::chr9:57935483-57935495(+) agaaggaagaag >mm10_chr9:57935506-57935517(+)::chr9:57935505-57935517(+) ataaggaaaagg >mm10_chr9:57935524-57935535(+)::chr9:57935523-57935535(+) gagaggaagagg >mm10_chr9:57950701-57950712(-)::chr9:57950700-57950712(-) CCCAGGAAGTGT >mm10_chr9:57953642-57953653(-)::chr9:57953641-57953653(-) TCGGGGAAGTTG >mm10_chr9:57953702-57953713(-)::chr9:57953701-57953713(-) GGAAGGAAGAGG >mm10_chr9:57953706-57953717(-)::chr9:57953705-57953717(-) AAGAGGAAGGAA >mm10_chr9:57953712-57953723(-)::chr9:57953711-57953723(-) TCAAGGAAGAGG >mm10_chr9:57968622-57968633(+)::chr9:57968621-57968633(+) AAGAGGAAGACA >mm10_chr9:57974553-57974564(-)::chr9:57974552-57974564(-) ATGGGGAAGTGA >mm10_chr9:58001936-58001947(+)::chr9:58001935-58001947(+) AAAAGGAAGAGA >mm10_chr9:58001963-58001974(+)::chr9:58001962-58001974(+) AGACGGAAGTAG >mm10_chr9:58080241-58080252(-)::chr9:58080240-58080252(-) GCAAGGAAGAGC >mm10_chr9:58080285-58080296(-)::chr9:58080284-58080296(-) TTCAGGAAGTAA >mm10_chr9:58084164-58084175(+)::chr9:58084163-58084175(+) aggaggaagaga >mm10_chr9:58107311-58107322(+)::chr9:58107310-58107322(+) agaaggaagagg >mm10_chr9:58107320-58107331(+)::chr9:58107319-58107331(+) aggaggaagaga >mm10_chr9:58107332-58107343(+)::chr9:58107331-58107343(+) aggaggaagatg >mm10_chr9:58107344-58107355(+)::chr9:58107343-58107355(+) aagaggaagaag >mm10_chr9:58107368-58107379(+)::chr9:58107367-58107379(+) agaaggaaaagg >mm10_chr9:58110318-58110329(-)::chr9:58110317-58110329(-) ATCAGGAAGTAA >mm10_chr9:58113727-58113738(+)::chr9:58113726-58113738(+) ACCAGGAAGAAA >mm10_chr9:58114612-58114623(+)::chr9:58114611-58114623(+) TCCAGGAAGTGA >mm10_chr9:58129600-58129611(+)::chr9:58129599-58129611(+) TCAAGGAAGGGG >mm10_chr9:58140092-58140103(+)::chr9:58140091-58140103(+) ACAAGGATGTTA >mm10_chr9:58155843-58155854(-)::chr9:58155842-58155854(-) AGGAGGAAGGAG >mm10_chr9:58155863-58155874(-)::chr9:58155862-58155874(-) ACAAGGAAGAAA >mm10_chr9:58155895-58155906(-)::chr9:58155894-58155906(-) TGAAGGAAGGTT >mm10_chr9:58155909-58155920(-)::chr9:58155908-58155920(-) GGAAGGAAGGAG >mm10_chr9:58190347-58190358(-)::chr9:58190346-58190358(-) AGAAGGAAATGG >mm10_chr9:58190381-58190392(-)::chr9:58190380-58190392(-) agaaggaagagg >mm10_chr9:58190393-58190404(-)::chr9:58190392-58190404(-) agaaggaagagg >mm10_chr9:58226049-58226060(+)::chr9:58226048-58226060(+) AGAAGGAAGTAG >mm10_chr9:58232607-58232618(-)::chr9:58232606-58232618(-) AACAGGAAGTGC >mm10_chr9:58241694-58241705(-)::chr9:58241693-58241705(-) AAAAGGAAGTCT >mm10_chr9:58244179-58244190(-)::chr9:58244178-58244190(-) GGAAGGAAGGCA >mm10_chr9:58244183-58244194(-)::chr9:58244182-58244194(-) AGAAGGAAGGAA >mm10_chr9:58244190-58244201(-)::chr9:58244189-58244201(-) AGAAGGAAGAAG >mm10_chr9:58265513-58265524(-)::chr9:58265512-58265524(-) ACACGGAAATAG >mm10_chr9:58266819-58266830(+)::chr9:58266818-58266830(+) ACAAGGAAAGGG >mm10_chr9:58266861-58266872(-)::chr9:58266860-58266872(-) TCTAGGAAGTGA >mm10_chr9:58275627-58275638(-)::chr9:58275626-58275638(-) GCCAGGAAGGGC >mm10_chr9:58360785-58360796(+)::chr9:58360784-58360796(+) CTAAGGAAGACT >mm10_chr9:58446798-58446809(-)::chr9:58446797-58446809(-) GGAAGAAAGTAT >mm10_chr9:58542090-58542101(-)::chr9:58542089-58542101(-) GGCAGGAAGTGG >mm10_chr9:58554643-58554654(-)::chr9:58554642-58554654(-) TTAAGGAAGCTG >mm10_chr9:58559805-58559816(-)::chr9:58559804-58559816(-) AGGAGGAAGGGC >mm10_chr9:58559812-58559823(-)::chr9:58559811-58559823(-) ATGAGGAAGGAG >mm10_chr9:58560401-58560412(-)::chr9:58560400-58560412(-) AAGAGGAAGTGG >mm10_chr9:58581605-58581616(-)::chr9:58581604-58581616(-) ACAAGGAAGTCA >mm10_chr9:58583251-58583262(+)::chr9:58583250-58583262(+) TCAGGGAAGTAA >mm10_chr9:58583325-58583336(+)::chr9:58583324-58583336(+) TGCAGGAAGTCG >mm10_chr9:58591583-58591594(-)::chr9:58591582-58591594(-) TTGAGGAAGTGG >mm10_chr9:58700474-58700485(+)::chr9:58700473-58700485(+) AAAAGGAACTTA >mm10_chr9:58701997-58702008(+)::chr9:58701996-58702008(+) TAAAGGAAGAGG >mm10_chr9:58702382-58702393(+)::chr9:58702381-58702393(+) ACCAGGAAGGGA >mm10_chr9:58717259-58717270(+)::chr9:58717258-58717270(+) gggaggaaatgg >mm10_chr9:58717307-58717318(+)::chr9:58717306-58717318(+) tcaaggaagtca >mm10_chr9:58806187-58806198(-)::chr9:58806186-58806198(-) AAAGGGAAGTGA >mm10_chr9:58899771-58899782(-)::chr9:58899770-58899782(-) AAAAGGAAGTGA >mm10_chr9:58899824-58899835(-)::chr9:58899823-58899835(-) AGAAGGAAGGCT >mm10_chr9:58954597-58954608(-)::chr9:58954596-58954608(-) agcaggaagtaa >mm10_chr9:59022589-59022600(+)::chr9:59022588-59022600(+) aggaggaagagg >mm10_chr9:59022606-59022617(+)::chr9:59022605-59022617(+) aagaggaagagg >mm10_chr9:59022612-59022623(+)::chr9:59022611-59022623(+) aagaggaagaag >mm10_chr9:59022630-59022641(+)::chr9:59022629-59022641(+) aggaggaagaga >mm10_chr9:59022656-59022667(+)::chr9:59022655-59022667(+) aggaggaagaag >mm10_chr9:59062404-59062415(-)::chr9:59062403-59062415(-) AGTGGGAAGTAA >mm10_chr9:59062432-59062443(-)::chr9:59062431-59062443(-) ACCAGGAAGGAG >mm10_chr9:59069475-59069486(-)::chr9:59069474-59069486(-) ATAAGGAAGACA >mm10_chr9:59069490-59069501(-)::chr9:59069489-59069501(-) AGGAGGAAGTGT >mm10_chr9:59174510-59174521(-)::chr9:59174509-59174521(-) cagaggaagttc >mm10_chr9:59183615-59183626(+)::chr9:59183614-59183626(+) acaaggaagcag >mm10_chr9:59184241-59184252(-)::chr9:59184240-59184252(-) AGAAGGAAAGAC >mm10_chr9:59184248-59184259(-)::chr9:59184247-59184259(-) AGCAGGAAGAAG >mm10_chr9:59199980-59199991(-)::chr9:59199979-59199991(-) atcaggaagctg >mm10_chr9:59227114-59227125(-)::chr9:59227113-59227125(-) TGCAGGAAGATG >mm10_chr9:59245075-59245086(+)::chr9:59245074-59245086(+) acaaggaaggaa >mm10_chr9:59245079-59245090(+)::chr9:59245078-59245090(+) ggaaggaattgt >mm10_chr9:59261339-59261350(-)::chr9:59261338-59261350(-) agaaggaagctg >mm10_chr9:59261366-59261377(+)::chr9:59261365-59261377(+) caAAGGAAATGA >mm10_chr9:59269973-59269984(+)::chr9:59269972-59269984(+) ATCAGGAAGTTG >mm10_chr9:59291235-59291246(+)::chr9:59291234-59291246(+) CTAGGGAAGTAA >mm10_chr9:59311648-59311659(+)::chr9:59311647-59311659(+) TCCAGGAAGAGA >mm10_chr9:59353492-59353503(+)::chr9:59353491-59353503(+) AGCAGGAAGTCA >mm10_chr9:59353511-59353522(+)::chr9:59353510-59353522(+) GGAAGGAAGGAG >mm10_chr9:59435477-59435488(+)::chr9:59435476-59435488(+) TTAAGGAAGTAA >mm10_chr9:59435481-59435492(+)::chr9:59435480-59435492(+) GGAAGTAAGTGA >mm10_chr9:59448208-59448219(+)::chr9:59448207-59448219(+) TAAAGGAAATAT >mm10_chr9:59464469-59464480(-)::chr9:59464468-59464480(-) GGCAGGAAGAAG >mm10_chr9:59464480-59464491(-)::chr9:59464479-59464491(-) ATCAGGAAGTTG >mm10_chr9:59567354-59567365(+)::chr9:59567353-59567365(+) AGGAGGAAGTGC >mm10_chr9:59567382-59567393(+)::chr9:59567381-59567393(+) AAAAGGAAGCAG >mm10_chr9:59612511-59612522(+)::chr9:59612510-59612522(+) AAGAGGAAGTCG >mm10_chr9:59612593-59612604(-)::chr9:59612592-59612604(-) TCCAGGAAGTAA >mm10_chr9:59640150-59640161(+)::chr9:59640149-59640161(+) GTAAGGAAGGTG >mm10_chr9:59641421-59641432(-)::chr9:59641420-59641432(-) AGCAGGAAGAGG >mm10_chr9:59641442-59641453(-)::chr9:59641441-59641453(-) CAAAGGAACTAT >mm10_chr9:59651099-59651110(+)::chr9:59651098-59651110(+) AGCGGGAAGTCC >mm10_chr9:59651138-59651149(-)::chr9:59651137-59651149(-) CAAAGGAACTAT >mm10_chr9:59660725-59660736(+)::chr9:59660724-59660736(+) CTAAGGAAGACC >mm10_chr9:59660770-59660781(-)::chr9:59660769-59660781(-) GGAAGGAGGTGA >mm10_chr9:59660774-59660785(-)::chr9:59660773-59660785(-) AGCAGGAAGGAG >mm10_chr9:59692356-59692367(+)::chr9:59692355-59692367(+) GGGAGGAAGGAA >mm10_chr9:59692360-59692371(+)::chr9:59692359-59692371(+) GGAAGGAAGGAG >mm10_chr9:59692439-59692450(-)::chr9:59692438-59692450(-) CGAAGGACGGAC >mm10_chr9:59695000-59695011(-)::chr9:59694999-59695011(-) GAAAGGAAGGCA >mm10_chr9:59707284-59707295(-)::chr9:59707283-59707295(-) GGAAGGAAATGT >mm10_chr9:59707288-59707299(-)::chr9:59707287-59707299(-) AGGAGGAAGGAA >mm10_chr9:59802329-59802340(-)::chr9:59802328-59802340(-) taagggaagtat >mm10_chr9:59921922-59921933(+)::chr9:59921921-59921933(+) AAAAGTAAGTGC >mm10_chr9:59921959-59921970(-)::chr9:59921958-59921970(-) AGAAGGAAGGAA >mm10_chr9:59921976-59921987(-)::chr9:59921975-59921987(-) ATAAGGAAAAAA >mm10_chr9:59945471-59945482(+)::chr9:59945470-59945482(+) CATAGGAAGTCT >mm10_chr9:60055178-60055189(-)::chr9:60055177-60055189(-) AGGAGGAAGGGT >mm10_chr9:60260655-60260666(+)::chr9:60260654-60260666(+) aggaggaagggg >mm10_chr9:60260667-60260678(+)::chr9:60260666-60260678(+) aggaggaagaga >mm10_chr9:60260685-60260696(+)::chr9:60260684-60260696(+) aggaggaagggg >mm10_chr9:60260697-60260708(+)::chr9:60260696-60260708(+) aggaggaagaga >mm10_chr9:60262750-60262761(-)::chr9:60262749-60262761(-) TGCAGGAAGGAG >mm10_chr9:60313424-60313435(-)::chr9:60313423-60313435(-) AGCAGGAAGAAC >mm10_chr9:60327043-60327054(+)::chr9:60327042-60327054(+) tgagggaagtga >mm10_chr9:60327063-60327074(+)::chr9:60327062-60327074(+) accaggaaggga >mm10_chr9:60345923-60345934(-)::chr9:60345922-60345934(-) AGCAGGAAGTGT >mm10_chr9:60345936-60345947(+)::chr9:60345935-60345947(+) AGCGGGAAGAAT >mm10_chr9:60352795-60352806(+)::chr9:60352794-60352806(+) AGCAGGAAGTTG >mm10_chr9:60372652-60372663(-)::chr9:60372651-60372663(-) agcaggaagttg >mm10_chr9:60374357-60374368(-)::chr9:60374356-60374368(-) tccaggaagaaa >mm10_chr9:60393066-60393077(-)::chr9:60393065-60393077(-) CCAAGGAAGTAG >mm10_chr9:60408246-60408257(-)::chr9:60408245-60408257(-) aagaggaagagg >mm10_chr9:60408291-60408302(-)::chr9:60408290-60408302(-) aggaggaagaac >mm10_chr9:60408311-60408322(-)::chr9:60408310-60408322(-) aaaaggaagaag >mm10_chr9:60414538-60414549(-)::chr9:60414537-60414549(-) CCAAGGAAATGC >mm10_chr9:60416989-60417000(-)::chr9:60416988-60417000(-) aagaggaagtca >mm10_chr9:60456798-60456809(-)::chr9:60456797-60456809(-) AGAAGGAAGCCA >mm10_chr9:60456843-60456854(-)::chr9:60456842-60456854(-) AGGAGGAAGCCG >mm10_chr9:60492403-60492414(-)::chr9:60492402-60492414(-) aagaggaagtgg >mm10_chr9:60492448-60492459(-)::chr9:60492447-60492459(-) ATGAGGAAGTga >mm10_chr9:60517878-60517889(-)::chr9:60517877-60517889(-) ACAAGGAAGGCA >mm10_chr9:60517891-60517902(-)::chr9:60517890-60517902(-) TCCAGGAAGATA >mm10_chr9:60521646-60521657(+)::chr9:60521645-60521657(+) gaaaggaagaaa >mm10_chr9:60521663-60521674(+)::chr9:60521662-60521674(+) aagaggaaatag >mm10_chr9:60521692-60521703(+)::chr9:60521691-60521703(+) aaaaggaagggg >mm10_chr9:60546404-60546415(+)::chr9:60546403-60546415(+) ATAAGGAAGGTG >mm10_chr9:60560555-60560566(-)::chr9:60560554-60560566(-) tgaaggaagtgg >mm10_chr9:60562906-60562917(-)::chr9:60562905-60562917(-) ATCAGGAAGTAA >mm10_chr9:60562950-60562961(-)::chr9:60562949-60562961(-) GGCAGGAAGTTA >mm10_chr9:60687779-60687790(+)::chr9:60687778-60687790(+) GAAAGGAAGAAA >mm10_chr9:60687792-60687803(+)::chr9:60687791-60687803(+) ATCAGGAAGGCC >mm10_chr9:60688063-60688074(-)::chr9:60688062-60688074(-) ACGAGGAAGTGC >mm10_chr9:60743385-60743396(+)::chr9:60743384-60743396(+) ggagggaagtgt >mm10_chr9:60777210-60777221(-)::chr9:60777209-60777221(-) AGAAGGAACGAG >mm10_chr9:60787979-60787990(+)::chr9:60787978-60787990(+) TCAAGGAAGACA >mm10_chr9:60788701-60788712(-)::chr9:60788700-60788712(-) AGAAGGAAATCT >mm10_chr9:60794382-60794393(+)::chr9:60794381-60794393(+) AAAGGGAAGTCC >mm10_chr9:60822902-60822913(+)::chr9:60822901-60822913(+) atgaggaagtgt >mm10_chr9:60849941-60849952(+)::chr9:60849940-60849952(+) AGGAGGAAGAAC >mm10_chr9:60866056-60866067(-)::chr9:60866055-60866067(-) GGAAGGAAGTGG >mm10_chr9:60866060-60866071(-)::chr9:60866059-60866071(-) GGGAGGAAGGAA >mm10_chr9:60912522-60912533(-)::chr9:60912521-60912533(-) gacaggaaatag >mm10_chr9:61012964-61012975(+)::chr9:61012963-61012975(+) ttcaggaagtag >mm10_chr9:61013281-61013292(-)::chr9:61013280-61013292(-) AGAAGGAAGGAC >mm10_chr9:61032306-61032317(-)::chr9:61032305-61032317(-) AACAGGAAGTGA >mm10_chr9:61063341-61063352(+)::chr9:61063340-61063352(+) caaaggaaataa >mm10_chr9:61063372-61063383(+)::chr9:61063371-61063383(+) accaggaagaag >mm10_chr9:61064922-61064933(+)::chr9:61064921-61064933(+) atgaggaaatgc >mm10_chr9:61073582-61073593(+)::chr9:61073581-61073593(+) AGAAGGAAGCAg >mm10_chr9:61112001-61112012(-)::chr9:61112000-61112012(-) CTAAGGAAGTGA >mm10_chr9:61119458-61119469(+)::chr9:61119457-61119469(+) GGAAGGAAGTAT >mm10_chr9:61251849-61251860(+)::chr9:61251848-61251860(+) GAAGGGAAGTGG >mm10_chr9:61281286-61281297(-)::chr9:61281285-61281297(-) TGGAGGAAGGAG >mm10_chr9:61285093-61285104(+)::chr9:61285092-61285104(+) ATGAGGAAGAAC >mm10_chr9:61349810-61349821(+)::chr9:61349809-61349821(+) TGCAGGAAGAAA >mm10_chr9:61349820-61349831(+)::chr9:61349819-61349831(+) AACAGGAAGCAC >mm10_chr9:61349852-61349863(-)::chr9:61349851-61349863(-) gagaggaagtgG >mm10_chr9:61354513-61354524(+)::chr9:61354512-61354524(+) ACACGGAAATCA >mm10_chr9:61376189-61376200(+)::chr9:61376188-61376200(+) aggaggaagctg >mm10_chr9:61385948-61385959(+)::chr9:61385947-61385959(+) ACAAGGAAGGCC >mm10_chr9:61403434-61403445(-)::chr9:61403433-61403445(-) GGCAGGAAGGCC >mm10_chr9:61403505-61403516(+)::chr9:61403504-61403516(+) GGGAGGAAGATG >mm10_chr9:61413796-61413807(-)::chr9:61413795-61413807(-) AACAGGAAGCCG >mm10_chr9:61424493-61424504(-)::chr9:61424492-61424504(-) TGGAGGAAGTAG >mm10_chr9:61425552-61425563(-)::chr9:61425551-61425563(-) ATGAGGAAGCAA >mm10_chr9:61438023-61438034(-)::chr9:61438022-61438034(-) TCCAGGAAGTCC >mm10_chr9:61443595-61443606(-)::chr9:61443594-61443606(-) AGGAGGAAGAGG >mm10_chr9:61443615-61443626(-)::chr9:61443614-61443626(-) TGGAGGAAGAGG >mm10_chr9:61444057-61444068(-)::chr9:61444056-61444068(-) GGAAGGAACTCA >mm10_chr9:61444061-61444072(-)::chr9:61444060-61444072(-) AACAGGAAGGAA >mm10_chr9:61446332-61446343(+)::chr9:61446331-61446343(+) aggaggaagaga >mm10_chr9:61446353-61446364(+)::chr9:61446352-61446364(+) aggaggaagagg >mm10_chr9:61446369-61446380(+)::chr9:61446368-61446380(+) aggaggaagaga >mm10_chr9:61447175-61447186(-)::chr9:61447174-61447186(-) ACAAGGATGTGG >mm10_chr9:61447208-61447219(-)::chr9:61447207-61447219(-) GAAAGGAAGAGG >mm10_chr9:61447225-61447236(-)::chr9:61447224-61447236(-) AGCAGGAAGTCT >mm10_chr9:61514691-61514702(-)::chr9:61514690-61514702(-) aggaggaagctg >mm10_chr9:61514698-61514709(-)::chr9:61514697-61514709(-) agcaggaaggag >mm10_chr9:61533191-61533202(-)::chr9:61533190-61533202(-) ccaaggaaGAGC >mm10_chr9:61595486-61595497(-)::chr9:61595485-61595497(-) TGAAGGAAGTTG >mm10_chr9:61595517-61595528(+)::chr9:61595516-61595528(+) AGAAGGAAGCTC >mm10_chr9:61604828-61604839(+)::chr9:61604827-61604839(+) cataggaagttg >mm10_chr9:61605279-61605290(+)::chr9:61605278-61605290(+) AAAAGGAAGTGG >mm10_chr9:61605304-61605315(-)::chr9:61605303-61605315(-) GCCAGGAAGTCA >mm10_chr9:61684945-61684956(-)::chr9:61684944-61684956(-) tccaggaagtag >mm10_chr9:61691044-61691055(-)::chr9:61691043-61691055(-) gaGAGGAAGAGA >mm10_chr9:61702778-61702789(+)::chr9:61702777-61702789(+) AAGAGGAAGTCT >mm10_chr9:61826767-61826778(-)::chr9:61826766-61826778(-) ACCAGGAAGGGT >mm10_chr9:61854200-61854211(-)::chr9:61854199-61854211(-) AGGAGGAAGTGA >mm10_chr9:61874614-61874625(+)::chr9:61874613-61874625(+) AGCAGGAAGGCT >mm10_chr9:61879824-61879835(+)::chr9:61879823-61879835(+) ACCAGGAAGAGG >mm10_chr9:61879830-61879841(+)::chr9:61879829-61879841(+) AAGAGGAAATAG >mm10_chr9:61879870-61879881(-)::chr9:61879869-61879881(-) TAAAGGATGCGA >mm10_chr9:61894937-61894948(-)::chr9:61894936-61894948(-) GTGAGGAAGAGG >mm10_chr9:61894950-61894961(-)::chr9:61894949-61894961(-) TGGAGGAAGGTG >mm10_chr9:61896112-61896123(+)::chr9:61896111-61896123(+) ATGAGGAAGATT >mm10_chr9:61905519-61905530(+)::chr9:61905518-61905530(+) ATAAGGAAACAA >mm10_chr9:61905565-61905576(-)::chr9:61905564-61905576(-) AGAAGGAAGTGG >mm10_chr9:61914670-61914681(-)::chr9:61914669-61914681(-) CACCGGAAGTCG >mm10_chr9:61922539-61922550(-)::chr9:61922538-61922550(-) AGGAGGATGTAC >mm10_chr9:61922539-61922550(-)::chr9:61922538-61922550(-) AGGAGGATGTAC >mm10_chr9:61922570-61922581(-)::chr9:61922569-61922581(-) GTAAGGAAATGC >mm10_chr9:62008641-62008652(+)::chr9:62008640-62008652(+) TCAAGGAAGTGT >mm10_chr9:62008658-62008669(+)::chr9:62008657-62008669(+) GCCAGGAAGACG >mm10_chr9:62122592-62122603(+)::chr9:62122591-62122603(+) GCGAGGAAGCGC >mm10_chr9:62145891-62145902(-)::chr9:62145890-62145902(-) aggaggaagtgg >mm10_chr9:62145900-62145911(-)::chr9:62145899-62145911(-) aagaggaagagg >mm10_chr9:62145906-62145917(-)::chr9:62145905-62145917(-) aggaggaagagg >mm10_chr9:62145924-62145935(-)::chr9:62145923-62145935(-) aagaggaagaag >mm10_chr9:62145936-62145947(-)::chr9:62145935-62145947(-) aggaggaagtgg >mm10_chr9:62174913-62174924(-)::chr9:62174912-62174924(-) AGGAGGAAATGC >mm10_chr9:62254189-62254200(-)::chr9:62254188-62254200(-) GAGAGGAAGTGG >mm10_chr9:62254244-62254255(+)::chr9:62254243-62254255(+) ACAGGGAAGTAA >mm10_chr9:62254248-62254259(+)::chr9:62254247-62254259(+) GGAAGTAAGTTG >mm10_chr9:62254521-62254532(+)::chr9:62254520-62254532(+) AGGAGGAAGGGG >mm10_chr9:62319197-62319208(-)::chr9:62319196-62319208(-) AACAGGAAATAC >mm10_chr9:62319281-62319292(-)::chr9:62319280-62319292(-) aagaggaagagg >mm10_chr9:62338785-62338796(-)::chr9:62338784-62338796(-) ccaaggaagcta >mm10_chr9:62360900-62360911(-)::chr9:62360899-62360911(-) agaaggaagagg >mm10_chr9:62361196-62361207(+)::chr9:62361195-62361207(+) GGCAGGAAGGAA >mm10_chr9:62374940-62374951(+)::chr9:62374939-62374951(+) CTGAGGAAGTTG >mm10_chr9:62411789-62411800(-)::chr9:62411788-62411800(-) ACCAGGAAGGAC >mm10_chr9:62436008-62436019(+)::chr9:62436007-62436019(+) GGAAGGAAGGGG >mm10_chr9:62438492-62438503(-)::chr9:62438491-62438503(-) gggaggaagaaa >mm10_chr9:62438504-62438515(-)::chr9:62438503-62438515(-) ACaaggaagaaa >mm10_chr9:62479084-62479095(-)::chr9:62479083-62479095(-) AGGAGGAAGAAG >mm10_chr9:62566972-62566983(-)::chr9:62566971-62566983(-) AAGAGGAAGAGA >mm10_chr9:62566994-62567005(-)::chr9:62566993-62567005(-) AGAAGGAAGAGA >mm10_chr9:62567001-62567012(-)::chr9:62567000-62567012(-) GGGAGGAAGAAG >mm10_chr9:62567009-62567020(-)::chr9:62567008-62567020(-) GGAAGGAAGGGA >mm10_chr9:62567013-62567024(-)::chr9:62567012-62567024(-) GAAAGGAAGGAA >mm10_chr9:62622337-62622348(-)::chr9:62622336-62622348(-) ATACGGAAGTGC >mm10_chr9:62659011-62659022(+)::chr9:62659010-62659022(+) AAGAGGAAGGAT >mm10_chr9:62659025-62659036(+)::chr9:62659024-62659036(+) CCAAGGAAGGGA >mm10_chr9:62679913-62679924(+)::chr9:62679912-62679924(+) AAGAGGAAGTAA >mm10_chr9:62679962-62679973(+)::chr9:62679961-62679973(+) GTAAGGAAGGCT >mm10_chr9:62692434-62692445(+)::chr9:62692433-62692445(+) GTAaggaagaat >mm10_chr9:62692464-62692475(+)::chr9:62692463-62692475(+) aggaggaagagg >mm10_chr9:62692477-62692488(+)::chr9:62692476-62692488(+) aggaggaagagg >mm10_chr9:62692483-62692494(+)::chr9:62692482-62692494(+) aagaggaagagg >mm10_chr9:62819770-62819781(-)::chr9:62819769-62819781(-) tcagggaagtca >mm10_chr9:62819821-62819832(-)::chr9:62819820-62819832(-) aggaggaaggat >mm10_chr9:62845041-62845052(+)::chr9:62845040-62845052(+) ATAAGGAAGCGT >mm10_chr9:62845055-62845066(-)::chr9:62845054-62845066(-) ACCAGGAAGGTC >mm10_chr9:62857398-62857409(+)::chr9:62857397-62857409(+) ACGAGGAAGGGA >mm10_chr9:62857413-62857424(-)::chr9:62857412-62857424(-) GGCAGGAAGTTC >mm10_chr9:62869131-62869142(-)::chr9:62869130-62869142(-) ttcaggaagtgg >mm10_chr9:62897453-62897464(-)::chr9:62897452-62897464(-) ACAAGGAAATTA >mm10_chr9:62898461-62898472(-)::chr9:62898460-62898472(-) AGGAGGAAGATG >mm10_chr9:62898468-62898479(-)::chr9:62898467-62898479(-) GTCAGGAAGGAG >mm10_chr9:62898476-62898487(-)::chr9:62898475-62898487(-) GACAGGAAGTCA >mm10_chr9:62938727-62938738(-)::chr9:62938726-62938738(-) tgtaggaagttt >mm10_chr9:62938766-62938777(-)::chr9:62938765-62938777(-) agcgggaagtgg >mm10_chr9:62962560-62962571(+)::chr9:62962559-62962571(+) AGAAGGAAGTAC >mm10_chr9:62962574-62962585(+)::chr9:62962573-62962585(+) CAAAGGAAGCGG >mm10_chr9:62997935-62997946(+)::chr9:62997934-62997946(+) CAAAGGAAGAGG >mm10_chr9:62998001-62998012(+)::chr9:62998000-62998012(+) TGCAGGAAGAAC >mm10_chr9:63092299-63092310(+)::chr9:63092298-63092310(+) aggaggaagggg >mm10_chr9:63092316-63092327(+)::chr9:63092315-63092327(+) gagaggaaggga >mm10_chr9:63119603-63119614(-)::chr9:63119602-63119614(-) acaaggaaaggg >mm10_chr9:63124655-63124666(+)::chr9:63124654-63124666(+) ggaaggaaggaa >mm10_chr9:63124659-63124670(+)::chr9:63124658-63124670(+) ggaaggaaggaa >mm10_chr9:63124663-63124674(+)::chr9:63124662-63124674(+) ggaaggaagtga >mm10_chr9:63258161-63258172(-)::chr9:63258160-63258172(-) AGCAGGAAGACA >mm10_chr9:63258174-63258185(-)::chr9:63258173-63258185(-) GAGAGGAAGGGG >mm10_chr9:63282176-63282187(+)::chr9:63282175-63282187(+) TGCAGGAAGTGC >mm10_chr9:63282227-63282238(+)::chr9:63282226-63282238(+) TGCAGGAAGTGC >mm10_chr9:63369095-63369106(-)::chr9:63369094-63369106(-) gctaggaagtgg >mm10_chr9:63398980-63398991(+)::chr9:63398979-63398991(+) CGCAGGACGTGG >mm10_chr9:63399068-63399079(+)::chr9:63399067-63399079(+) AGAAGGAAGTCC >mm10_chr9:63557075-63557086(+)::chr9:63557074-63557086(+) AGCAGGAAGCGG >mm10_chr9:63595116-63595127(-)::chr9:63595115-63595127(-) ataaggaagttc >mm10_chr9:63595857-63595868(+)::chr9:63595856-63595868(+) ACAAGGAAGCGC >mm10_chr9:63621117-63621128(+)::chr9:63621116-63621128(+) TAAAGGAAGCCT >mm10_chr9:63621166-63621177(+)::chr9:63621165-63621177(+) AAAGGGAAGTCG >mm10_chr9:63660161-63660172(-)::chr9:63660160-63660172(-) ACAGGGAAGGCG >mm10_chr9:63660343-63660354(-)::chr9:63660342-63660354(-) GGACGGAAGTAG >mm10_chr9:63660386-63660397(+)::chr9:63660385-63660397(+) CGTAGGAAGTTC >mm10_chr9:63667504-63667515(+)::chr9:63667503-63667515(+) GCAGGGAAGTTA >mm10_chr9:63674597-63674608(-)::chr9:63674596-63674608(-) AGGAGGAAGAAC >mm10_chr9:63674631-63674642(+)::chr9:63674630-63674642(+) ACCAGGAAGTAC >mm10_chr9:63676173-63676184(+)::chr9:63676172-63676184(+) GACAGGACGTAG >mm10_chr9:63676216-63676227(+)::chr9:63676215-63676227(+) aagaggaagagg >mm10_chr9:63676222-63676233(+)::chr9:63676221-63676233(+) aagaggaagagg >mm10_chr9:63676228-63676239(+)::chr9:63676227-63676239(+) aagaggaagagg >mm10_chr9:63680615-63680626(+)::chr9:63680614-63680626(+) aggaggaagaag >mm10_chr9:63699485-63699496(-)::chr9:63699484-63699496(-) GGAAGGAAATGC >mm10_chr9:63699489-63699500(-)::chr9:63699488-63699500(-) AGGAGGAAGGAA >mm10_chr9:63720861-63720872(+)::chr9:63720860-63720872(+) ACAAGGAAGTGC >mm10_chr9:63728940-63728951(-)::chr9:63728939-63728951(-) aggaggaagagg >mm10_chr9:63728993-63729004(+)::chr9:63728992-63729004(+) ggacggaaggaa >mm10_chr9:63738180-63738191(-)::chr9:63738179-63738191(-) acgaggaagcag >mm10_chr9:63743229-63743240(+)::chr9:63743228-63743240(+) AGAAGGAAGATG >mm10_chr9:63743314-63743325(+)::chr9:63743313-63743325(+) agaaggaaggag >mm10_chr9:63780765-63780776(+)::chr9:63780764-63780776(+) AAGAGGAAGAAA >mm10_chr9:63780777-63780788(+)::chr9:63780776-63780788(+) AAGAGGAAGGAC >mm10_chr9:63786034-63786045(-)::chr9:63786033-63786045(-) ACAAGGAAGCTA >mm10_chr9:63791561-63791572(-)::chr9:63791560-63791572(-) AACAGGAAATGG >mm10_chr9:63797352-63797363(-)::chr9:63797351-63797363(-) AGCAGGAAGGGC >mm10_chr9:63797401-63797412(-)::chr9:63797400-63797412(-) AGGAGGAAGAGA >mm10_chr9:63797419-63797430(-)::chr9:63797418-63797430(-) ATAAGGAAGGGG >mm10_chr9:63803291-63803302(+)::chr9:63803290-63803302(+) TAAAGGAAGAAC >mm10_chr9:63803442-63803453(-)::chr9:63803441-63803453(-) AGCAGGAAGTTC >mm10_chr9:63837300-63837311(-)::chr9:63837299-63837311(-) AGGAGGAAGGAG >mm10_chr9:63876800-63876811(-)::chr9:63876799-63876811(-) AGAAGGAAATAT >mm10_chr9:63876839-63876850(-)::chr9:63876838-63876850(-) ATAGGGAAGTCA >mm10_chr9:63877055-63877066(-)::chr9:63877054-63877066(-) ACCAGGAAGCAG >mm10_chr9:63906980-63906991(-)::chr9:63906979-63906991(-) AAGAGGAAGTCT >mm10_chr9:63907698-63907709(+)::chr9:63907697-63907709(+) aggaggaagggg >mm10_chr9:63907707-63907718(+)::chr9:63907706-63907718(+) gggaggaaggag >mm10_chr9:63912137-63912148(-)::chr9:63912136-63912148(-) taaaggaagcct >mm10_chr9:63930750-63930761(+)::chr9:63930749-63930761(+) atcaggaagttg >mm10_chr9:64043136-64043147(-)::chr9:64043135-64043147(-) CCAAGGAAGTGA >mm10_chr9:64044260-64044271(+)::chr9:64044259-64044271(+) GCGAGGAAGAGT >mm10_chr9:64044278-64044289(+)::chr9:64044277-64044289(+) TGCGGGAAGTAG >mm10_chr9:64044285-64044296(+)::chr9:64044284-64044296(+) AGTAGGAAGTCC >mm10_chr9:64049845-64049856(+)::chr9:64049844-64049856(+) agcaggaagggc >mm10_chr9:64094413-64094424(-)::chr9:64094412-64094424(-) GCCAGGAAATGT >mm10_chr9:64094446-64094457(-)::chr9:64094445-64094457(-) AGGAGGAAGAGG >mm10_chr9:64179313-64179324(+)::chr9:64179312-64179324(+) CTAAGGAAGTGG >mm10_chr9:64227918-64227929(-)::chr9:64227917-64227929(-) AAAAGGAAGTGG >mm10_chr9:64233887-64233898(+)::chr9:64233886-64233898(+) GGAAGGAAGGCA >mm10_chr9:64233900-64233911(+)::chr9:64233899-64233911(+) AGAAGGAAGCAA >mm10_chr9:64239164-64239175(-)::chr9:64239163-64239175(-) aagaggaagaAG >mm10_chr9:64239170-64239181(-)::chr9:64239169-64239181(-) aggaggaagagg >mm10_chr9:64239182-64239193(-)::chr9:64239181-64239193(-) aggaggaagagg >mm10_chr9:64242466-64242477(-)::chr9:64242465-64242477(-) ttaaggaagtca >mm10_chr9:64242487-64242498(+)::chr9:64242486-64242498(+) gtaaggaagtgg >mm10_chr9:64249481-64249492(+)::chr9:64249480-64249492(+) TGGAGGAAGTGA >mm10_chr9:64250121-64250132(-)::chr9:64250120-64250132(-) AAGAGGAAGAAG >mm10_chr9:64318990-64319001(-)::chr9:64318989-64319001(-) AAGAGGAAATAA >mm10_chr9:64319005-64319016(+)::chr9:64319004-64319016(+) CAAAGGAAATAA >mm10_chr9:64319019-64319030(-)::chr9:64319018-64319030(-) ACCAGGAAGCGT >mm10_chr9:64349672-64349683(-)::chr9:64349671-64349683(-) AAAGGGAAGTGT >mm10_chr9:64377458-64377469(+)::chr9:64377457-64377469(+) AGAAGGAATTTA >mm10_chr9:64508219-64508230(+)::chr9:64508218-64508230(+) TTGAGGAAGTTC >mm10_chr9:64515145-64515156(+)::chr9:64515144-64515156(+) ACAAGGAGGTGG >mm10_chr9:64688253-64688264(+)::chr9:64688252-64688264(+) ATGAGGAAGCAG >mm10_chr9:64703090-64703101(+)::chr9:64703089-64703101(+) GACAGGAAGGCA >mm10_chr9:64703127-64703138(+)::chr9:64703126-64703138(+) ATGAGGAAGTAT >mm10_chr9:64736708-64736719(+)::chr9:64736707-64736719(+) AGCAGGAAGCAA >mm10_chr9:64803573-64803584(+)::chr9:64803572-64803584(+) AGAAGGAAGTAT >mm10_chr9:64804452-64804463(+)::chr9:64804451-64804463(+) tccaggaagagg >mm10_chr9:64804489-64804500(-)::chr9:64804488-64804500(-) tccaggaagaaa >mm10_chr9:64811002-64811013(+)::chr9:64811001-64811013(+) GGAAGGAAGAAG >mm10_chr9:64811046-64811057(+)::chr9:64811045-64811057(+) AAGAGGAAGGTA >mm10_chr9:64830218-64830229(+)::chr9:64830217-64830229(+) AAGAGGAAGTAA >mm10_chr9:64862765-64862776(+)::chr9:64862764-64862776(+) AGATGGAAGTTg >mm10_chr9:65000866-65000877(+)::chr9:65000865-65000877(+) ggcaggaagggc >mm10_chr9:65000875-65000886(+)::chr9:65000874-65000886(+) ggcaggaagggc >mm10_chr9:65000884-65000895(+)::chr9:65000883-65000895(+) ggcaggaagggc >mm10_chr9:65032427-65032438(+)::chr9:65032426-65032438(+) AACCGGAAGTGC >mm10_chr9:65033170-65033181(-)::chr9:65033169-65033181(-) ATGAGGAAGGAG >mm10_chr9:65043233-65043244(-)::chr9:65043232-65043244(-) aggaggaagaag >mm10_chr9:65043242-65043253(-)::chr9:65043241-65043253(-) aggaggaagagg >mm10_chr9:65043254-65043265(-)::chr9:65043253-65043265(-) aacaggaagagg >mm10_chr9:65113904-65113915(-)::chr9:65113903-65113915(-) AACAGGAAGAGA >mm10_chr9:65113938-65113949(+)::chr9:65113937-65113949(+) ACAAGGATATAA >mm10_chr9:65117768-65117779(+)::chr9:65117767-65117779(+) TGAAGGAAATGC >mm10_chr9:65214619-65214630(-)::chr9:65214618-65214630(-) AACAGGAAGTCG >mm10_chr9:65217265-65217276(+)::chr9:65217264-65217276(+) TACAGGAAGTGG >mm10_chr9:65217293-65217304(-)::chr9:65217292-65217304(-) GGACGGAAGTCG >mm10_chr9:65283435-65283446(-)::chr9:65283434-65283446(-) GAGAGGAAGTAG >mm10_chr9:65299881-65299892(-)::chr9:65299880-65299892(-) AGAAGGAAGTCT >mm10_chr9:65315935-65315946(-)::chr9:65315934-65315946(-) aagaggaagggg >mm10_chr9:65315941-65315952(-)::chr9:65315940-65315952(-) aggaggaagagg >mm10_chr9:65315962-65315973(-)::chr9:65315961-65315973(-) aggaggaagaag >mm10_chr9:65346029-65346040(+)::chr9:65346028-65346040(+) TCCGGGAAGTGA >mm10_chr9:65346069-65346080(-)::chr9:65346068-65346080(-) AGGAGGAAGTGA >mm10_chr9:65346090-65346101(+)::chr9:65346089-65346101(+) ATAAAGAAGTTC >mm10_chr9:65352005-65352016(-)::chr9:65352004-65352016(-) tacaggaagagg >mm10_chr9:65352035-65352046(+)::chr9:65352034-65352046(+) agaaggaaaaag >mm10_chr9:65352066-65352077(-)::chr9:65352065-65352077(-) taaaggaagtta >mm10_chr9:65391100-65391111(-)::chr9:65391099-65391111(-) ACGAGGAAGAGG >mm10_chr9:65391772-65391783(-)::chr9:65391771-65391783(-) GCCAGGAAGGTA >mm10_chr9:65424532-65424543(+)::chr9:65424531-65424543(+) acaaggaattta >mm10_chr9:65460896-65460907(-)::chr9:65460895-65460907(-) GCGAGGAAGGGG >mm10_chr9:65460930-65460941(+)::chr9:65460929-65460941(+) ACCCGGAAGCGG >mm10_chr9:65467455-65467466(+)::chr9:65467454-65467466(+) TGGAGGAAGTTG >mm10_chr9:65493106-65493117(+)::chr9:65493105-65493117(+) ATGCGGAAGTAA >mm10_chr9:65524337-65524348(-)::chr9:65524336-65524348(-) agaaggaaagaa >mm10_chr9:65539794-65539805(-)::chr9:65539793-65539805(-) TAAAGGAAACGG >mm10_chr9:65539806-65539817(-)::chr9:65539805-65539817(-) AAGAGGAAGAGT >mm10_chr9:65545779-65545790(+)::chr9:65545778-65545790(+) AACAGGAAGTGG >mm10_chr9:65575965-65575976(+)::chr9:65575964-65575976(+) ATGGGGAAGTGG >mm10_chr9:65576009-65576020(+)::chr9:65576008-65576020(+) ATGAGGAAGTGG >mm10_chr9:65578394-65578405(+)::chr9:65578393-65578405(+) TCCAGGAAGTGC >mm10_chr9:65578408-65578419(+)::chr9:65578407-65578419(+) AGCAGGAAGGCT >mm10_chr9:65583216-65583227(+)::chr9:65583215-65583227(+) AGAAGGAAGTTG >mm10_chr9:65603269-65603280(-)::chr9:65603268-65603280(-) agaaggaaacgt >mm10_chr9:65603305-65603316(+)::chr9:65603304-65603316(+) agaaggaggtac >mm10_chr9:65721315-65721326(-)::chr9:65721314-65721326(-) GTAAGGAAGGGT >mm10_chr9:65741140-65741151(+)::chr9:65741139-65741151(+) ACAAGGAACTCT >mm10_chr9:65741176-65741187(-)::chr9:65741175-65741187(-) AGCAGGAAGTTA >mm10_chr9:65790493-65790504(+)::chr9:65790492-65790504(+) AGGAGGAAGGCA >mm10_chr9:65823570-65823581(-)::chr9:65823569-65823581(-) AAAAGGAAATGG >mm10_chr9:65823583-65823594(+)::chr9:65823582-65823594(+) ATAAGCAAGTCC >mm10_chr9:65823614-65823625(-)::chr9:65823613-65823625(-) GGAAGGAAATAG >mm10_chr9:65879134-65879145(-)::chr9:65879133-65879145(-) AACAGGAAGTTC >mm10_chr9:65908875-65908886(+)::chr9:65908874-65908886(+) ACCCGGAAGTGG >mm10_chr9:65952722-65952733(+)::chr9:65952721-65952733(+) AGGAGGAAGGTA >mm10_chr9:66039599-66039610(+)::chr9:66039598-66039610(+) AAAAGGAAGAAG >mm10_chr9:66054736-66054747(+)::chr9:66054735-66054747(+) aggaggaagaga >mm10_chr9:66054797-66054808(+)::chr9:66054796-66054808(+) atgaggaaggaa >mm10_chr9:66077177-66077188(-)::chr9:66077176-66077188(-) AGCAGGAAGGTT >mm10_chr9:66077201-66077212(-)::chr9:66077200-66077212(-) TGAAGGAAGCCC >mm10_chr9:66092447-66092458(+)::chr9:66092446-66092458(+) CACGGGAAGTGA >mm10_chr9:66093205-66093216(+)::chr9:66093204-66093216(+) AGGAGGAAGAAG >mm10_chr9:66124150-66124161(+)::chr9:66124149-66124161(+) ACCAGGAAGTGC >mm10_chr9:66124763-66124774(-)::chr9:66124762-66124774(-) TGGAGGAAGATG >mm10_chr9:66127287-66127298(-)::chr9:66127286-66127298(-) AGAAGGAAAGAC >mm10_chr9:66127904-66127915(+)::chr9:66127903-66127915(+) GCCAGGAAGAAG >mm10_chr9:66131835-66131846(-)::chr9:66131834-66131846(-) AGAAGGAAAGAA >mm10_chr9:66196694-66196705(-)::chr9:66196693-66196705(-) AGAGGGAAGTGG >mm10_chr9:66266504-66266515(+)::chr9:66266503-66266515(+) AGAAGGAAGTGG >mm10_chr9:66277214-66277225(-)::chr9:66277213-66277225(-) ACCAGGAAATGC >mm10_chr9:66439941-66439952(+)::chr9:66439940-66439952(+) CCACGGAAGTAG >mm10_chr9:66503882-66503893(-)::chr9:66503881-66503893(-) TACAGGAAGAAC >mm10_chr9:66503905-66503916(-)::chr9:66503904-66503916(-) GCAAGGAAATGA >mm10_chr9:66514234-66514245(+)::chr9:66514233-66514245(+) AGGAGGAAATCA >mm10_chr9:66519304-66519315(+)::chr9:66519303-66519315(+) AGTAGGAAGTCA >mm10_chr9:66544021-66544032(-)::chr9:66544020-66544032(-) ACAGGGAAGTAC >mm10_chr9:66544034-66544045(+)::chr9:66544033-66544045(+) ACACGGAAGAAA >mm10_chr9:66559455-66559466(+)::chr9:66559454-66559466(+) AGAAGGAAATTT >mm10_chr9:66559479-66559490(+)::chr9:66559478-66559490(+) ATAAGGAAGGAA >mm10_chr9:66560451-66560462(-)::chr9:66560450-66560462(-) GGAAGGAAGCTG >mm10_chr9:66560455-66560466(-)::chr9:66560454-66560466(-) TGCAGGAAGGAA >mm10_chr9:66586700-66586711(-)::chr9:66586699-66586711(-) AGGAGGAAGTAC >mm10_chr9:66591914-66591925(+)::chr9:66591913-66591925(+) ACCAGGAAGTAA >mm10_chr9:66601117-66601128(+)::chr9:66601116-66601128(+) AGCAGGAAGGGG >mm10_chr9:66606406-66606417(-)::chr9:66606405-66606417(-) AGGAGGAAGAGG >mm10_chr9:66606706-66606717(+)::chr9:66606705-66606717(+) CCAAGGAAATCA >mm10_chr9:66606719-66606730(+)::chr9:66606718-66606730(+) ACAAGGAAGAAT >mm10_chr9:66669729-66669740(-)::chr9:66669728-66669740(-) AGAAGGAAGGGA >mm10_chr9:66712424-66712435(-)::chr9:66712423-66712435(-) TCAAGGAAGTTG >mm10_chr9:66718553-66718564(+)::chr9:66718552-66718564(+) AACAGGAAGACA >mm10_chr9:66718802-66718813(+)::chr9:66718801-66718813(+) ACCAGGAAGCAT >mm10_chr9:66725734-66725745(+)::chr9:66725733-66725745(+) ACCAGGAAGGAA >mm10_chr9:66725759-66725770(-)::chr9:66725758-66725770(-) ACAAGGAGGTGG >mm10_chr9:66726195-66726206(+)::chr9:66726194-66726206(+) accaggaaggcc >mm10_chr9:66739691-66739702(-)::chr9:66739690-66739702(-) TGCAGGAAGGGT >mm10_chr9:66764812-66764823(-)::chr9:66764811-66764823(-) CCAAGGAAGACA >mm10_chr9:66856165-66856176(-)::chr9:66856164-66856176(-) AGCAGGAAGCAG >mm10_chr9:66882733-66882744(+)::chr9:66882732-66882744(+) AGCAGGAACTAA >mm10_chr9:66882750-66882761(+)::chr9:66882749-66882761(+) ATGAGGAAGCTA >mm10_chr9:66978271-66978282(-)::chr9:66978270-66978282(-) agcaGGAagctg >mm10_chr9:67008905-67008916(-)::chr9:67008904-67008916(-) AGAAGGAAGTGA >mm10_chr9:67020102-67020113(-)::chr9:67020101-67020113(-) TTAAGGAAGTGG >mm10_chr9:67040673-67040684(+)::chr9:67040672-67040684(+) ACAAGGAAGTGG >mm10_chr9:67081943-67081954(+)::chr9:67081942-67081954(+) GAAAGGAAGAAT >mm10_chr9:67098939-67098950(+)::chr9:67098938-67098950(+) AGCAGGAAGTCC >mm10_chr9:67098950-67098961(-)::chr9:67098949-67098961(-) GGAAGGAACTGG >mm10_chr9:67180815-67180826(-)::chr9:67180814-67180826(-) ataaggaaacca >mm10_chr9:67198279-67198290(-)::chr9:67198278-67198290(-) AGAAGGAACGAA >mm10_chr9:67198295-67198306(+)::chr9:67198294-67198306(+) AAGAGGAAGAAA >mm10_chr9:67208715-67208726(+)::chr9:67208714-67208726(+) AGCAGGAAGCAG >mm10_chr9:67260880-67260891(-)::chr9:67260879-67260891(-) GGAAGGAAGGAA >mm10_chr9:67260884-67260895(-)::chr9:67260883-67260895(-) GGCAGGAAGGAA >mm10_chr9:67275078-67275089(-)::chr9:67275077-67275089(-) AGAAGGAAGTAA >mm10_chr9:67304984-67304995(+)::chr9:67304983-67304995(+) AAAAGGAAGGGC >mm10_chr9:67308786-67308797(+)::chr9:67308785-67308797(+) aaaaggaagtct >mm10_chr9:67308793-67308804(-)::chr9:67308792-67308804(-) agaaggaagact >mm10_chr9:67380055-67380066(-)::chr9:67380054-67380066(-) TGCAGGAAGAGA >mm10_chr9:67477557-67477568(-)::chr9:67477556-67477568(-) GGAAGGCAGTGT >mm10_chr9:67477561-67477572(-)::chr9:67477560-67477572(-) ATAAGGAAGGCA >mm10_chr9:67478057-67478068(+)::chr9:67478056-67478068(+) ACAAGGAAAAAC >mm10_chr9:67576058-67576069(+)::chr9:67576057-67576069(+) tggaggaagtag >mm10_chr9:67576108-67576119(-)::chr9:67576107-67576119(-) aacaggaagagg >mm10_chr9:67580038-67580049(+)::chr9:67580037-67580049(+) GCAATGAAGTAG >mm10_chr9:67580077-67580088(-)::chr9:67580076-67580088(-) TGAAGGATGTAC >mm10_chr9:67617466-67617477(+)::chr9:67617465-67617477(+) ACAAGGAACTGT >mm10_chr9:67631735-67631746(-)::chr9:67631734-67631746(-) AGAAGGAAGGGT >mm10_chr9:67631778-67631789(+)::chr9:67631777-67631789(+) ACAAGGAAGGTA >mm10_chr9:67658773-67658784(+)::chr9:67658772-67658784(+) GCAAGTAAGTAT >mm10_chr9:67658846-67658857(-)::chr9:67658845-67658857(-) AACAGGAAATTG >mm10_chr9:67726821-67726832(-)::chr9:67726820-67726832(-) GGAAGGAAGCAC >mm10_chr9:67726825-67726836(-)::chr9:67726824-67726836(-) GGAAGGAAGGAA >mm10_chr9:67726829-67726840(-)::chr9:67726828-67726840(-) GGACGGAAGGAA >mm10_chr9:67775239-67775250(-)::chr9:67775238-67775250(-) AGGAGGAAGTAT >mm10_chr9:67782427-67782438(-)::chr9:67782426-67782438(-) ttagggaagttg >mm10_chr9:67782443-67782454(-)::chr9:67782442-67782454(-) tgcaggaaggca >mm10_chr9:67790078-67790089(-)::chr9:67790077-67790089(-) ggaaggaagggt >mm10_chr9:67790082-67790093(-)::chr9:67790081-67790093(-) ggaaggaaggaa >mm10_chr9:67790086-67790097(-)::chr9:67790085-67790097(-) ggaaggaaggaa >mm10_chr9:67790090-67790101(-)::chr9:67790089-67790101(-) ATaaggaaggaa >mm10_chr9:67799632-67799643(-)::chr9:67799631-67799643(-) AAAAGGAAATGA >mm10_chr9:67799662-67799673(-)::chr9:67799661-67799673(-) CAGAGGAAGTTG >mm10_chr9:67799691-67799702(-)::chr9:67799690-67799702(-) AGAAGGAAGTGA >mm10_chr9:67813901-67813912(+)::chr9:67813900-67813912(+) AGAGGGAAGTAG >mm10_chr9:67824499-67824510(+)::chr9:67824498-67824510(+) GAAAGGAAGCAG >mm10_chr9:67824506-67824517(+)::chr9:67824505-67824517(+) AGCAGGAAGGCT >mm10_chr9:67840683-67840694(+)::chr9:67840682-67840694(+) ACAAGGAAAAAA >mm10_chr9:67845971-67845982(-)::chr9:67845970-67845982(-) AGGAGGAAGGAC >mm10_chr9:67847230-67847241(+)::chr9:67847229-67847241(+) AACAGGAAGCTG >mm10_chr9:67847257-67847268(-)::chr9:67847256-67847268(-) AAAAGGAAGTAA >mm10_chr9:67867623-67867634(-)::chr9:67867622-67867634(-) TAGAGGAAGAAA >mm10_chr9:68328858-68328869(-)::chr9:68328857-68328869(-) GGCAGGAAGGGA >mm10_chr9:68330884-68330895(+)::chr9:68330883-68330895(+) ACAAGGAACTTG >mm10_chr9:68330921-68330932(-)::chr9:68330920-68330932(-) GGAAGGAAGGGT >mm10_chr9:68356878-68356889(+)::chr9:68356877-68356889(+) aacaggaagtag >mm10_chr9:68356928-68356939(-)::chr9:68356927-68356939(-) tggaggaagtgg >mm10_chr9:68389913-68389924(-)::chr9:68389912-68389924(-) AGAAGGAAGGCT >mm10_chr9:68438760-68438771(+)::chr9:68438759-68438771(+) aggaggaagagt >mm10_chr9:68438780-68438791(+)::chr9:68438779-68438791(+) ggaatgaagtaa >mm10_chr9:68438784-68438795(+)::chr9:68438783-68438795(+) tgaagtaagtta >mm10_chr9:68438796-68438807(+)::chr9:68438795-68438807(+) agaaggaaggaa >mm10_chr9:68438800-68438811(+)::chr9:68438799-68438811(+) ggaaggaagacc >mm10_chr9:68438813-68438824(+)::chr9:68438812-68438824(+) ggaaggaaggag >mm10_chr9:68438824-68438835(+)::chr9:68438823-68438835(+) gagaggaaggaa >mm10_chr9:68438828-68438839(+)::chr9:68438827-68438839(+) ggaaggaaggaa >mm10_chr9:68438832-68438843(+)::chr9:68438831-68438843(+) ggaaggaaggaa >mm10_chr9:68562295-68562306(-)::chr9:68562294-68562306(-) AACAGGAAATCA >mm10_chr9:68752656-68752667(+)::chr9:68752655-68752667(+) ACAAGCAAGTAA >mm10_chr9:68752665-68752676(-)::chr9:68752664-68752676(-) CTTAGGAAGTTA >mm10_chr9:68774152-68774163(+)::chr9:68774151-68774163(+) ATGAGGAAGAGC >mm10_chr9:68859044-68859055(-)::chr9:68859043-68859055(-) AGAAGGAAGTTA >mm10_chr9:68859061-68859072(+)::chr9:68859060-68859072(+) TGCAGGAAGTCA >mm10_chr9:68876505-68876516(-)::chr9:68876504-68876516(-) AGAAGGAAGAGG >mm10_chr9:68884577-68884588(+)::chr9:68884576-68884588(+) TCCAGGAAGTTC >mm10_chr9:68884643-68884654(-)::chr9:68884642-68884654(-) AAAAGGAAGCGA >mm10_chr9:68888917-68888928(+)::chr9:68888916-68888928(+) ACCAGGAAGAAA >mm10_chr9:68888961-68888972(-)::chr9:68888960-68888972(-) ATAGGGAAATGA >mm10_chr9:68913030-68913041(+)::chr9:68913029-68913041(+) ggaaggaagagg >mm10_chr9:68913036-68913047(+)::chr9:68913035-68913047(+) aagaggaagaag >mm10_chr9:68913043-68913054(+)::chr9:68913042-68913054(+) agaaggaaaggg >mm10_chr9:68913053-68913064(+)::chr9:68913052-68913064(+) ggaAGAAAGTGG >mm10_chr9:68914945-68914956(+)::chr9:68914944-68914956(+) Tggaggaagagg >mm10_chr9:68914954-68914965(+)::chr9:68914953-68914965(+) aggaggaagagg >mm10_chr9:68985646-68985657(-)::chr9:68985645-68985657(-) AGAAGGAAATGA >mm10_chr9:69015269-69015280(+)::chr9:69015268-69015280(+) ATAAGGATGTGC >mm10_chr9:69027306-69027317(-)::chr9:69027305-69027317(-) AGAAGGAAGTAC >mm10_chr9:69028409-69028420(+)::chr9:69028408-69028420(+) AACAGGAAATGG >mm10_chr9:69028435-69028446(-)::chr9:69028434-69028446(-) AGAAGGAAGACT >mm10_chr9:69037674-69037685(+)::chr9:69037673-69037685(+) AGGAGGAAGGAG >mm10_chr9:69098595-69098606(-)::chr9:69098594-69098606(-) GAAAGGAAGCAG >mm10_chr9:69125402-69125413(+)::chr9:69125401-69125413(+) AGACGGAAGTTT >mm10_chr9:69125435-69125446(+)::chr9:69125434-69125446(+) TGCAGGAAGTGT >mm10_chr9:69134919-69134930(+)::chr9:69134918-69134930(+) AGCAGGAAGGAC >mm10_chr9:69162763-69162774(-)::chr9:69162762-69162774(-) ACAAGGAAGGAG >mm10_chr9:69169865-69169876(+)::chr9:69169864-69169876(+) AGGAGGAAGTAG >mm10_chr9:69191190-69191201(-)::chr9:69191189-69191201(-) AGGAGGAAGCCA >mm10_chr9:69191248-69191259(+)::chr9:69191247-69191259(+) CACAGGAAGTTA >mm10_chr9:69226773-69226784(-)::chr9:69226772-69226784(-) TTCAGGAAGTTT >mm10_chr9:69234605-69234616(-)::chr9:69234604-69234616(-) AGAAGGAAGTTC >mm10_chr9:69242719-69242730(+)::chr9:69242718-69242730(+) CTAAGGAAATGA >mm10_chr9:69242749-69242760(-)::chr9:69242748-69242760(-) TGACGGAAATGA >mm10_chr9:69244095-69244106(+)::chr9:69244094-69244106(+) GTAGGGAAGTCA >mm10_chr9:69253703-69253714(-)::chr9:69253702-69253714(-) TAAAGGAAGAGA >mm10_chr9:69253712-69253723(-)::chr9:69253711-69253723(-) ACAGGGAAATAA >mm10_chr9:69263856-69263867(-)::chr9:69263855-69263867(-) GGAAGGAAGCCC >mm10_chr9:69284521-69284532(+)::chr9:69284520-69284532(+) TTGAGGAAGTGA >mm10_chr9:69284543-69284554(+)::chr9:69284542-69284554(+) TCAGGGAAGTGA >mm10_chr9:69289818-69289829(+)::chr9:69289817-69289829(+) GGGAGGAAGCGG >mm10_chr9:69324156-69324167(+)::chr9:69324155-69324167(+) AAAGGGAAGATA >mm10_chr9:69324165-69324176(+)::chr9:69324164-69324176(+) ATAAGGAAGTAC >mm10_chr9:69398192-69398203(-)::chr9:69398191-69398203(-) CCGAGGAAGACA >mm10_chr9:69442717-69442728(-)::chr9:69442716-69442728(-) AAGAGGAAATAG >mm10_chr9:69455682-69455693(+)::chr9:69455681-69455693(+) TTCAGGAAGTTT >mm10_chr9:69813525-69813536(+)::chr9:69813524-69813536(+) ATGAGGAAATGG >mm10_chr9:69814474-69814485(-)::chr9:69814473-69814485(-) GGAAGGAAGAGA >mm10_chr9:69814494-69814505(-)::chr9:69814493-69814505(-) AGAAGGAAGTGG >mm10_chr9:69907536-69907547(+)::chr9:69907535-69907547(+) GCCAGGAAGATT >mm10_chr9:69989436-69989447(-)::chr9:69989435-69989447(-) GGGAGGAAGCGG >mm10_chr9:69989855-69989866(-)::chr9:69989854-69989866(-) AAAAGGAAGGGG >mm10_chr9:70012552-70012563(-)::chr9:70012551-70012563(-) ACCCGGAAGTGC >mm10_chr9:70014017-70014028(+)::chr9:70014016-70014028(+) AACAGGAAATAC >mm10_chr9:70048615-70048626(+)::chr9:70048614-70048626(+) agaaggaagagg >mm10_chr9:70063270-70063281(-)::chr9:70063269-70063281(-) TGGAGGAAATGA >mm10_chr9:70069062-70069073(-)::chr9:70069061-70069073(-) aagaggaagaag >mm10_chr9:70069068-70069079(-)::chr9:70069067-70069079(-) aagaggaagagg >mm10_chr9:70069080-70069091(-)::chr9:70069079-70069091(-) agaaggaagaac >mm10_chr9:70102784-70102795(-)::chr9:70102783-70102795(-) AGAAGGAAGTTG >mm10_chr9:70105376-70105387(+)::chr9:70105375-70105387(+) AAGAGGAAGTAG >mm10_chr9:70145360-70145371(+)::chr9:70145359-70145371(+) GCAAGGAAGACG >mm10_chr9:70182983-70182994(-)::chr9:70182982-70182994(-) AGGAGGAAATGT >mm10_chr9:70207843-70207854(-)::chr9:70207842-70207854(-) AGCAGGAAATCA >mm10_chr9:70209923-70209934(+)::chr9:70209922-70209934(+) TGGAGGAAGAAC >mm10_chr9:70210152-70210163(-)::chr9:70210151-70210163(-) agcaggaagcag >mm10_chr9:70211845-70211856(-)::chr9:70211844-70211856(-) GGGAGGAAGTAT >mm10_chr9:70216914-70216925(-)::chr9:70216913-70216925(-) ctgaggaagtcc >mm10_chr9:70216958-70216969(-)::chr9:70216957-70216969(-) TCAAGGAAGGAG >mm10_chr9:70216971-70216982(+)::chr9:70216970-70216982(+) GGAATGAAGTGG >mm10_chr9:70218540-70218551(+)::chr9:70218539-70218551(+) aggaggaagagg >mm10_chr9:70218555-70218566(+)::chr9:70218554-70218566(+) aggaggaagagg >mm10_chr9:70218568-70218579(-)::chr9:70218567-70218579(-) TAGAGGAAGtcc >mm10_chr9:70243438-70243449(-)::chr9:70243437-70243449(-) TGCAGGAAGGCA >mm10_chr9:70243475-70243486(-)::chr9:70243474-70243486(-) AGGAGGAAGAGA >mm10_chr9:70258010-70258021(+)::chr9:70258009-70258021(+) accaggaaggtt >mm10_chr9:70297095-70297106(+)::chr9:70297094-70297106(+) AGGAGGAAGACC >mm10_chr9:70317523-70317534(-)::chr9:70317522-70317534(-) aggaggaagaag >mm10_chr9:70317532-70317543(-)::chr9:70317531-70317543(-) aagaggaagagg >mm10_chr9:70317565-70317576(-)::chr9:70317564-70317576(-) aggaggaagaag >mm10_chr9:70317582-70317593(-)::chr9:70317581-70317593(-) aggaggaagagg >mm10_chr9:70331952-70331963(+)::chr9:70331951-70331963(+) TGGAGGAAGTCA >mm10_chr9:70358142-70358153(+)::chr9:70358141-70358153(+) AAAAGGAAGAAG >mm10_chr9:70358185-70358196(+)::chr9:70358184-70358196(+) CAAAGGAAGAAC >mm10_chr9:70367148-70367159(-)::chr9:70367147-70367159(-) AGGAGGAAGCAG >mm10_chr9:70367164-70367175(+)::chr9:70367163-70367175(+) CCAAGGAAGAGG >mm10_chr9:70413230-70413241(-)::chr9:70413229-70413241(-) TGAAGGAAGAGA >mm10_chr9:70421866-70421877(-)::chr9:70421865-70421877(-) TCCAGGAAGTTC >mm10_chr9:70497185-70497196(-)::chr9:70497184-70497196(-) CAGAGGAAGTGA >mm10_chr9:70497206-70497217(-)::chr9:70497205-70497217(-) ATAAGGAAGCAG >mm10_chr9:70503883-70503894(-)::chr9:70503882-70503894(-) AAGAGGAAGGAC >mm10_chr9:70529693-70529704(-)::chr9:70529692-70529704(-) AGAAGGAAGAGG >mm10_chr9:70529703-70529714(-)::chr9:70529702-70529714(-) AGGAGGAAGGAG >mm10_chr9:70529720-70529731(-)::chr9:70529719-70529731(-) AAGAGGAAGAAG >mm10_chr9:70529726-70529737(-)::chr9:70529725-70529737(-) GGAAGGAAGAGG >mm10_chr9:70539056-70539067(+)::chr9:70539055-70539067(+) AACAGGAAATAA >mm10_chr9:70544157-70544168(-)::chr9:70544156-70544168(-) AGAGGGAAATAG >mm10_chr9:70544188-70544199(+)::chr9:70544187-70544199(+) TCAAGGAAGAAA >mm10_chr9:70544192-70544203(+)::chr9:70544191-70544203(+) GGAAGAAAGTTA >mm10_chr9:70656244-70656255(+)::chr9:70656243-70656255(+) TGTAGGAAGTAG >mm10_chr9:70657462-70657473(+)::chr9:70657461-70657473(+) TAAAGGCAGTGG >mm10_chr9:70671413-70671424(+)::chr9:70671412-70671424(+) gggaggaaggca >mm10_chr9:70671491-70671502(-)::chr9:70671490-70671502(-) aggaggaagcgg >mm10_chr9:70671935-70671946(+)::chr9:70671934-70671946(+) TCCAGGAAGTAA >mm10_chr9:70678986-70678997(+)::chr9:70678985-70678997(+) gcagggaagcgg >mm10_chr9:70679002-70679013(+)::chr9:70679001-70679013(+) gggaggaaggaa >mm10_chr9:70679006-70679017(+)::chr9:70679005-70679017(+) ggaaggaagagg >mm10_chr9:70690156-70690167(+)::chr9:70690155-70690167(+) AGGAGGAAATGA >mm10_chr9:70713366-70713377(+)::chr9:70713365-70713377(+) atcaggaagcag >mm10_chr9:70720397-70720408(+)::chr9:70720396-70720408(+) AACAGGAAGGTC >mm10_chr9:70720431-70720442(+)::chr9:70720430-70720442(+) ACAGGGAAGTCA >mm10_chr9:70760890-70760901(-)::chr9:70760889-70760901(-) CTAAGGAAGCAC >mm10_chr9:70761152-70761163(+)::chr9:70761151-70761163(+) ACAAGGAAGTGT >mm10_chr9:70812696-70812707(+)::chr9:70812695-70812707(+) ATGAGGAAGAGC >mm10_chr9:70813794-70813805(-)::chr9:70813793-70813805(-) aggaggaaggag >mm10_chr9:70830366-70830377(-)::chr9:70830365-70830377(-) ATAAGGAAGTGA >mm10_chr9:70830384-70830395(-)::chr9:70830383-70830395(-) GACAGGAAATAA >mm10_chr9:70832333-70832344(-)::chr9:70832332-70832344(-) TTCAGGAAGTGG >mm10_chr9:70832360-70832371(-)::chr9:70832359-70832371(-) AGGAGGAAATGC >mm10_chr9:70834848-70834859(+)::chr9:70834847-70834859(+) ACAGGGAAGTCA >mm10_chr9:70835581-70835592(+)::chr9:70835580-70835592(+) agaaggaaaaag >mm10_chr9:70835599-70835610(+)::chr9:70835598-70835610(+) agaaggaAGGAA >mm10_chr9:70835603-70835614(+)::chr9:70835602-70835614(+) ggaAGGAAGGAA >mm10_chr9:70835607-70835618(+)::chr9:70835606-70835618(+) GGAAGGAAGGAA >mm10_chr9:70841882-70841893(-)::chr9:70841881-70841893(-) AAAAGGAACTTG >mm10_chr9:70842578-70842589(+)::chr9:70842577-70842589(+) ATCAGGAAGTTG >mm10_chr9:70848200-70848211(-)::chr9:70848199-70848211(-) AAAAGGAAGTCG >mm10_chr9:70848240-70848251(-)::chr9:70848239-70848251(-) GGAGGGAAGTGC >mm10_chr9:70855105-70855116(+)::chr9:70855104-70855116(+) agaaggaagagt >mm10_chr9:70863257-70863268(+)::chr9:70863256-70863268(+) AGAAGGAAATAT >mm10_chr9:70875116-70875127(-)::chr9:70875115-70875127(-) ACCAGGAAGAGA >mm10_chr9:70879033-70879044(-)::chr9:70879032-70879044(-) GCCAGGAAGAGG >mm10_chr9:70884907-70884918(+)::chr9:70884906-70884918(+) GACAGGAAATGT >mm10_chr9:70910735-70910746(-)::chr9:70910734-70910746(-) TGGAGGAAGTTC >mm10_chr9:70921230-70921241(+)::chr9:70921229-70921241(+) ACAAGGAAATGG >mm10_chr9:70921290-70921301(-)::chr9:70921289-70921301(-) ATAAGGAAGTTT >mm10_chr9:70958622-70958633(+)::chr9:70958621-70958633(+) ACCAGGAAGTGA >mm10_chr9:70977540-70977551(+)::chr9:70977539-70977551(+) CCTAGGAAGTGA >mm10_chr9:70977565-70977576(-)::chr9:70977564-70977576(-) AATAGGAAATAC >mm10_chr9:71018329-71018340(+)::chr9:71018328-71018340(+) CAAAGGAAGACA >mm10_chr9:71024339-71024350(+)::chr9:71024338-71024350(+) ggaaggaaggaa >mm10_chr9:71024343-71024354(+)::chr9:71024342-71024354(+) ggaaggaaggaa >mm10_chr9:71024347-71024358(+)::chr9:71024346-71024358(+) ggaaggaaggaa >mm10_chr9:71024351-71024362(+)::chr9:71024350-71024362(+) ggaaggaaggaa >mm10_chr9:71024355-71024366(+)::chr9:71024354-71024366(+) ggaaggaaggaa >mm10_chr9:71024359-71024370(+)::chr9:71024358-71024370(+) ggaaggaaggaa >mm10_chr9:71024363-71024374(+)::chr9:71024362-71024374(+) ggaaggaaggaa >mm10_chr9:71024375-71024386(+)::chr9:71024374-71024386(+) agaaggaagaag >mm10_chr9:71024382-71024393(+)::chr9:71024381-71024393(+) agaaggaagaag >mm10_chr9:71024389-71024400(+)::chr9:71024388-71024400(+) agaaggaagaag >mm10_chr9:71024396-71024407(+)::chr9:71024395-71024407(+) agaaggaagaag >mm10_chr9:71024403-71024414(+)::chr9:71024402-71024414(+) agaaggaagaaa >mm10_chr9:71025899-71025910(+)::chr9:71025898-71025910(+) GCCAGGAAGTGC >mm10_chr9:71025914-71025925(-)::chr9:71025913-71025925(-) ACAAGGAACTAG >mm10_chr9:71165356-71165367(+)::chr9:71165355-71165367(+) TGAAGGAAGGAA >mm10_chr9:71167218-71167229(-)::chr9:71167217-71167229(-) TAGGGGAAGTaa >mm10_chr9:71174544-71174555(-)::chr9:71174543-71174555(-) AGCAGGAAGATA >mm10_chr9:71174556-71174567(-)::chr9:71174555-71174567(-) GGCAGGAAGACT >mm10_chr9:71239864-71239875(-)::chr9:71239863-71239875(-) atgaggaagttg >mm10_chr9:71321034-71321045(-)::chr9:71321033-71321045(-) TACAGGAAGAGA >mm10_chr9:71331019-71331030(+)::chr9:71331018-71331030(+) aggaggaagagg >mm10_chr9:71331031-71331042(+)::chr9:71331030-71331042(+) aggaggaagaaa >mm10_chr9:71331039-71331050(+)::chr9:71331038-71331050(+) gaaaggaagagg >mm10_chr9:71331045-71331056(+)::chr9:71331044-71331056(+) aagaggaagggg >mm10_chr9:71331057-71331068(+)::chr9:71331056-71331068(+) aggaggaagaga >mm10_chr9:71365989-71366000(-)::chr9:71365988-71366000(-) atgaggaagggg >mm10_chr9:71366023-71366034(-)::chr9:71366022-71366034(-) aggaggaagaga >mm10_chr9:71384172-71384183(-)::chr9:71384171-71384183(-) tggaggaagaag >mm10_chr9:71384212-71384223(-)::chr9:71384211-71384223(-) tcaaggaagtag >mm10_chr9:71485989-71486000(+)::chr9:71485988-71486000(+) CCGAGGAAGCGT >mm10_chr9:71557843-71557854(+)::chr9:71557842-71557854(+) ACGAGGAAGGGA >mm10_chr9:71568170-71568181(-)::chr9:71568169-71568181(-) TAAAGGAAGTAC >mm10_chr9:71578633-71578644(-)::chr9:71578632-71578644(-) ACAAGGAAGCCT >mm10_chr9:71597451-71597462(+)::chr9:71597450-71597462(+) ataaggaaggtg >mm10_chr9:71597486-71597497(+)::chr9:71597485-71597497(+) ataaggaagtgg >mm10_chr9:71606432-71606443(+)::chr9:71606431-71606443(+) TGCAGGAAGAGA >mm10_chr9:71606447-71606458(+)::chr9:71606446-71606458(+) GGGAGGAAGAAG >mm10_chr9:71609228-71609239(+)::chr9:71609227-71609239(+) TGGAGGAAGTGC >mm10_chr9:71635003-71635014(-)::chr9:71635002-71635014(-) GGAAGGAAGTAG >mm10_chr9:71636314-71636325(+)::chr9:71636313-71636325(+) ACCAGGAAGTCC >mm10_chr9:71636368-71636379(-)::chr9:71636367-71636379(-) CCAAGGAAGGAT >mm10_chr9:71636382-71636393(+)::chr9:71636381-71636393(+) CCAGGGAAGTGA >mm10_chr9:71654804-71654815(+)::chr9:71654803-71654815(+) TCAAGGAAATGA >mm10_chr9:71654816-71654827(-)::chr9:71654815-71654827(-) GGCAGGAAGAAG >mm10_chr9:71655346-71655357(-)::chr9:71655345-71655357(-) GAAAGGAAGAAA >mm10_chr9:71667839-71667850(+)::chr9:71667838-71667850(+) TCCAGGAAGGAA >mm10_chr9:71667843-71667854(+)::chr9:71667842-71667854(+) GGAAGGAATTGG >mm10_chr9:71667888-71667899(-)::chr9:71667887-71667899(-) AACAGGAAGTCT >mm10_chr9:71678901-71678912(-)::chr9:71678900-71678912(-) ATAAGGAAGTGT >mm10_chr9:71678912-71678923(-)::chr9:71678911-71678923(-) AGAAGGAAGGAA >mm10_chr9:71678951-71678962(+)::chr9:71678950-71678962(+) TCAAGGAAGGCT >mm10_chr9:71689911-71689922(+)::chr9:71689910-71689922(+) TCCAGGAAGTGC >mm10_chr9:71693939-71693950(+)::chr9:71693938-71693950(+) ACCAGGAAATGC >mm10_chr9:71705283-71705294(-)::chr9:71705282-71705294(-) TTGAGGAAGCGG >mm10_chr9:71705297-71705308(-)::chr9:71705296-71705308(-) AACAGGAAGATC >mm10_chr9:71720505-71720516(-)::chr9:71720504-71720516(-) GGCAGGAAGGCA >mm10_chr9:71724493-71724504(+)::chr9:71724492-71724504(+) GGAAGGAAATGT >mm10_chr9:71733081-71733092(-)::chr9:71733080-71733092(-) TAAAGAAAGTAT >mm10_chr9:71733108-71733119(-)::chr9:71733107-71733119(-) ggaaggaagagg >mm10_chr9:71733124-71733135(-)::chr9:71733123-71733135(-) ggaaggaagtag >mm10_chr9:71733128-71733139(-)::chr9:71733127-71733139(-) agaaggaaggaa >mm10_chr9:71737847-71737858(-)::chr9:71737846-71737858(-) AGGAGGAAGAGG >mm10_chr9:71743648-71743659(+)::chr9:71743647-71743659(+) ACGAGGAAGCAC >mm10_chr9:71751194-71751205(+)::chr9:71751193-71751205(+) GTAAGGAAATCA >mm10_chr9:71753436-71753447(-)::chr9:71753435-71753447(-) TCCAGGAAGAAA >mm10_chr9:71769023-71769034(+)::chr9:71769022-71769034(+) AGAaggaaggga >mm10_chr9:71769035-71769046(+)::chr9:71769034-71769046(+) aggaggaagagg >mm10_chr9:71769080-71769091(+)::chr9:71769079-71769091(+) agaaggaaggga >mm10_chr9:71769929-71769940(+)::chr9:71769928-71769940(+) AAAGGGAAGTCT >mm10_chr9:71787123-71787134(+)::chr9:71787122-71787134(+) GTCAGGAAGTAA >mm10_chr9:71787163-71787174(-)::chr9:71787162-71787174(-) ATCAGGAAGTGC >mm10_chr9:71799838-71799849(-)::chr9:71799837-71799849(-) AGAAGGATGTCC >mm10_chr9:71805811-71805822(-)::chr9:71805810-71805822(-) AGGAGGAAGTCT >mm10_chr9:71837306-71837317(-)::chr9:71837305-71837317(-) AGCCGGAAGTGG >mm10_chr9:71837355-71837366(+)::chr9:71837354-71837366(+) TGGAGGAAGAAT >mm10_chr9:71891211-71891222(+)::chr9:71891210-71891222(+) TGAAGGAAGCAC >mm10_chr9:71896637-71896648(-)::chr9:71896636-71896648(-) TCCAGGAAGTGA >mm10_chr9:71956403-71956414(-)::chr9:71956402-71956414(-) AAAAGGAAGAAA >mm10_chr9:71964731-71964742(+)::chr9:71964730-71964742(+) gtaaggaacgag >mm10_chr9:71964738-71964749(+)::chr9:71964737-71964749(+) acgaggaagctt >mm10_chr9:72029752-72029763(+)::chr9:72029751-72029763(+) tcaaggaaatac >mm10_chr9:72077106-72077117(+)::chr9:72077105-72077117(+) gagaggaagaag >mm10_chr9:72077116-72077127(+)::chr9:72077115-72077127(+) agagggaaggga >mm10_chr9:72077151-72077162(+)::chr9:72077150-72077162(+) agcaggaagact >mm10_chr9:72077168-72077179(+)::chr9:72077167-72077179(+) agagggaaggga >mm10_chr9:72086014-72086025(-)::chr9:72086013-72086025(-) GGGAGGAAGTTG >mm10_chr9:72132118-72132129(+)::chr9:72132117-72132129(+) tccgggaagtta >mm10_chr9:72133278-72133289(-)::chr9:72133277-72133289(-) ACGCGGAAGGAC >mm10_chr9:72133622-72133633(+)::chr9:72133621-72133633(+) AAGAGGAAGGAA >mm10_chr9:72133638-72133649(-)::chr9:72133637-72133649(-) GGAAGGAAGTCC >mm10_chr9:72133642-72133653(-)::chr9:72133641-72133653(-) ACCAGGAAGGAA >mm10_chr9:72144394-72144405(-)::chr9:72144393-72144405(-) ACAAGGAAGTCC >mm10_chr9:72144430-72144441(-)::chr9:72144429-72144441(-) aggaggaagAGT >mm10_chr9:72144447-72144458(-)::chr9:72144446-72144458(-) ggaaggaaggta >mm10_chr9:72144451-72144462(-)::chr9:72144450-72144462(-) gaaaggaaggaa >mm10_chr9:72170084-72170095(+)::chr9:72170083-72170095(+) aacaggaagtaa >mm10_chr9:72181180-72181191(+)::chr9:72181179-72181191(+) agagggaagtgg >mm10_chr9:72184215-72184226(+)::chr9:72184214-72184226(+) GAAAGGAAGACA >mm10_chr9:72191195-72191206(+)::chr9:72191194-72191206(+) AAGAGGAAGGAA >mm10_chr9:72191206-72191217(+)::chr9:72191205-72191217(+) AAAAGGAAGGCA >mm10_chr9:72227553-72227564(+)::chr9:72227552-72227564(+) aggaggaaggac >mm10_chr9:72227982-72227993(-)::chr9:72227981-72227993(-) acaaggaagcag >mm10_chr9:72286164-72286175(-)::chr9:72286163-72286175(-) gtgaggaagata >mm10_chr9:72287881-72287892(+)::chr9:72287880-72287892(+) acaaggaacttg >mm10_chr9:72287905-72287916(+)::chr9:72287904-72287916(+) agcaggaagtag >mm10_chr9:72287930-72287941(-)::chr9:72287929-72287941(-) gaaaggaagcga >mm10_chr9:72341819-72341830(+)::chr9:72341818-72341830(+) gagaggaagTAC >mm10_chr9:72341844-72341855(-)::chr9:72341843-72341855(-) ATAAGCAAGTTA >mm10_chr9:72362441-72362452(+)::chr9:72362440-72362452(+) TGGAGGAAGTAA >mm10_chr9:72364444-72364455(+)::chr9:72364443-72364455(+) ATGAGGAAGTCC >mm10_chr9:72364458-72364469(-)::chr9:72364457-72364469(-) AGGAGGAAGTAT >mm10_chr9:72448670-72448681(+)::chr9:72448669-72448681(+) AGGAGGAAGCAG >mm10_chr9:72449556-72449567(+)::chr9:72449555-72449567(+) GAAAGTAAGTGA >mm10_chr9:72479144-72479155(-)::chr9:72479143-72479155(-) ATCAGGAAGTTG >mm10_chr9:72479164-72479175(-)::chr9:72479163-72479175(-) AGAAGGAAGGAC >mm10_chr9:72560788-72560799(-)::chr9:72560787-72560799(-) aggaggaagaag >mm10_chr9:72560820-72560831(-)::chr9:72560819-72560831(-) aggaggaagaga >mm10_chr9:72560838-72560849(-)::chr9:72560837-72560849(-) aagaggaagaag >mm10_chr9:72560844-72560855(-)::chr9:72560843-72560855(-) aagaggaagagg >mm10_chr9:72640376-72640387(-)::chr9:72640375-72640387(-) ACCAGGAAGGTC >mm10_chr9:72656233-72656244(+)::chr9:72656232-72656244(+) GGCAGGAAGTAT >mm10_chr9:72656249-72656260(+)::chr9:72656248-72656260(+) TGAAGGAAGTTC >mm10_chr9:72657141-72657152(-)::chr9:72657140-72657152(-) CGCAGGAAGTAG >mm10_chr9:72681873-72681884(-)::chr9:72681872-72681884(-) ACAAGGAAAAAG >mm10_chr9:72704005-72704016(-)::chr9:72704004-72704016(-) TGCAGGAAGGAT >mm10_chr9:72704069-72704080(-)::chr9:72704068-72704080(-) AAAGGGAAGTTA >mm10_chr9:72719312-72719323(-)::chr9:72719311-72719323(-) AGAAGGAAGACA >mm10_chr9:72734103-72734114(-)::chr9:72734102-72734114(-) ACGAGGAAGGCA >mm10_chr9:72734122-72734133(-)::chr9:72734121-72734133(-) AGGAGGAAGGGA >mm10_chr9:72799947-72799958(-)::chr9:72799946-72799958(-) aggaggaagGGA >mm10_chr9:72799959-72799970(-)::chr9:72799958-72799970(-) aagaggaagaaa >mm10_chr9:72799977-72799988(-)::chr9:72799976-72799988(-) aggaggaagaag >mm10_chr9:72812821-72812832(-)::chr9:72812820-72812832(-) TTAAGGAAGGGC >mm10_chr9:72872044-72872055(+)::chr9:72872043-72872055(+) GTGAGGAAGTCC >mm10_chr9:72958779-72958790(+)::chr9:72958778-72958790(+) GGCCGGAAGTGA >mm10_chr9:72958829-72958840(-)::chr9:72958828-72958840(-) GCTAGGAAGCCG >mm10_chr9:72985549-72985560(-)::chr9:72985548-72985560(-) GCGGGGAAGCGG >mm10_chr9:73039708-73039719(+)::chr9:73039707-73039719(+) GGCAGGAAGTGC >mm10_chr9:73055512-73055523(+)::chr9:73055511-73055523(+) AACAGGAAGCCC >mm10_chr9:73071065-73071076(+)::chr9:73071064-73071076(+) ATAAGGAAGCTC >mm10_chr9:73113423-73113434(-)::chr9:73113422-73113434(-) CGCCGGAAGCGA >mm10_chr9:73113435-73113446(+)::chr9:73113434-73113446(+) ACTAGGAAGTGA >mm10_chr9:73113451-73113462(-)::chr9:73113450-73113462(-) GAGAGGAAGTGG >mm10_chr9:73133226-73133237(+)::chr9:73133225-73133237(+) ACAAGGAAACAA >mm10_chr9:73184146-73184157(+)::chr9:73184145-73184157(+) AGCAGGATGTTA >mm10_chr9:73192859-73192870(-)::chr9:73192858-73192870(-) CTGAGGAAGTAC >mm10_chr9:73240321-73240332(+)::chr9:73240320-73240332(+) atcaggaagcag >mm10_chr9:73240332-73240343(+)::chr9:73240331-73240343(+) gacaggaagaca >mm10_chr9:73240375-73240386(-)::chr9:73240374-73240386(-) tggaggaagtga >mm10_chr9:73550010-73550021(-)::chr9:73550009-73550021(-) GGAAGGAAGGGC >mm10_chr9:73550014-73550025(-)::chr9:73550013-73550025(-) GCAAGGAAGGAA >mm10_chr9:73558252-73558263(+)::chr9:73558251-73558263(+) AGCAGGAAGAGG >mm10_chr9:73724966-73724977(-)::chr9:73724965-73724977(-) GGCAGGAAggac >mm10_chr9:73724982-73724993(+)::chr9:73724981-73724993(+) ACAAGGAAATGA >mm10_chr9:73845579-73845590(-)::chr9:73845578-73845590(-) ATCAGGAAATTA >mm10_chr9:73854364-73854375(+)::chr9:73854363-73854375(+) ATAAGGAAGGGA >mm10_chr9:73854425-73854436(+)::chr9:73854424-73854436(+) TAAAGGAAACGG >mm10_chr9:73867585-73867596(+)::chr9:73867584-73867596(+) AGCAGGAAATTA >mm10_chr9:74064553-74064564(-)::chr9:74064552-74064564(-) GGAAGGAAATGG >mm10_chr9:74064557-74064568(-)::chr9:74064556-74064568(-) GGGAGGAAGGAA >mm10_chr9:74064587-74064598(-)::chr9:74064586-74064598(-) GGAAGGAAGGAG >mm10_chr9:74064591-74064602(-)::chr9:74064590-74064602(-) GCCAGGAAGGAA >mm10_chr9:74108823-74108834(-)::chr9:74108822-74108834(-) GACAGGAAGAAG >mm10_chr9:74108885-74108896(-)::chr9:74108884-74108896(-) ACGAGGAAGAGG >mm10_chr9:74228690-74228701(-)::chr9:74228689-74228701(-) AGAAGGAAATCT >mm10_chr9:74228700-74228711(-)::chr9:74228699-74228711(-) GGAAGGAGGTAG >mm10_chr9:74228704-74228715(-)::chr9:74228703-74228715(-) GGCAGGAAGGAG >mm10_chr9:74287734-74287745(-)::chr9:74287733-74287745(-) AAAAGGAAGGCC >mm10_chr9:74603335-74603346(+)::chr9:74603334-74603346(+) AGAAGGAACTTC >mm10_chr9:74603340-74603351(-)::chr9:74603339-74603351(-) AAAGGGAAGTTC >mm10_chr9:74921582-74921593(+)::chr9:74921581-74921593(+) GGGAGGAAGGGA >mm10_chr9:74935203-74935214(+)::chr9:74935202-74935214(+) TGGAGGAAGGAG >mm10_chr9:74935210-74935221(+)::chr9:74935209-74935221(+) AGGAGGAAGAAA >mm10_chr9:74935218-74935229(+)::chr9:74935217-74935229(+) GAAAGGAAGGAA >mm10_chr9:74935248-74935259(-)::chr9:74935247-74935259(-) ACGAGGAAGAGA >mm10_chr9:74938893-74938904(+)::chr9:74938892-74938904(+) aggaggaaggta >mm10_chr9:74938906-74938917(+)::chr9:74938905-74938917(+) agaaggaagtga >mm10_chr9:74952832-74952843(+)::chr9:74952831-74952843(+) CGGAGGAAGTGA >mm10_chr9:74976013-74976024(+)::chr9:74976012-74976024(+) GACAGGAAGCCG >mm10_chr9:75001248-75001259(+)::chr9:75001247-75001259(+) AGAAGGAAGTCA >mm10_chr9:75037633-75037644(+)::chr9:75037632-75037644(+) GGACGGAAGTGA >mm10_chr9:75037675-75037686(+)::chr9:75037674-75037686(+) AAGAGGAAGTGC >mm10_chr9:75049291-75049302(+)::chr9:75049290-75049302(+) TTGAGGAAGTAC >mm10_chr9:75118785-75118796(+)::chr9:75118784-75118796(+) aggaggaagaag >mm10_chr9:75118794-75118805(+)::chr9:75118793-75118805(+) aagaggaagaca >mm10_chr9:75234338-75234349(+)::chr9:75234337-75234349(+) AGAAGGAAGTGT >mm10_chr9:75234612-75234623(+)::chr9:75234611-75234623(+) ACCAGGAAGAGA >mm10_chr9:75236617-75236628(+)::chr9:75236616-75236628(+) AAGAGGAAGAAA >mm10_chr9:75236684-75236695(+)::chr9:75236683-75236695(+) TCCAGGAAGTAC >mm10_chr9:75243987-75243998(-)::chr9:75243986-75243998(-) ACAAGGAAGGGT >mm10_chr9:75252314-75252325(-)::chr9:75252313-75252325(-) GGAAGGAAGTTC >mm10_chr9:75256064-75256075(+)::chr9:75256063-75256075(+) ACGAGGAAGAAA >mm10_chr9:75265338-75265349(+)::chr9:75265337-75265349(+) TGGAGGAAGTAT >mm10_chr9:75273647-75273658(+)::chr9:75273646-75273658(+) AGGAGGAAGTTC >mm10_chr9:75398610-75398621(-)::chr9:75398609-75398621(-) GCGAGGAAGCAG >mm10_chr9:75398618-75398629(-)::chr9:75398617-75398629(-) ACAAGGAAGCGA >mm10_chr9:75398641-75398652(+)::chr9:75398640-75398652(+) AACAGGAAGCCC >mm10_chr9:75434297-75434308(-)::chr9:75434296-75434308(-) ACCAGGAAATGA >mm10_chr9:75434676-75434687(+)::chr9:75434675-75434687(+) GAGAGGAAATAT >mm10_chr9:75530848-75530859(+)::chr9:75530847-75530859(+) TGGAGGAAGAAG >mm10_chr9:75530857-75530868(+)::chr9:75530856-75530868(+) AAGAGGAAGAgg >mm10_chr9:75530863-75530874(+)::chr9:75530862-75530874(+) AAGAggaagagg >mm10_chr9:75530883-75530894(+)::chr9:75530882-75530894(+) cgaagggagtga >mm10_chr9:75541532-75541543(+)::chr9:75541531-75541543(+) AACCGGAAGTTA >mm10_chr9:75547298-75547309(-)::chr9:75547297-75547309(-) ATAAGGATGTTG >mm10_chr9:75547322-75547333(-)::chr9:75547321-75547333(-) CCGAGGAAGGAG >mm10_chr9:75547340-75547351(+)::chr9:75547339-75547351(+) TCCAGGAAGTGA >mm10_chr9:75547371-75547382(+)::chr9:75547370-75547382(+) AGGAGGAAGGAG >mm10_chr9:75547968-75547979(-)::chr9:75547967-75547979(-) ggaaggaagAAG >mm10_chr9:75547972-75547983(-)::chr9:75547971-75547983(-) ggaaggaaggaa >mm10_chr9:75547976-75547987(-)::chr9:75547975-75547987(-) ggaaggaaggaa >mm10_chr9:75547980-75547991(-)::chr9:75547979-75547991(-) ggaaggaaggaa >mm10_chr9:75547984-75547995(-)::chr9:75547983-75547995(-) ggaaggaaggaa >mm10_chr9:75547988-75547999(-)::chr9:75547987-75547999(-) acaaggaaggaa >mm10_chr9:75560482-75560493(+)::chr9:75560481-75560493(+) ggcaggaagcat >mm10_chr9:75578842-75578853(+)::chr9:75578841-75578853(+) GACAGGAAGAAG >mm10_chr9:75578849-75578860(+)::chr9:75578848-75578860(+) AGAAGGAAGAGA >mm10_chr9:75578858-75578869(+)::chr9:75578857-75578869(+) AGAAGGAATTCC >mm10_chr9:75629599-75629610(-)::chr9:75629598-75629610(-) ACAAGGAAGCAG >mm10_chr9:75814219-75814230(-)::chr9:75814218-75814230(-) agcaggaagcac >mm10_chr9:75916285-75916296(-)::chr9:75916284-75916296(-) TGAAGGAAGTCT >mm10_chr9:75917085-75917096(+)::chr9:75917084-75917096(+) agcaggaagaca >mm10_chr9:76001659-76001670(-)::chr9:76001658-76001670(-) AACAGGAAATGT >mm10_chr9:76003341-76003352(+)::chr9:76003340-76003352(+) atgaggaagaag >mm10_chr9:76013388-76013399(+)::chr9:76013387-76013399(+) tcaaggaaatag >mm10_chr9:76015451-76015462(-)::chr9:76015450-76015462(-) GGAAGAAAGTGA >mm10_chr9:76015455-76015466(-)::chr9:76015454-76015466(-) ACAAGGAAGAAA >mm10_chr9:76032151-76032162(-)::chr9:76032150-76032162(-) AAAAGGAAGAAC >mm10_chr9:76064383-76064394(-)::chr9:76064382-76064394(-) ATAAGGAAACAT >mm10_chr9:76082518-76082529(-)::chr9:76082517-76082529(-) aggaggaagagg >mm10_chr9:76082530-76082541(-)::chr9:76082529-76082541(-) aagaggaagagg >mm10_chr9:76082536-76082547(-)::chr9:76082535-76082547(-) aggaggaagagg >mm10_chr9:76082551-76082562(-)::chr9:76082550-76082562(-) aggaggaagagg >mm10_chr9:76082566-76082577(-)::chr9:76082565-76082577(-) agaaggaagagg >mm10_chr9:76082575-76082586(-)::chr9:76082574-76082586(-) aggaggaagaga >mm10_chr9:76126485-76126496(-)::chr9:76126484-76126496(-) CGAAGGAAGCTT >mm10_chr9:76127524-76127535(+)::chr9:76127523-76127535(+) ccaaggaagtga >mm10_chr9:76141323-76141334(+)::chr9:76141322-76141334(+) ccaaggaagcaa >mm10_chr9:76162837-76162848(+)::chr9:76162836-76162848(+) agaaggaaggaa >mm10_chr9:76162841-76162852(+)::chr9:76162840-76162852(+) ggaaggaagagg >mm10_chr9:76177809-76177820(+)::chr9:76177808-76177820(+) gggaggaaatgt >mm10_chr9:76230617-76230628(-)::chr9:76230616-76230628(-) AGCAGGAAGTCC >mm10_chr9:76308168-76308179(-)::chr9:76308167-76308179(-) AGCAGGAAGATC >mm10_chr9:76379009-76379020(+)::chr9:76379008-76379020(+) GGAAGGAAGTTA >mm10_chr9:76390393-76390404(-)::chr9:76390392-76390404(-) ACCAGGAAGCAA >mm10_chr9:76390413-76390424(-)::chr9:76390412-76390424(-) acaaggaagcAA >mm10_chr9:76450200-76450211(+)::chr9:76450199-76450211(+) cacaggaagTGC >mm10_chr9:76450234-76450245(-)::chr9:76450233-76450245(-) AGGAGGAAATAA >mm10_chr9:76522235-76522246(+)::chr9:76522234-76522246(+) AGAAGGAAGACT >mm10_chr9:76551550-76551561(+)::chr9:76551549-76551561(+) ACAAGGAAGACC >mm10_chr9:76551579-76551590(+)::chr9:76551578-76551590(+) AGAAGGAAGCTC >mm10_chr9:76555630-76555641(+)::chr9:76555629-76555641(+) ACCAGGAAGAAA >mm10_chr9:76555644-76555655(-)::chr9:76555643-76555655(-) AACAGGAAGTGA >mm10_chr9:76628761-76628772(-)::chr9:76628760-76628772(-) acaagaaagtgg >mm10_chr9:76641186-76641197(-)::chr9:76641185-76641197(-) ttcaggaagtcc >mm10_chr9:76660010-76660021(+)::chr9:76660009-76660021(+) CCAAGGAAGCTG >mm10_chr9:76764565-76764576(-)::chr9:76764564-76764576(-) AGAAGCAAGTAA >mm10_chr9:76782534-76782545(+)::chr9:76782533-76782545(+) TCAAGGAAGAAG >mm10_chr9:76826391-76826402(-)::chr9:76826390-76826402(-) CGAAGGAAGACA >mm10_chr9:77016772-77016783(+)::chr9:77016771-77016783(+) TGAAGGAAGGAC >mm10_chr9:77117298-77117309(+)::chr9:77117297-77117309(+) GGCAGGAAGTTT >mm10_chr9:77126015-77126026(-)::chr9:77126014-77126026(-) aggaggaagaga >mm10_chr9:77126045-77126056(-)::chr9:77126044-77126056(-) aggaggaagagg >mm10_chr9:77126057-77126068(-)::chr9:77126056-77126068(-) aggaggaagaag >mm10_chr9:77126066-77126077(-)::chr9:77126065-77126077(-) aggaggaagagg >mm10_chr9:77233649-77233660(-)::chr9:77233648-77233660(-) TCAAGGAAGTGA >mm10_chr9:77276975-77276986(-)::chr9:77276974-77276986(-) GTAAGGAACTGC >mm10_chr9:77379869-77379880(-)::chr9:77379868-77379880(-) CGAAGGTAGTGT >mm10_chr9:77412350-77412361(-)::chr9:77412349-77412361(-) GCAAGGAAGTAG >mm10_chr9:77429857-77429868(+)::chr9:77429856-77429868(+) TAAAGGAAGCTT >mm10_chr9:77429869-77429880(-)::chr9:77429868-77429880(-) GGAAGGAAGTGA >mm10_chr9:77469917-77469928(-)::chr9:77469916-77469928(-) GGCAGGAAGGCG >mm10_chr9:77469936-77469947(+)::chr9:77469935-77469947(+) AGCAGGAAGAGG >mm10_chr9:77503211-77503222(-)::chr9:77503210-77503222(-) AGAAGGAAGGGC >mm10_chr9:77525476-77525487(+)::chr9:77525475-77525487(+) ACTAGGAAATAC >mm10_chr9:77525491-77525502(+)::chr9:77525490-77525502(+) ACAAGGAAACAA >mm10_chr9:77536425-77536436(-)::chr9:77536424-77536436(-) agcaggaaataa >mm10_chr9:77543500-77543511(-)::chr9:77543499-77543511(-) TGCAGGAAATGG >mm10_chr9:77543531-77543542(-)::chr9:77543530-77543542(-) AGGAGGAAGTAA >mm10_chr9:77544875-77544886(-)::chr9:77544874-77544886(-) AGTAGGAAGCGG >mm10_chr9:77562419-77562430(+)::chr9:77562418-77562430(+) ccaaggaaggat >mm10_chr9:77657548-77657559(-)::chr9:77657547-77657559(-) TCAAGGAAATGG >mm10_chr9:77664803-77664814(+)::chr9:77664802-77664814(+) ATAAGGAAAGTG >mm10_chr9:77719012-77719023(-)::chr9:77719011-77719023(-) ATAAGGAAATCA >mm10_chr9:77719247-77719258(+)::chr9:77719246-77719258(+) ttaaggaagcct >mm10_chr9:77732180-77732191(+)::chr9:77732179-77732191(+) TAAAGGAAGGAA >mm10_chr9:77732184-77732195(+)::chr9:77732183-77732195(+) GGAAGGAAGAAA >mm10_chr9:77750579-77750590(-)::chr9:77750578-77750590(-) TGAAGGAAGCGG >mm10_chr9:77750613-77750624(+)::chr9:77750612-77750624(+) ACGAGGAAACGT >mm10_chr9:77756311-77756322(+)::chr9:77756310-77756322(+) GGCAGGAAGAGC >mm10_chr9:77808651-77808662(+)::chr9:77808650-77808662(+) AGCAGGAAGTGT >mm10_chr9:77820943-77820954(+)::chr9:77820942-77820954(+) ggaaggatgtcc >mm10_chr9:77825399-77825410(-)::chr9:77825398-77825410(-) GACAGGAAGTCC >mm10_chr9:77825859-77825870(+)::chr9:77825858-77825870(+) AGAAGGAAGTCT >mm10_chr9:77835950-77835961(+)::chr9:77835949-77835961(+) AACAGGAAGAGG >mm10_chr9:77835956-77835967(+)::chr9:77835955-77835967(+) AAGAGGAAATAG >mm10_chr9:77835965-77835976(+)::chr9:77835964-77835976(+) TAGAGGAAGTTC >mm10_chr9:77838104-77838115(-)::chr9:77838103-77838115(-) ATCAGGAAGTTT >mm10_chr9:77838115-77838126(-)::chr9:77838114-77838126(-) ACCAGGAAGTTA >mm10_chr9:77852126-77852137(+)::chr9:77852125-77852137(+) ATAAGGAAGCCT >mm10_chr9:77852177-77852188(+)::chr9:77852176-77852188(+) ATGAGGAAATTG >mm10_chr9:77897221-77897232(-)::chr9:77897220-77897232(-) AAAAGGAAGGTT >mm10_chr9:77910341-77910352(+)::chr9:77910340-77910352(+) GGGAGGAAGGGA >mm10_chr9:77910389-77910400(-)::chr9:77910388-77910400(-) GACAGGAAGAGG >mm10_chr9:77912040-77912051(+)::chr9:77912039-77912051(+) AACAGGAAGTGA >mm10_chr9:77921114-77921125(+)::chr9:77921113-77921125(+) AGAAGGAAGTGC >mm10_chr9:77927533-77927544(+)::chr9:77927532-77927544(+) AGGAGGAAGCAG >mm10_chr9:77927540-77927551(+)::chr9:77927539-77927551(+) AGCAGGAAGCAG >mm10_chr9:77927561-77927572(+)::chr9:77927560-77927572(+) AAGAGGAAATAG >mm10_chr9:77938115-77938126(-)::chr9:77938114-77938126(-) ACAAGGAAGAGC >mm10_chr9:77955432-77955443(-)::chr9:77955431-77955443(-) AGTAGGAAGAAA >mm10_chr9:77959055-77959066(+)::chr9:77959054-77959066(+) cccaggaagcgg >mm10_chr9:77959933-77959944(+)::chr9:77959932-77959944(+) AGAAGGAAGACT >mm10_chr9:77965468-77965479(-)::chr9:77965467-77965479(-) ACCAGGAAGGCC >mm10_chr9:77992578-77992589(+)::chr9:77992577-77992589(+) ACAAGGAAATAG >mm10_chr9:77992616-77992627(-)::chr9:77992615-77992627(-) TCAAGGAAGCAG >mm10_chr9:78110081-78110092(-)::chr9:78110080-78110092(-) ACAAGGAAGCTC >mm10_chr9:78118426-78118437(-)::chr9:78118425-78118437(-) ATAAGGAAATTA >mm10_chr9:78121537-78121548(-)::chr9:78121536-78121548(-) ggaaggaagtgt >mm10_chr9:78121541-78121552(-)::chr9:78121540-78121552(-) ttaaggaaggaa >mm10_chr9:78138367-78138378(-)::chr9:78138366-78138378(-) ATGAGGAAATAC >mm10_chr9:78147905-78147916(+)::chr9:78147904-78147916(+) TGAAGGAAGTAC >mm10_chr9:78147913-78147924(-)::chr9:78147912-78147924(-) AGGAGGAAGTAC >mm10_chr9:78212448-78212459(-)::chr9:78212447-78212459(-) TGCAGGAAATAC >mm10_chr9:78347271-78347282(+)::chr9:78347270-78347282(+) ATCAGGAAGAGG >mm10_chr9:78385346-78385357(+)::chr9:78385345-78385357(+) AACAGGAACGTA >mm10_chr9:78428378-78428389(-)::chr9:78428377-78428389(-) ACCAGGAAGCCC >mm10_chr9:78428398-78428409(-)::chr9:78428397-78428409(-) AGGGGGAAGTAA >mm10_chr9:78428435-78428446(-)::chr9:78428434-78428446(-) AACAGGAAGTTG >mm10_chr9:78482067-78482078(-)::chr9:78482066-78482078(-) GTAAGGAAGAGT >mm10_chr9:78503005-78503016(-)::chr9:78503004-78503016(-) AGCAGGAAGGGG >mm10_chr9:78503855-78503866(-)::chr9:78503854-78503866(-) aggaggaagtgg >mm10_chr9:78503898-78503909(-)::chr9:78503897-78503909(-) CAAAGGAAGgga >mm10_chr9:78504947-78504958(+)::chr9:78504946-78504958(+) aggaggaagagc >mm10_chr9:78518538-78518549(+)::chr9:78518537-78518549(+) agcaggaagatc >mm10_chr9:78518563-78518574(+)::chr9:78518562-78518574(+) TAAAGGAAGACA >mm10_chr9:78531958-78531969(-)::chr9:78531957-78531969(-) GAAAGGAAGAGG >mm10_chr9:78532264-78532275(-)::chr9:78532263-78532275(-) AATAGGAAGGAG >mm10_chr9:78846443-78846454(-)::chr9:78846442-78846454(-) AATAGGAAGGAG >mm10_chr9:79002036-79002047(-)::chr9:79002035-79002047(-) ACCAGGAAGTCC >mm10_chr9:79522825-79522836(+)::chr9:79522824-79522836(+) GCAAGGAAGCGG >mm10_chr9:79523232-79523243(-)::chr9:79523231-79523243(-) TGAGGGAAGCGC >mm10_chr9:79562171-79562182(+)::chr9:79562170-79562182(+) ttatggaagtaa >mm10_chr9:79793646-79793657(-)::chr9:79793645-79793657(-) AACAGGAAGTGT >mm10_chr9:80068923-80068934(+)::chr9:80068922-80068934(+) ATAGGGAAGTGG >mm10_chr9:80081167-80081178(+)::chr9:80081166-80081178(+) agcaggaagtgg >mm10_chr9:80081216-80081227(-)::chr9:80081215-80081227(-) tggaggaagtag >mm10_chr9:80155454-80155465(+)::chr9:80155453-80155465(+) GGCAGGAAGGAG >mm10_chr9:80157911-80157922(+)::chr9:80157910-80157922(+) TCAAGGAAGTCT >mm10_chr9:80164462-80164473(-)::chr9:80164461-80164473(-) CGGAGGAACTCG >mm10_chr9:80174736-80174747(-)::chr9:80174735-80174747(-) GGAAGGAACTGG >mm10_chr9:80506335-80506346(-)::chr9:80506334-80506346(-) AAAAGGAAATGT >mm10_chr9:80506345-80506356(-)::chr9:80506344-80506356(-) ACAAGGAAGCAA >mm10_chr9:80940013-80940024(+)::chr9:80940012-80940024(+) AGCAGGAAGAAA >mm10_chr9:81016375-81016386(-)::chr9:81016374-81016386(-) agaaggaagaaa >mm10_chr9:81352884-81352895(+)::chr9:81352883-81352895(+) GGAAGGAACTGC >mm10_chr9:81352914-81352925(-)::chr9:81352913-81352925(-) AGAGGGAAGTGA >mm10_chr9:81405431-81405442(-)::chr9:81405430-81405442(-) taaagtaagtac >mm10_chr9:81863784-81863795(-)::chr9:81863783-81863795(-) AGAAGGAAGGAC >mm10_chr9:82409229-82409240(+)::chr9:82409228-82409240(+) TAGAGGAAATGA >mm10_chr9:82939884-82939895(-)::chr9:82939883-82939895(-) TGAAGGAAGAAA >mm10_chr9:82958137-82958148(-)::chr9:82958136-82958148(-) AGCAGGAAGTTA >mm10_chr9:82958151-82958162(+)::chr9:82958150-82958162(+) ATAAGGAAAAGA >mm10_chr9:82958375-82958386(+)::chr9:82958374-82958386(+) ACAAGGAAGTGA >mm10_chr9:82975158-82975169(+)::chr9:82975157-82975169(+) AGAAGGACGGGG >mm10_chr9:82975829-82975840(+)::chr9:82975828-82975840(+) AGATGGAAGTTA >mm10_chr9:83022425-83022436(+)::chr9:83022424-83022436(+) AGAAGGAAAGAT >mm10_chr9:83057270-83057281(-)::chr9:83057269-83057281(-) TGCAGGAAGGAG >mm10_chr9:83057296-83057307(-)::chr9:83057295-83057307(-) AGGAGGAAATGT >mm10_chr9:83057350-83057361(+)::chr9:83057349-83057361(+) AGTAGGAAGCGC >mm10_chr9:83057859-83057870(+)::chr9:83057858-83057870(+) ACGAGGAAGCAG >mm10_chr9:83111031-83111042(-)::chr9:83111030-83111042(-) GAGAGGAAGGTA >mm10_chr9:83111043-83111054(-)::chr9:83111042-83111054(-) AGCAGGAAGCTG >mm10_chr9:83111055-83111066(-)::chr9:83111054-83111066(-) AGAAGGAAGAAA >mm10_chr9:83129105-83129116(+)::chr9:83129104-83129116(+) tacaggaagaac >mm10_chr9:83130027-83130038(-)::chr9:83130026-83130038(-) ACCAGGAAGCAC >mm10_chr9:83195925-83195936(+)::chr9:83195924-83195936(+) GGGAGGAAGTCG >mm10_chr9:83195950-83195961(+)::chr9:83195949-83195961(+) AGAAGGAAGAGA >mm10_chr9:83231483-83231494(+)::chr9:83231482-83231494(+) acaaggaaacat >mm10_chr9:83232591-83232602(-)::chr9:83232590-83232602(-) accaggaagtcc >mm10_chr9:83236115-83236126(+)::chr9:83236114-83236126(+) agaaggaaaagc >mm10_chr9:83236131-83236142(+)::chr9:83236130-83236142(+) gagaggaagagg >mm10_chr9:83236137-83236148(+)::chr9:83236136-83236148(+) aagaggaagagg >mm10_chr9:83236155-83236166(+)::chr9:83236154-83236166(+) aagaggaagagg >mm10_chr9:83236161-83236172(+)::chr9:83236160-83236172(+) aagaggaagaag >mm10_chr9:83264469-83264480(+)::chr9:83264468-83264480(+) ATAAGGAAGCTT >mm10_chr9:83265105-83265116(+)::chr9:83265104-83265116(+) AAGAGGAAGAAG >mm10_chr9:83300637-83300648(+)::chr9:83300636-83300648(+) ACAGGGAAGTGT >mm10_chr9:83326000-83326011(+)::chr9:83325999-83326011(+) CGCAGGAAGCTA >mm10_chr9:83409446-83409457(-)::chr9:83409445-83409457(-) GTAAGGAAGAGC >mm10_chr9:83437734-83437745(+)::chr9:83437733-83437745(+) AAAAGGAAGTAG >mm10_chr9:83437777-83437788(+)::chr9:83437776-83437788(+) AGGAGGAAGCTG >mm10_chr9:83548144-83548155(-)::chr9:83548143-83548155(-) ACCCGGAAGCGG >mm10_chr9:83558380-83558391(-)::chr9:83558379-83558391(-) TCTAGGAAGTCG >mm10_chr9:83564399-83564410(-)::chr9:83564398-83564410(-) AAGAGGAAGTTT >mm10_chr9:83616466-83616477(-)::chr9:83616465-83616477(-) TTAAGGAAGTGC >mm10_chr9:83616508-83616519(-)::chr9:83616507-83616519(-) TGGAGGAAGTTG >mm10_chr9:83617925-83617936(-)::chr9:83617924-83617936(-) aagaggaagagg >mm10_chr9:83617931-83617942(-)::chr9:83617930-83617942(-) aggaggaagagg >mm10_chr9:83687672-83687683(+)::chr9:83687671-83687683(+) ACAAGGAAATCC >mm10_chr9:83717574-83717585(+)::chr9:83717573-83717585(+) TAAAGGAAATGT >mm10_chr9:83726261-83726272(-)::chr9:83726260-83726272(-) TGAAGGAAGCTC >mm10_chr9:83866670-83866681(-)::chr9:83866669-83866681(-) agaaggaaggag >mm10_chr9:83866677-83866688(-)::chr9:83866676-83866688(-) aggaggaagaag >mm10_chr9:83866684-83866695(-)::chr9:83866683-83866695(-) aggaggaaggag >mm10_chr9:83866691-83866702(-)::chr9:83866690-83866702(-) aggaggaaggag >mm10_chr9:83883736-83883747(+)::chr9:83883735-83883747(+) AACAGGAAGTTT >mm10_chr9:83886341-83886352(-)::chr9:83886340-83886352(-) AAGAGGAAGTGC >mm10_chr9:83898383-83898394(-)::chr9:83898382-83898394(-) AAAAGGAAGTCG >mm10_chr9:83898578-83898589(+)::chr9:83898577-83898589(+) agcaggaagacc >mm10_chr9:83957084-83957095(-)::chr9:83957083-83957095(-) AACAGGAAGCTG >mm10_chr9:84027390-84027401(+)::chr9:84027389-84027401(+) aagaggaagttt >mm10_chr9:84047701-84047712(+)::chr9:84047700-84047712(+) AGCAGGAAGTGA >mm10_chr9:84047752-84047763(-)::chr9:84047751-84047763(-) GAAAGGAAGTTC >mm10_chr9:84081705-84081716(+)::chr9:84081704-84081716(+) aggaggaagaaa >mm10_chr9:84081732-84081743(+)::chr9:84081731-84081743(+) aggaggaagggg >mm10_chr9:84081745-84081756(+)::chr9:84081744-84081756(+) aggaggaagaag >mm10_chr9:84081766-84081777(+)::chr9:84081765-84081777(+) aggaggaagaag >mm10_chr9:84081778-84081789(+)::chr9:84081777-84081789(+) agaaggaagaag >mm10_chr9:84084979-84084990(+)::chr9:84084978-84084990(+) tcagggaagtgg >mm10_chr9:84094194-84094205(-)::chr9:84094193-84094205(-) CGCAGGAAGGAG >mm10_chr9:84100869-84100880(-)::chr9:84100868-84100880(-) tgaaggaagatc >mm10_chr9:84187609-84187620(+)::chr9:84187608-84187620(+) gcaaggaaacgt >mm10_chr9:84194777-84194788(-)::chr9:84194776-84194788(-) CATAGGAAGTAA >mm10_chr9:84199057-84199068(-)::chr9:84199056-84199068(-) actaggaagtga >mm10_chr9:84199071-84199082(+)::chr9:84199070-84199082(+) accaggaagcaa >mm10_chr9:84395027-84395038(+)::chr9:84395026-84395038(+) agcaggaaggag >mm10_chr9:84548153-84548164(-)::chr9:84548152-84548164(-) AAGAGGAAGGGA >mm10_chr9:84632289-84632300(+)::chr9:84632288-84632300(+) AAGAGGAAGTTT >mm10_chr9:84980931-84980942(-)::chr9:84980930-84980942(-) aaagggaagttg >mm10_chr9:85164244-85164255(-)::chr9:85164243-85164255(-) AAGAGGAAGTCA >mm10_chr9:85303530-85303541(+)::chr9:85303529-85303541(+) TACAGGAAGCAA >mm10_chr9:85326031-85326042(+)::chr9:85326030-85326042(+) AGAAGGAAGAGA >mm10_chr9:85326040-85326051(+)::chr9:85326039-85326051(+) AGAAGGAAACGC >mm10_chr9:85326054-85326065(+)::chr9:85326053-85326065(+) AGGAGGAAGCAC >mm10_chr9:85400660-85400671(+)::chr9:85400659-85400671(+) ACAAGGAAACGA >mm10_chr9:85407858-85407869(-)::chr9:85407857-85407869(-) tgaaggaagaaa >mm10_chr9:85449622-85449633(+)::chr9:85449621-85449633(+) CAAAGGAAGGAC >mm10_chr9:85449650-85449661(+)::chr9:85449649-85449661(+) AAAGGGAAGTGT >mm10_chr9:85451055-85451066(-)::chr9:85451054-85451066(-) GGAAGGAACTGT >mm10_chr9:85451059-85451070(-)::chr9:85451058-85451070(-) ACAAGGAAGGAA >mm10_chr9:85456052-85456063(+)::chr9:85456051-85456063(+) GAAAGGAAGAGA >mm10_chr9:85498571-85498582(+)::chr9:85498570-85498582(+) ACCAGGAAGAGG >mm10_chr9:85498585-85498596(+)::chr9:85498584-85498596(+) AGAGGGAAGTGG >mm10_chr9:85499130-85499141(+)::chr9:85499129-85499141(+) ACCAGGAAGTCT >mm10_chr9:85500639-85500650(-)::chr9:85500638-85500650(-) ggaaggaagctt >mm10_chr9:85500643-85500654(-)::chr9:85500642-85500654(-) tataggaaggaa >mm10_chr9:85507055-85507066(-)::chr9:85507054-85507066(-) ACAAGGAACTGA >mm10_chr9:85587209-85587220(+)::chr9:85587208-85587220(+) AGAAGGAACTTC >mm10_chr9:85587214-85587225(-)::chr9:85587213-85587225(-) ACAAGGAAGTTC >mm10_chr9:85663225-85663236(+)::chr9:85663224-85663236(+) AGAAGGAAGCCG >mm10_chr9:85663243-85663254(-)::chr9:85663242-85663254(-) AGAAGGAAACCG >mm10_chr9:85743512-85743523(+)::chr9:85743511-85743523(+) AGGAGGAAGCCA >mm10_chr9:85750344-85750355(+)::chr9:85750343-85750355(+) GGAAGGAAGCTG >mm10_chr9:85799441-85799452(-)::chr9:85799440-85799452(-) agaaggaactga >mm10_chr9:86058630-86058641(-)::chr9:86058629-86058641(-) AACAGGAAATGA >mm10_chr9:86455938-86455949(+)::chr9:86455937-86455949(+) aggaggaagagg >mm10_chr9:86455979-86455990(+)::chr9:86455978-86455990(+) aaaaggaagaag >mm10_chr9:86455986-86455997(+)::chr9:86455985-86455997(+) agaaggaagttt >mm10_chr9:86467705-86467716(+)::chr9:86467704-86467716(+) ACACGGAAGTTG >mm10_chr9:86588575-86588586(+)::chr9:86588574-86588586(+) tgcaggaagagg >mm10_chr9:86588593-86588604(+)::chr9:86588592-86588604(+) tgcaggaagagg >mm10_chr9:86588611-86588622(+)::chr9:86588610-86588622(+) tgcaggaagagg >mm10_chr9:86588629-86588640(+)::chr9:86588628-86588640(+) tgcaggaagagg >mm10_chr9:86588647-86588658(+)::chr9:86588646-86588658(+) TGCAGGAAGCAG >mm10_chr9:86606983-86606994(-)::chr9:86606982-86606994(-) AGAAGGAAGAAC >mm10_chr9:86611119-86611130(-)::chr9:86611118-86611130(-) GGAAGGAAGGAG >mm10_chr9:86618024-86618035(+)::chr9:86618023-86618035(+) GGGAGGAAATGG >mm10_chr9:86633448-86633459(+)::chr9:86633447-86633459(+) aggaggaagttg >mm10_chr9:86635792-86635803(+)::chr9:86635791-86635803(+) ACCAGGAAGGGG >mm10_chr9:86635811-86635822(+)::chr9:86635810-86635822(+) AGAAGGAAAAAA >mm10_chr9:86638866-86638877(+)::chr9:86638865-86638877(+) accaggaagcca >mm10_chr9:86657712-86657723(+)::chr9:86657711-86657723(+) gaaaggaaggag >mm10_chr9:86657729-86657740(+)::chr9:86657728-86657740(+) agaaggaaggaa >mm10_chr9:86657733-86657744(+)::chr9:86657732-86657744(+) ggaaggaaggga >mm10_chr9:86657753-86657764(+)::chr9:86657752-86657764(+) gggaggaagTTA >mm10_chr9:86683005-86683016(-)::chr9:86683004-86683016(-) TCGAGGAAGGAG >mm10_chr9:86697139-86697150(-)::chr9:86697138-86697150(-) AAAAGGAAGCAG >mm10_chr9:86697145-86697156(-)::chr9:86697144-86697156(-) ACAAGGAAAAGG >mm10_chr9:86723426-86723437(-)::chr9:86723425-86723437(-) AGCAGGAAGCCA >mm10_chr9:86725661-86725672(+)::chr9:86725660-86725672(+) AACAGGAAGAGA >mm10_chr9:86725685-86725696(+)::chr9:86725684-86725696(+) TCGAGGAAgggg >mm10_chr9:86737467-86737478(-)::chr9:86737466-86737478(-) ATGAGGAAGATG >mm10_chr9:86746390-86746401(+)::chr9:86746389-86746401(+) AAGAGGAAATGC >mm10_chr9:86869983-86869994(-)::chr9:86869982-86869994(-) TTAAGGAAGTTG >mm10_chr9:87021850-87021861(-)::chr9:87021849-87021861(-) ACAAGGAAATGA >mm10_chr9:87262253-87262264(-)::chr9:87262252-87262264(-) agcaggaagcaa >mm10_chr9:87262310-87262321(-)::chr9:87262309-87262321(-) ctaaggaagttg >mm10_chr9:87370840-87370851(+)::chr9:87370839-87370851(+) aacaggaagggg >mm10_chr9:87370847-87370858(+)::chr9:87370846-87370858(+) agggggaagtta >mm10_chr9:87370891-87370902(+)::chr9:87370890-87370902(+) agaaggaagttt >mm10_chr9:87433384-87433395(+)::chr9:87433383-87433395(+) ACCAGGAAGTGA >mm10_chr9:87433766-87433777(+)::chr9:87433765-87433777(+) aagaggaagaca >mm10_chr9:87433777-87433788(+)::chr9:87433776-87433788(+) aggaggaagaga >mm10_chr9:87433802-87433813(+)::chr9:87433801-87433813(+) gaaaggaaggag >mm10_chr9:87433809-87433820(+)::chr9:87433808-87433820(+) aggaggaagaag >mm10_chr9:87453481-87453492(-)::chr9:87453480-87453492(-) GCCAGGAAGTCA >mm10_chr9:87691110-87691121(+)::chr9:87691109-87691121(+) CTGAGGAAGTGC >mm10_chr9:87743616-87743627(-)::chr9:87743615-87743627(-) AAGAGGAAGTTG >mm10_chr9:88062058-88062069(+)::chr9:88062057-88062069(+) agcaggaaggaa >mm10_chr9:88062062-88062073(+)::chr9:88062061-88062073(+) ggaaggaagttt >mm10_chr9:88114753-88114764(-)::chr9:88114752-88114764(-) Acaaggaactta >mm10_chr9:88167433-88167444(+)::chr9:88167432-88167444(+) ACAAGGAAGGAA >mm10_chr9:88167437-88167448(+)::chr9:88167436-88167448(+) GGAAGGAAATGG >mm10_chr9:88167499-88167510(+)::chr9:88167498-88167510(+) TGCAGGAAGCAT >mm10_chr9:88167923-88167934(-)::chr9:88167922-88167934(-) ggcaggaagagg >mm10_chr9:88169270-88169281(-)::chr9:88169269-88169281(-) atgaggaaataa >mm10_chr9:88177794-88177805(+)::chr9:88177793-88177805(+) ACCAGGAAGCAC >mm10_chr9:88178078-88178089(-)::chr9:88178077-88178089(-) ATTAGGAAGTGC >mm10_chr9:88296697-88296708(+)::chr9:88296696-88296708(+) GGAAAGAAGTGT >mm10_chr9:88372755-88372766(-)::chr9:88372754-88372766(-) GAGAGGAAATAC >mm10_chr9:88413644-88413655(-)::chr9:88413643-88413655(-) AAAAGGAAGCTG >mm10_chr9:88439065-88439076(-)::chr9:88439064-88439076(-) ATAAGGAAAGTG >mm10_chr9:88439075-88439086(+)::chr9:88439074-88439086(+) ATCCGGAAGTCC >mm10_chr9:88481359-88481370(-)::chr9:88481358-88481370(-) TGAAGGAAGTTG >mm10_chr9:88481876-88481887(+)::chr9:88481875-88481887(+) AGGAGGAAGTGG >mm10_chr9:88483172-88483183(+)::chr9:88483171-88483183(+) GCGAGGAAATCC >mm10_chr9:88539960-88539971(+)::chr9:88539959-88539971(+) taaaggcagtga >mm10_chr9:88539997-88540008(+)::chr9:88539996-88540008(+) accaggaagctg >mm10_chr9:88545390-88545401(-)::chr9:88545389-88545401(-) CCAAGGAAGTCA >mm10_chr9:89251363-89251374(-)::chr9:89251362-89251374(-) GGAAGGAAATTT >mm10_chr9:89251367-89251378(-)::chr9:89251366-89251378(-) TGAAGGAAGGAA >mm10_chr9:89539693-89539704(-)::chr9:89539692-89539704(-) ACAAGGAAATTT >mm10_chr9:89592455-89592466(-)::chr9:89592454-89592466(-) AGAAGAAAGTAG >mm10_chr9:89706657-89706668(+)::chr9:89706656-89706668(+) AATAGGAAATGA >mm10_chr9:89811263-89811274(+)::chr9:89811262-89811274(+) ATAAGGAAGTGG >mm10_chr9:89811277-89811288(+)::chr9:89811276-89811288(+) ACAAGGAAGCAA >mm10_chr9:89811291-89811302(+)::chr9:89811290-89811302(+) TTAAGGAAGCAA >mm10_chr9:89955338-89955349(-)::chr9:89955337-89955349(-) AAAAGGAAACCG >mm10_chr9:89995130-89995141(+)::chr9:89995129-89995141(+) TACAGGATGTAG >mm10_chr9:90048145-90048156(-)::chr9:90048144-90048156(-) TGCAGGAAGTGA >mm10_chr9:90054161-90054172(+)::chr9:90054160-90054172(+) AGGAGGAAGGAG >mm10_chr9:90054171-90054182(+)::chr9:90054170-90054182(+) AGGAGGAAGCAA >mm10_chr9:90061135-90061146(-)::chr9:90061134-90061146(-) ATCAGGAAGTTA >mm10_chr9:90070238-90070249(+)::chr9:90070237-90070249(+) ACCAGGAAGTGA >mm10_chr9:90113673-90113684(+)::chr9:90113672-90113684(+) AGGAGGAACTAA >mm10_chr9:90126857-90126868(+)::chr9:90126856-90126868(+) GTAAGGAAGCTG >mm10_chr9:90140242-90140253(-)::chr9:90140241-90140253(-) ATGAGGAAGTGA >mm10_chr9:90145775-90145786(-)::chr9:90145774-90145786(-) tcgaggaaattc >mm10_chr9:90145824-90145835(-)::chr9:90145823-90145835(-) gaaaggatgtat >mm10_chr9:90145842-90145853(-)::chr9:90145841-90145853(-) aaaaggaacttc >mm10_chr9:90163836-90163847(-)::chr9:90163835-90163847(-) CGGAGGAAGAAG >mm10_chr9:90184803-90184814(-)::chr9:90184802-90184814(-) AGAAGGAAATGT >mm10_chr9:90206729-90206740(+)::chr9:90206728-90206740(+) GACAGGAAGTAG >mm10_chr9:90206741-90206752(+)::chr9:90206740-90206752(+) AGGAGGAAGATT >mm10_chr9:90207961-90207972(+)::chr9:90207960-90207972(+) TAGAGGAAGTAT >mm10_chr9:90262250-90262261(+)::chr9:90262249-90262261(+) ATCAGGAAGAGA >mm10_chr9:90604743-90604754(+)::chr9:90604742-90604754(+) aacagtaagtag >mm10_chr9:90886810-90886821(-)::chr9:90886809-90886821(-) aggaggaagggg >mm10_chr9:92222221-92222232(-)::chr9:92222220-92222232(-) agagggaagaaa >mm10_chr9:92226355-92226366(-)::chr9:92226354-92226366(-) ACAAGGAAGATG >mm10_chr9:92236589-92236600(+)::chr9:92236588-92236600(+) AGCAGGAAGCAA >mm10_chr9:92243223-92243234(-)::chr9:92243222-92243234(-) ATGAGGAAGGGA >mm10_chr9:92243297-92243308(+)::chr9:92243296-92243308(+) TACAGGAAGAAG >mm10_chr9:92251384-92251395(-)::chr9:92251383-92251395(-) GGAAGAAAGTAT >mm10_chr9:92255296-92255307(+)::chr9:92255295-92255307(+) AGGAGGAAATGT >mm10_chr9:92275593-92275604(+)::chr9:92275592-92275604(+) aGAAGGAAGGGA >mm10_chr9:92332916-92332927(-)::chr9:92332915-92332927(-) tggaggaagtgt >mm10_chr9:92333221-92333232(-)::chr9:92333220-92333232(-) ataaggaagaga >mm10_chr9:92333233-92333244(-)::chr9:92333232-92333244(-) tgaaggaagaac >mm10_chr9:92539400-92539411(-)::chr9:92539399-92539411(-) TACAGGAAGTTA >mm10_chr9:94247918-94247929(+)::chr9:94247917-94247929(+) GAAAGGAAGAAA >mm10_chr9:94309041-94309052(-)::chr9:94309040-94309052(-) gagaggaagaag >mm10_chr9:94507028-94507039(+)::chr9:94507027-94507039(+) agacggaagaga >mm10_chr9:94507043-94507054(+)::chr9:94507042-94507054(+) aggaggaagagg >mm10_chr9:94512405-94512416(+)::chr9:94512404-94512416(+) ACAAGGAGGTAG >mm10_chr9:94512443-94512454(+)::chr9:94512442-94512454(+) ACGAGGAAGAAG >mm10_chr9:94589391-94589402(+)::chr9:94589390-94589402(+) ggcaggaagcag >mm10_chr9:94589436-94589447(+)::chr9:94589435-94589447(+) TCAAGGAAGGCT >mm10_chr9:94589451-94589462(+)::chr9:94589450-94589462(+) TCAAGGAAGATC >mm10_chr9:94620183-94620194(-)::chr9:94620182-94620194(-) agaaggaagctt >mm10_chr9:94668651-94668662(+)::chr9:94668650-94668662(+) ACCAGGAAGTTC >mm10_chr9:94717322-94717333(+)::chr9:94717321-94717333(+) aaaaggaagttt >mm10_chr9:94719655-94719666(+)::chr9:94719654-94719666(+) TAGAGGAAGAAG >mm10_chr9:94719662-94719673(+)::chr9:94719661-94719673(+) AGAAGGAAAAGA >mm10_chr9:94719678-94719689(+)::chr9:94719677-94719689(+) TACAGGAAGAAT >mm10_chr9:95052473-95052484(-)::chr9:95052472-95052484(-) ACAAGGAAATGG >mm10_chr9:95052503-95052514(-)::chr9:95052502-95052514(-) AGAAGGAAATTA >mm10_chr9:95175217-95175228(+)::chr9:95175216-95175228(+) tggaggaagagg >mm10_chr9:95175241-95175252(+)::chr9:95175240-95175252(+) aggaggaagagg >mm10_chr9:95175247-95175258(+)::chr9:95175246-95175258(+) aagaggaagtca >mm10_chr9:95175265-95175276(+)::chr9:95175264-95175276(+) aggaggaagaag >mm10_chr9:95205580-95205591(-)::chr9:95205579-95205591(-) CAGAGGAAGTCT >mm10_chr9:95370857-95370868(-)::chr9:95370856-95370868(-) gggaggaagttt >mm10_chr9:95381127-95381138(+)::chr9:95381126-95381138(+) AACAGGAAGACT >mm10_chr9:95395930-95395941(+)::chr9:95395929-95395941(+) gagaggaagaAG >mm10_chr9:95395937-95395948(+)::chr9:95395936-95395948(+) agaAGGAAGAAG >mm10_chr9:95397386-95397397(-)::chr9:95397385-95397397(-) AAGAGGAAGAAG >mm10_chr9:95407829-95407840(+)::chr9:95407828-95407840(+) AGGAGGAAGTAA >mm10_chr9:95512008-95512019(-)::chr9:95512007-95512019(-) ACGCGGAAGTCA >mm10_chr9:95512193-95512204(-)::chr9:95512192-95512204(-) CTCAGGAAGTAG >mm10_chr9:95512208-95512219(+)::chr9:95512207-95512219(+) GGAAGGAAGCTG >mm10_chr9:95530727-95530738(+)::chr9:95530726-95530738(+) GAAAGGAAGCCT >mm10_chr9:95540687-95540698(+)::chr9:95540686-95540698(+) AACAGGAAGTGC >mm10_chr9:95594599-95594610(+)::chr9:95594598-95594610(+) CACAGGAAGTAG >mm10_chr9:95594606-95594617(+)::chr9:95594605-95594617(+) AGTAGGAAGTGA >mm10_chr9:95796152-95796163(+)::chr9:95796151-95796163(+) TAGGGGAAGTAT >mm10_chr9:95835081-95835092(-)::chr9:95835080-95835092(-) AGAGGGAAGTGC >mm10_chr9:96061838-96061849(-)::chr9:96061837-96061849(-) agcaggaagtag >mm10_chr9:96123107-96123118(-)::chr9:96123106-96123118(-) GGAAGGCAGTGT >mm10_chr9:96123111-96123122(-)::chr9:96123110-96123122(-) AGCAGGAAGGCA >mm10_chr9:96123134-96123145(-)::chr9:96123133-96123145(-) aggaggaagagA >mm10_chr9:96123144-96123155(-)::chr9:96123143-96123155(-) atgaggaagtag >mm10_chr9:96123174-96123185(-)::chr9:96123173-96123185(-) agaaggaaggag >mm10_chr9:96123181-96123192(-)::chr9:96123180-96123192(-) aggaggaagaag >mm10_chr9:96133461-96133472(+)::chr9:96133460-96133472(+) ACAAGTAAGTGT >mm10_chr9:96325028-96325039(-)::chr9:96325027-96325039(-) CCAAGGAACTAG >mm10_chr9:96325496-96325507(-)::chr9:96325495-96325507(-) aagaggaagaag >mm10_chr9:96325502-96325513(-)::chr9:96325501-96325513(-) aggaggaagagg >mm10_chr9:96325509-96325520(-)::chr9:96325508-96325520(-) gaaaggaaggag >mm10_chr9:96325522-96325533(-)::chr9:96325521-96325533(-) agaaggaaaagg >mm10_chr9:96326630-96326641(-)::chr9:96326629-96326641(-) AGAAGGAACTAA >mm10_chr9:96363597-96363608(+)::chr9:96363596-96363608(+) aggaggaagaAG >mm10_chr9:96364584-96364595(+)::chr9:96364583-96364595(+) GCGAGGAAGCCA >mm10_chr9:96386105-96386116(-)::chr9:96386104-96386116(-) GGAAGGAATTAA >mm10_chr9:96386109-96386120(-)::chr9:96386108-96386120(-) AGAAGGAAGGAA >mm10_chr9:96399619-96399630(-)::chr9:96399618-96399630(-) AAGAGGAAGAAC >mm10_chr9:96404557-96404568(+)::chr9:96404556-96404568(+) AGCAGGAAGTAG >mm10_chr9:96404586-96404597(-)::chr9:96404585-96404597(-) ATAAGGAAAGCA >mm10_chr9:96416296-96416307(-)::chr9:96416295-96416307(-) ACAAGGAAGATG >mm10_chr9:96449375-96449386(+)::chr9:96449374-96449386(+) GAGAGGAAGAAG >mm10_chr9:96449405-96449416(+)::chr9:96449404-96449416(+) Gagaggaagagg >mm10_chr9:96449411-96449422(+)::chr9:96449410-96449422(+) aagaggaagagg >mm10_chr9:96449417-96449428(+)::chr9:96449416-96449428(+) aagaggaagagg >mm10_chr9:96449423-96449434(+)::chr9:96449422-96449434(+) aagaggaagagg >mm10_chr9:96449429-96449440(+)::chr9:96449428-96449440(+) aagaggaagaaA >mm10_chr9:96559296-96559307(-)::chr9:96559295-96559307(-) AAGAGGAAGTAC >mm10_chr9:96632223-96632234(-)::chr9:96632222-96632234(-) ACCAGGAAATCA >mm10_chr9:96632260-96632271(+)::chr9:96632259-96632271(+) AGAAGGAAGAAA >mm10_chr9:96706121-96706132(-)::chr9:96706120-96706132(-) AGCAGGAAGCAG >mm10_chr9:96715973-96715984(-)::chr9:96715972-96715984(-) ACAAGGAACTGT >mm10_chr9:96716022-96716033(-)::chr9:96716021-96716033(-) ACTAGGAAGTTG >mm10_chr9:96722954-96722965(+)::chr9:96722953-96722965(+) caaaggaaggct >mm10_chr9:96722979-96722990(+)::chr9:96722978-96722990(+) aggaggaagggg >mm10_chr9:96722998-96723009(+)::chr9:96722997-96723009(+) ggaaggaagaga >mm10_chr9:96723011-96723022(+)::chr9:96723010-96723022(+) gaaaggaaggaa >mm10_chr9:96723015-96723026(+)::chr9:96723014-96723026(+) ggaaggaaggaa >mm10_chr9:96723019-96723030(+)::chr9:96723018-96723030(+) ggaaggaaggaa >mm10_chr9:96723023-96723034(+)::chr9:96723022-96723034(+) ggaaggaaggGA >mm10_chr9:96723894-96723905(+)::chr9:96723893-96723905(+) ATAAGGAAGTCC >mm10_chr9:96724675-96724686(+)::chr9:96724674-96724686(+) gggaggaaggaa >mm10_chr9:96724684-96724695(+)::chr9:96724683-96724695(+) gaaaggaaggag >mm10_chr9:96724695-96724706(+)::chr9:96724694-96724706(+) gagaggaagaga >mm10_chr9:96724704-96724715(+)::chr9:96724703-96724715(+) agaaggaaggag >mm10_chr9:96724711-96724722(+)::chr9:96724710-96724722(+) aggaggaagCAG >mm10_chr9:96725732-96725743(-)::chr9:96725731-96725743(-) ACAAGGAAAATG >mm10_chr9:96725773-96725784(-)::chr9:96725772-96725784(-) AACAGGAAGGCT >mm10_chr9:96745334-96745345(+)::chr9:96745333-96745345(+) CATAGGAAGTAA >mm10_chr9:96748031-96748042(-)::chr9:96748030-96748042(-) GCACGGAAGCGT >mm10_chr9:96752890-96752901(-)::chr9:96752889-96752901(-) AGGAGGAAGGGA >mm10_chr9:96773316-96773327(-)::chr9:96773315-96773327(-) TCAAGGAAGCCA >mm10_chr9:96826964-96826975(-)::chr9:96826963-96826975(-) AAAAGGCAGTAA >mm10_chr9:96876961-96876972(-)::chr9:96876960-96876972(-) GGCCGGAAGTCC >mm10_chr9:96878505-96878516(-)::chr9:96878504-96878516(-) ACAAGGAAGAGG >mm10_chr9:96916793-96916804(-)::chr9:96916792-96916804(-) TCGAGGAAGCCA >mm10_chr9:96923776-96923787(-)::chr9:96923775-96923787(-) GACAGGAAGTCT >mm10_chr9:96923829-96923840(-)::chr9:96923828-96923840(-) GAGAGGAAGTTG >mm10_chr9:96935739-96935750(+)::chr9:96935738-96935750(+) ttcaggaagtcg >mm10_chr9:96937222-96937233(-)::chr9:96937221-96937233(-) ggaaggaagaca >mm10_chr9:96952600-96952611(+)::chr9:96952599-96952611(+) ATAAGGAAGTTA >mm10_chr9:96972934-96972945(-)::chr9:96972933-96972945(-) AGGAGGAAGCTA >mm10_chr9:96972975-96972986(-)::chr9:96972974-96972986(-) ATAAGGAAAGGC >mm10_chr9:96973310-96973321(+)::chr9:96973309-96973321(+) AGGAGGAAGAGG >mm10_chr9:96985150-96985161(-)::chr9:96985149-96985161(-) GGGAGGAAGCGG >mm10_chr9:96985192-96985203(-)::chr9:96985191-96985203(-) agcaggaagcag >mm10_chr9:96985199-96985210(-)::chr9:96985198-96985210(-) agcaggaagcag >mm10_chr9:96985206-96985217(-)::chr9:96985205-96985217(-) agcaggaagcag >mm10_chr9:97124138-97124149(-)::chr9:97124137-97124149(-) ggcaggaagcag >mm10_chr9:97124216-97124227(-)::chr9:97124215-97124227(-) ggaaggaaggac >mm10_chr9:97156835-97156846(+)::chr9:97156834-97156846(+) TGAAGGAAGGGA >mm10_chr9:97156843-97156854(+)::chr9:97156842-97156854(+) GGGAGGAAGGTG >mm10_chr9:97271557-97271568(-)::chr9:97271556-97271568(-) acaaggaggtaa >mm10_chr9:97492397-97492408(-)::chr9:97492396-97492408(-) ACCAGGAAGTGT >mm10_chr9:97492415-97492426(+)::chr9:97492414-97492426(+) ACGAGGAAGTGA >mm10_chr9:97532636-97532647(-)::chr9:97532635-97532647(-) AGAAGGAAACTA >mm10_chr9:97538235-97538246(+)::chr9:97538234-97538246(+) TTCAGGAAGTAG >mm10_chr9:97612156-97612167(-)::chr9:97612155-97612167(-) GTCAGGAAGTTA >mm10_chr9:97627469-97627480(+)::chr9:97627468-97627480(+) TGAAGGAAGAAG >mm10_chr9:97627476-97627487(+)::chr9:97627475-97627487(+) AGAAGGAAATGA >mm10_chr9:97627490-97627501(+)::chr9:97627489-97627501(+) AGCAGGAAGGAA >mm10_chr9:97627494-97627505(+)::chr9:97627493-97627505(+) GGAAGGAAGGAT >mm10_chr9:98280147-98280158(+)::chr9:98280146-98280158(+) TGTAGGAAATAA >mm10_chr9:98280163-98280174(+)::chr9:98280162-98280174(+) AGAAGGAAGAGG >mm10_chr9:98338609-98338620(+)::chr9:98338608-98338620(+) AAAAGGAAGAAA >mm10_chr9:98409305-98409316(-)::chr9:98409304-98409316(-) AACAGGAAGCCA >mm10_chr9:98421108-98421119(-)::chr9:98421107-98421119(-) agaaggaagaag >mm10_chr9:98421118-98421129(-)::chr9:98421117-98421129(-) agaaggaagaag >mm10_chr9:98421125-98421136(-)::chr9:98421124-98421136(-) agaaggaagaag >mm10_chr9:98421132-98421143(-)::chr9:98421131-98421143(-) agaaggaagaag >mm10_chr9:98421148-98421159(-)::chr9:98421147-98421159(-) aggaggaaggag >mm10_chr9:98428978-98428989(-)::chr9:98428977-98428989(-) TAAAGGAAGAAA >mm10_chr9:98446700-98446711(-)::chr9:98446699-98446711(-) GGAAGGAAGATA >mm10_chr9:98512636-98512647(+)::chr9:98512635-98512647(+) ACCGGGAAGTCT >mm10_chr9:98531155-98531166(+)::chr9:98531154-98531166(+) AAGAGGAAGTCA >mm10_chr9:98577701-98577712(-)::chr9:98577700-98577712(-) ACAAGGAAACAA >mm10_chr9:99074662-99074673(+)::chr9:99074661-99074673(+) tagaggaagagg >mm10_chr9:99074691-99074702(-)::chr9:99074690-99074702(-) aagaggaagagg >mm10_chr9:99085111-99085122(+)::chr9:99085110-99085122(+) ttaaggaaatgt >mm10_chr9:99085168-99085179(-)::chr9:99085167-99085179(-) agaaggaaaagg >mm10_chr9:99091590-99091601(+)::chr9:99091589-99091601(+) GGGAGGAAGAGA >mm10_chr9:99097802-99097813(+)::chr9:99097801-99097813(+) tggaggaaggaa >mm10_chr9:99158370-99158381(+)::chr9:99158369-99158381(+) ACAAGGAAGTAG >mm10_chr9:99164972-99164983(+)::chr9:99164971-99164983(+) ACCAGGAAGAGA >mm10_chr9:99240728-99240739(-)::chr9:99240727-99240739(-) ACAAGGACGTTT >mm10_chr9:99240795-99240806(+)::chr9:99240794-99240806(+) TTCAGGAAGTAT >mm10_chr9:99243216-99243227(+)::chr9:99243215-99243227(+) TCCAGGAAGTGT >mm10_chr9:99243763-99243774(+)::chr9:99243762-99243774(+) AGCAGGAACTGA >mm10_chr9:99337678-99337689(-)::chr9:99337677-99337689(-) TAGAGGAAATGG >mm10_chr9:99345426-99345437(+)::chr9:99345425-99345437(+) GCCAGGAAGTGG >mm10_chr9:99346253-99346264(-)::chr9:99346252-99346264(-) TAAAGGAAGAGC >mm10_chr9:99352817-99352828(+)::chr9:99352816-99352828(+) AGGAGGAAGAAA >mm10_chr9:99352826-99352837(+)::chr9:99352825-99352837(+) AAAAGGAAGTCC >mm10_chr9:99378178-99378189(+)::chr9:99378177-99378189(+) acaaggaagtaa >mm10_chr9:99378219-99378230(+)::chr9:99378218-99378230(+) acagggaagtaa >mm10_chr9:99401219-99401230(-)::chr9:99401218-99401230(-) TGGAGGAAGTAA >mm10_chr9:99401335-99401346(-)::chr9:99401334-99401346(-) GGAAGGAACTGG >mm10_chr9:99401339-99401350(-)::chr9:99401338-99401350(-) GGCAGGAAGGAA >mm10_chr9:99401365-99401376(-)::chr9:99401364-99401376(-) AGCAGGAAGAGC >mm10_chr9:99418168-99418179(+)::chr9:99418167-99418179(+) TTAAGGAAGCCG >mm10_chr9:99418179-99418190(-)::chr9:99418178-99418190(-) ACGAGGAACCGC >mm10_chr9:99451897-99451908(+)::chr9:99451896-99451908(+) AACAGGAAGAAG >mm10_chr9:99451904-99451915(+)::chr9:99451903-99451915(+) AGAAGGAAATTG >mm10_chr9:99480691-99480702(-)::chr9:99480690-99480702(-) AAAAGGAAGTAC >mm10_chr9:99573684-99573695(+)::chr9:99573683-99573695(+) GGAAGGAAGGAG >mm10_chr9:99575775-99575786(+)::chr9:99575774-99575786(+) AGCCGGAAGTTA >mm10_chr9:99623919-99623930(-)::chr9:99623918-99623930(-) acaaggaagaga >mm10_chr9:99623946-99623957(-)::chr9:99623945-99623957(-) aggaggaagaag >mm10_chr9:99623961-99623972(-)::chr9:99623960-99623972(-) aagaggaagagg >mm10_chr9:99623985-99623996(-)::chr9:99623984-99623996(-) aagaggaagaag >mm10_chr9:99623991-99624002(-)::chr9:99623990-99624002(-) aggaggaagagg >mm10_chr9:99624006-99624017(-)::chr9:99624005-99624017(-) aggaggaagagg >mm10_chr9:99661037-99661048(+)::chr9:99661036-99661048(+) ACAAGGAAGTGA >mm10_chr9:99787109-99787120(-)::chr9:99787108-99787120(-) atacggaagtca >mm10_chr9:99796166-99796177(+)::chr9:99796165-99796177(+) aggaggaagagg >mm10_chr9:99796205-99796216(+)::chr9:99796204-99796216(+) gagaggaagagg >mm10_chr9:99822546-99822557(-)::chr9:99822545-99822557(-) CCAAGGAAGTGA >mm10_chr9:99822570-99822581(-)::chr9:99822569-99822581(-) AAAAGGTAGTGT >mm10_chr9:99932661-99932672(+)::chr9:99932660-99932672(+) aggaggaagttg >mm10_chr9:100011953-100011964(+)::chr9:100011952-100011964(+) gacaggaagaat >mm10_chr9:100046722-100046733(+)::chr9:100046721-100046733(+) ACCGGGAAGTCA >mm10_chr9:100413806-100413817(-)::chr9:100413805-100413817(-) actaggaaggta >mm10_chr9:100432164-100432175(+)::chr9:100432163-100432175(+) agaaggaagtca >mm10_chr9:100433881-100433892(-)::chr9:100433880-100433892(-) acaaggaagaag >mm10_chr9:100454500-100454511(+)::chr9:100454499-100454511(+) AGAAGGAAATAG >mm10_chr9:100471061-100471072(-)::chr9:100471060-100471072(-) GGAAGGAAGGCC >mm10_chr9:100507732-100507743(-)::chr9:100507731-100507743(-) GGAAGGAATTGG >mm10_chr9:100507736-100507747(-)::chr9:100507735-100507747(-) GACAGGAAGGAA >mm10_chr9:100507799-100507810(-)::chr9:100507798-100507810(-) GGAAGGAAGTAT >mm10_chr9:100507803-100507814(-)::chr9:100507802-100507814(-) TGAAGGAAGGAA >mm10_chr9:100522259-100522270(-)::chr9:100522258-100522270(-) AACAGGAAATTG >mm10_chr9:100543926-100543937(+)::chr9:100543925-100543937(+) gggaggaaggaa >mm10_chr9:100543930-100543941(+)::chr9:100543929-100543941(+) ggaaggaaatta >mm10_chr9:100543939-100543950(+)::chr9:100543938-100543950(+) ttaaggaagaag >mm10_chr9:100569953-100569964(+)::chr9:100569952-100569964(+) CCCAGGAAGTCG >mm10_chr9:100590374-100590385(-)::chr9:100590373-100590385(-) ATGAGGAAGGGA >mm10_chr9:100590726-100590737(+)::chr9:100590725-100590737(+) AGCAGGAAGTGT >mm10_chr9:100597922-100597933(-)::chr9:100597921-100597933(-) ACAAGGAAATTC >mm10_chr9:100619112-100619123(+)::chr9:100619111-100619123(+) agaaggaagctt >mm10_chr9:100642690-100642701(+)::chr9:100642689-100642701(+) GGAAGGAAGGGG >mm10_chr9:100732019-100732030(-)::chr9:100732018-100732030(-) agaaggaagtaa >mm10_chr9:100767196-100767207(-)::chr9:100767195-100767207(-) gcaaggaaattc >mm10_chr9:100872484-100872495(-)::chr9:100872483-100872495(-) agaaggaaaacg >mm10_chr9:100872491-100872502(-)::chr9:100872490-100872502(-) agaaggaagaag >mm10_chr9:101005951-101005962(+)::chr9:101005950-101005962(+) AAGAGGAAATAC >mm10_chr9:101017963-101017974(+)::chr9:101017962-101017974(+) tcaaggaaggaa >mm10_chr9:101017967-101017978(+)::chr9:101017966-101017978(+) ggaaggaagagt >mm10_chr9:101018003-101018014(+)::chr9:101018002-101018014(+) tgaaggaagtcg >mm10_chr9:101041755-101041766(-)::chr9:101041754-101041766(-) gacaggaagtag >mm10_chr9:101041822-101041833(-)::chr9:101041821-101041833(-) aaaaggaagagt >mm10_chr9:101056908-101056919(+)::chr9:101056907-101056919(+) accaggaagaag >mm10_chr9:101083841-101083852(+)::chr9:101083840-101083852(+) accaggaagcac >mm10_chr9:101100281-101100292(-)::chr9:101100280-101100292(-) GGAAGTAAGTGT >mm10_chr9:101100285-101100296(-)::chr9:101100284-101100296(-) ACCAGGAAGTAA >mm10_chr9:101100316-101100327(+)::chr9:101100315-101100327(+) ATAAGGAGGTTG >mm10_chr9:101110223-101110234(-)::chr9:101110222-101110234(-) GGGAGGAAGAAA >mm10_chr9:101110287-101110298(-)::chr9:101110286-101110298(-) AGCAGGAAGCAG >mm10_chr9:101191367-101191378(+)::chr9:101191366-101191378(+) agaaggaaggaa >mm10_chr9:101191371-101191382(+)::chr9:101191370-101191382(+) ggaaggaaggag >mm10_chr9:101191381-101191392(+)::chr9:101191380-101191392(+) aggaggaagaag >mm10_chr9:101191742-101191753(+)::chr9:101191741-101191753(+) aggaggaagagg >mm10_chr9:101191748-101191759(+)::chr9:101191747-101191759(+) aagaggaagagg >mm10_chr9:101191754-101191765(+)::chr9:101191753-101191765(+) aagaggaagagg >mm10_chr9:101239641-101239652(+)::chr9:101239640-101239652(+) AGAAGGAAGGAG >mm10_chr9:101262356-101262367(+)::chr9:101262355-101262367(+) GCAAGGAAGTTG >mm10_chr9:101270757-101270768(+)::chr9:101270756-101270768(+) accaggaagcag >mm10_chr9:101272793-101272804(+)::chr9:101272792-101272804(+) AGAAGGAAGTAA >mm10_chr9:101272813-101272824(-)::chr9:101272812-101272824(-) AAAATGAAGTGG >mm10_chr9:101275282-101275293(-)::chr9:101275281-101275293(-) TCCAGGAAGTCT >mm10_chr9:101286518-101286529(+)::chr9:101286517-101286529(+) TCAAGGCAGTAT >mm10_chr9:101286532-101286543(+)::chr9:101286531-101286543(+) GTCAGGAAGTGC >mm10_chr9:101306442-101306453(-)::chr9:101306441-101306453(-) ACAAGGAAAAGA >mm10_chr9:101306505-101306516(+)::chr9:101306504-101306516(+) AGAATGAAGTGT >mm10_chr9:101330551-101330562(-)::chr9:101330550-101330562(-) ACAAGGAAGCAT >mm10_chr9:101358233-101358244(+)::chr9:101358232-101358244(+) TACAGGAAGATG >mm10_chr9:101369273-101369284(-)::chr9:101369272-101369284(-) acaaggaAGACA >mm10_chr9:101369289-101369300(-)::chr9:101369288-101369300(-) gaaaggaagatg >mm10_chr9:101369329-101369340(-)::chr9:101369328-101369340(-) TCAaggaagaag >mm10_chr9:101403862-101403873(-)::chr9:101403861-101403873(-) ATCAGGAAGGGA >mm10_chr9:101403887-101403898(-)::chr9:101403886-101403898(-) aagaggaaggag >mm10_chr9:101403893-101403904(-)::chr9:101403892-101403904(-) aagaggaagagg >mm10_chr9:101403899-101403910(-)::chr9:101403898-101403910(-) tagaggaagagg >mm10_chr9:101403923-101403934(-)::chr9:101403922-101403934(-) aggaggaagagg >mm10_chr9:102329373-102329384(+)::chr9:102329372-102329384(+) TGATGGAAGTAG >mm10_chr9:102397743-102397754(+)::chr9:102397742-102397754(+) tggaggaagtat >mm10_chr9:102397793-102397804(-)::chr9:102397792-102397804(-) aagaggaagtga >mm10_chr9:102577610-102577621(+)::chr9:102577609-102577621(+) tggaggaagtat >mm10_chr9:102577656-102577667(-)::chr9:102577655-102577667(-) aacaggaaatgg >mm10_chr9:102595950-102595961(-)::chr9:102595949-102595961(-) ACAAGGAAAATG >mm10_chr9:102601421-102601432(-)::chr9:102601420-102601432(-) GAAAGGAAGTAC >mm10_chr9:102601426-102601437(-)::chr9:102601425-102601437(-) AGAAGGAAAGGA >mm10_chr9:102626533-102626544(-)::chr9:102626532-102626544(-) ATGCGGAAGTGC >mm10_chr9:102626566-102626577(+)::chr9:102626565-102626577(+) AACCGGAAGTCG >mm10_chr9:102632205-102632216(+)::chr9:102632204-102632216(+) TCCAGGAAGTAG >mm10_chr9:102655095-102655106(+)::chr9:102655094-102655106(+) gagaggaagtta >mm10_chr9:102655150-102655161(+)::chr9:102655149-102655161(+) acaaggatgttg >mm10_chr9:102682077-102682088(-)::chr9:102682076-102682088(-) CCCAGGAAGTGT >mm10_chr9:102682147-102682158(-)::chr9:102682146-102682158(-) TGGAGGAAGCTA >mm10_chr9:102701145-102701156(-)::chr9:102701144-102701156(-) TGGAGGAAGGAG >mm10_chr9:102701358-102701369(+)::chr9:102701357-102701369(+) ATGAGGAAGGCC >mm10_chr9:102712511-102712522(-)::chr9:102712510-102712522(-) tggaggaagtgt >mm10_chr9:102714963-102714974(-)::chr9:102714962-102714974(-) AACAGGAAGCCG >mm10_chr9:102737650-102737661(+)::chr9:102737649-102737661(+) CTAAGGAAGCTG >mm10_chr9:102759819-102759830(-)::chr9:102759818-102759830(-) AGGAGGAAATCA >mm10_chr9:102759826-102759837(-)::chr9:102759825-102759837(-) AGGAGGAAGGAG >mm10_chr9:102766974-102766985(-)::chr9:102766973-102766985(-) ACCAGGAAGGAA >mm10_chr9:102767043-102767054(+)::chr9:102767042-102767054(+) CTAGGGAAGTTA >mm10_chr9:102778497-102778508(+)::chr9:102778496-102778508(+) ACCAGGAAGTGA >mm10_chr9:102791981-102791992(+)::chr9:102791980-102791992(+) AAAAGGAAGTAT >mm10_chr9:102792045-102792056(+)::chr9:102792044-102792056(+) AACAGGAAGGAG >mm10_chr9:102840018-102840029(-)::chr9:102840017-102840029(-) ggcaggaagttt >mm10_chr9:102852385-102852396(-)::chr9:102852384-102852396(-) aacaggaagttt >mm10_chr9:102951745-102951756(+)::chr9:102951744-102951756(+) TACAGGAAGAGA >mm10_chr9:102951796-102951807(+)::chr9:102951795-102951807(+) GCCAGGAAGAGG >mm10_chr9:102961507-102961518(+)::chr9:102961506-102961518(+) TGGAGGAAGTGA >mm10_chr9:102961895-102961906(+)::chr9:102961894-102961906(+) ACCAGGAAGAGC >mm10_chr9:102961930-102961941(-)::chr9:102961929-102961941(-) TACAGGAAGTCC >mm10_chr9:102992965-102992976(-)::chr9:102992964-102992976(-) TGAAGGAAGGTA >mm10_chr9:103005975-103005986(-)::chr9:103005974-103005986(-) ggaaagaagtag >mm10_chr9:103008409-103008420(+)::chr9:103008408-103008420(+) CCGAGGAAGAGA >mm10_chr9:103018757-103018768(-)::chr9:103018756-103018768(-) GCAAGGAAGGAA >mm10_chr9:103020509-103020520(-)::chr9:103020508-103020520(-) GTGAGGAAGTTA >mm10_chr9:103020537-103020548(-)::chr9:103020536-103020548(-) AAGAGGAAATGT >mm10_chr9:103032384-103032395(-)::chr9:103032383-103032395(-) GGCAGGAAGTCT >mm10_chr9:103032786-103032797(-)::chr9:103032785-103032797(-) AGAAGGAAATGC >mm10_chr9:103057136-103057147(+)::chr9:103057135-103057147(+) AGCAGGAAATGA >mm10_chr9:103057146-103057157(+)::chr9:103057145-103057157(+) GAAGGGAAGCGT >mm10_chr9:103057184-103057195(+)::chr9:103057183-103057195(+) AAAAGGAAGAAG >mm10_chr9:103057195-103057206(-)::chr9:103057194-103057206(-) ACCAGGAAGGGC >mm10_chr9:103076901-103076912(-)::chr9:103076900-103076912(-) GACAGGAAGAGA >mm10_chr9:103121719-103121730(-)::chr9:103121718-103121730(-) AGCAGGAAGCAC >mm10_chr9:103131237-103131248(+)::chr9:103131236-103131248(+) GGGAGGAAGTGG >mm10_chr9:103175516-103175527(+)::chr9:103175515-103175527(+) aggaggaaggaa >mm10_chr9:103175520-103175531(+)::chr9:103175519-103175531(+) ggaaggaaggag >mm10_chr9:103175527-103175538(+)::chr9:103175526-103175538(+) aggaggaaggaG >mm10_chr9:103175547-103175558(+)::chr9:103175546-103175558(+) GGGAGGAAGGTT >mm10_chr9:103226977-103226988(+)::chr9:103226976-103226988(+) GCAAGGAAGGCT >mm10_chr9:103230384-103230395(-)::chr9:103230383-103230395(-) GAAAGGAAGGGG >mm10_chr9:103230926-103230937(+)::chr9:103230925-103230937(+) GCCAGGAAGTGG >mm10_chr9:103233184-103233195(+)::chr9:103233183-103233195(+) AGCAGGAAGCAG >mm10_chr9:103233237-103233248(+)::chr9:103233236-103233248(+) TTAGGGAAGTTC >mm10_chr9:103241837-103241848(+)::chr9:103241836-103241848(+) TGGAGGAAGTCC >mm10_chr9:103252967-103252978(-)::chr9:103252966-103252978(-) acccggaagtcc >mm10_chr9:103282558-103282569(+)::chr9:103282557-103282569(+) TGCAGGAAGGAC >mm10_chr9:103295990-103296001(+)::chr9:103295989-103296001(+) gacaggaagagg >mm10_chr9:103367592-103367603(-)::chr9:103367591-103367603(-) acaaggaaggta >mm10_chr9:103367612-103367623(-)::chr9:103367611-103367623(-) agaaggaaagag >mm10_chr9:103383240-103383251(-)::chr9:103383239-103383251(-) GGGAGGAAGCGT >mm10_chr9:103474032-103474043(+)::chr9:103474031-103474043(+) Tggaggaagtgg >mm10_chr9:103474043-103474054(+)::chr9:103474042-103474054(+) gggaggaagtgg >mm10_chr9:103474054-103474065(+)::chr9:103474053-103474065(+) gggaggaagGGG >mm10_chr9:103540299-103540310(-)::chr9:103540298-103540310(-) AGCAGGAAGAAC >mm10_chr9:103598086-103598097(-)::chr9:103598085-103598097(-) AATAGGAAGATT >mm10_chr9:103602736-103602747(-)::chr9:103602735-103602747(-) ATGAGGAAGGAG >mm10_chr9:103602768-103602779(-)::chr9:103602767-103602779(-) AGAAGGAAATAA >mm10_chr9:103602775-103602786(-)::chr9:103602774-103602786(-) TAAAGGAAGAAG >mm10_chr9:103636979-103636990(+)::chr9:103636978-103636990(+) AGCAGGAAGCTG >mm10_chr9:103639703-103639714(-)::chr9:103639702-103639714(-) TACAGGAAGCAG >mm10_chr9:103640830-103640841(-)::chr9:103640829-103640841(-) AGGAGGAAGAAG >mm10_chr9:103787521-103787532(-)::chr9:103787520-103787532(-) AGGAGGAAGTCC >mm10_chr9:103787576-103787587(-)::chr9:103787575-103787587(-) AAGAGGAAATGG >mm10_chr9:103848037-103848048(+)::chr9:103848036-103848048(+) ATTAGGAAGTAG >mm10_chr9:103848047-103848058(+)::chr9:103848046-103848058(+) AGAAGGAAGAGC >mm10_chr9:103848077-103848088(-)::chr9:103848076-103848088(-) AGCAGGAAGACG >mm10_chr9:104131551-104131562(-)::chr9:104131550-104131562(-) GCGAGGAACTGT >mm10_chr9:104239003-104239014(+)::chr9:104239002-104239014(+) TTaaggaaggaa >mm10_chr9:104239027-104239038(+)::chr9:104239026-104239038(+) agaaggaaagaa >mm10_chr9:104239041-104239052(+)::chr9:104239040-104239052(+) agaaggaaggaa >mm10_chr9:104321479-104321490(-)::chr9:104321478-104321490(-) AAAAGGAAGGAA >mm10_chr9:104660009-104660020(+)::chr9:104660008-104660020(+) TCAAGGAAGCAA >mm10_chr9:104693193-104693204(-)::chr9:104693192-104693204(-) AGAAGGAATTAT >mm10_chr9:104756489-104756500(-)::chr9:104756488-104756500(-) ATAAGGAAGCCT >mm10_chr9:104756553-104756564(+)::chr9:104756552-104756564(+) AATAGGAAGTTT >mm10_chr9:104770597-104770608(+)::chr9:104770596-104770608(+) TCCAGGAAGAGG >mm10_chr9:104770603-104770614(+)::chr9:104770602-104770614(+) AAGAGGAAGGAG >mm10_chr9:104962643-104962654(-)::chr9:104962642-104962654(-) ATGAGGAAGCTA >mm10_chr9:104962659-104962670(+)::chr9:104962658-104962670(+) ACAAGGAATTGT >mm10_chr9:104980982-104980993(-)::chr9:104980981-104980993(-) ataaggaattat >mm10_chr9:105032655-105032666(+)::chr9:105032654-105032666(+) GGAAGGAAGGTG >mm10_chr9:105053247-105053258(+)::chr9:105053246-105053258(+) GACCGGAAGTGG >mm10_chr9:105102087-105102098(+)::chr9:105102086-105102098(+) agaaggaagctt >mm10_chr9:105124494-105124505(+)::chr9:105124493-105124505(+) GCAAGGATGTGG >mm10_chr9:105131791-105131802(-)::chr9:105131790-105131802(-) ACCCGGAAGTGC >mm10_chr9:105380116-105380127(+)::chr9:105380115-105380127(+) GTAAGGAAGTAT >mm10_chr9:105380137-105380148(-)::chr9:105380136-105380148(-) TGGAGGAAGGGG >mm10_chr9:105395523-105395534(-)::chr9:105395522-105395534(-) AACAGGAAGTGC >mm10_chr9:105408195-105408206(+)::chr9:105408194-105408206(+) agaaggaaaggg >mm10_chr9:105408201-105408212(+)::chr9:105408200-105408212(+) aaagggaagtag >mm10_chr9:105459275-105459286(-)::chr9:105459274-105459286(-) AGCAGGAAGGGG >mm10_chr9:105476144-105476155(+)::chr9:105476143-105476155(+) ATGAGGAAATGC >mm10_chr9:105476169-105476180(+)::chr9:105476168-105476180(+) CTAAGGAAATGT >mm10_chr9:105488568-105488579(+)::chr9:105488567-105488579(+) ATAAGGAAATTC >mm10_chr9:105494104-105494115(+)::chr9:105494103-105494115(+) ATAAGGATGTGC >mm10_chr9:105494136-105494147(-)::chr9:105494135-105494147(-) GAACGGAAGTTT >mm10_chr9:105523328-105523339(-)::chr9:105523327-105523339(-) AGAAGGAAAGAA >mm10_chr9:105532237-105532248(-)::chr9:105532236-105532248(-) ggaaggaagaaa >mm10_chr9:105532241-105532252(-)::chr9:105532240-105532252(-) aggaggaaggaa >mm10_chr9:105532271-105532282(-)::chr9:105532270-105532282(-) gaaaggaaggga >mm10_chr9:105536921-105536932(+)::chr9:105536920-105536932(+) CGAAGGAAATAC >mm10_chr9:105556126-105556137(+)::chr9:105556125-105556137(+) AACAGGAAGTTC >mm10_chr9:105556938-105556949(-)::chr9:105556937-105556949(-) GCCAGGAAGAAG >mm10_chr9:105612124-105612135(+)::chr9:105612123-105612135(+) GAGAGGAAGTTA >mm10_chr9:105612287-105612298(-)::chr9:105612286-105612298(-) AGAAGGAAGAGC >mm10_chr9:105612513-105612524(+)::chr9:105612512-105612524(+) ggaaggaagggt >mm10_chr9:105612584-105612595(+)::chr9:105612583-105612595(+) ggtaggaagagc >mm10_chr9:105613730-105613741(+)::chr9:105613729-105613741(+) AGGAGGAAGATC >mm10_chr9:105620170-105620181(+)::chr9:105620169-105620181(+) aacaggaagacc >mm10_chr9:105634946-105634957(-)::chr9:105634945-105634957(-) CCACGGAAGTAC >mm10_chr9:105634970-105634981(-)::chr9:105634969-105634981(-) TAAAGGACGTTA >mm10_chr9:105634994-105635005(+)::chr9:105634993-105635005(+) AGAAGGAAATGT >mm10_chr9:105642936-105642947(-)::chr9:105642935-105642947(-) TTGAGGAAGCGT >mm10_chr9:105642973-105642984(+)::chr9:105642972-105642984(+) AACAGGAAGTGA >mm10_chr9:105656921-105656932(-)::chr9:105656920-105656932(-) AGCAGGAAGAGG >mm10_chr9:105719515-105719526(+)::chr9:105719514-105719526(+) ccaaggaagtaa >mm10_chr9:105742089-105742100(+)::chr9:105742088-105742100(+) GCAAGGAAGGAA >mm10_chr9:105742127-105742138(+)::chr9:105742126-105742138(+) ACAAGGACGTAA >mm10_chr9:105742502-105742513(-)::chr9:105742501-105742513(-) GGCAGGAAATGG >mm10_chr9:105764966-105764977(+)::chr9:105764965-105764977(+) TCAAGGAAGAAA >mm10_chr9:105764997-105765008(+)::chr9:105764996-105765008(+) AGAAGGAAGAAC >mm10_chr9:105771675-105771686(-)::chr9:105771674-105771686(-) aagaggaaatag >mm10_chr9:105771693-105771704(+)::chr9:105771692-105771704(+) aagaggaagggt >mm10_chr9:105771705-105771716(-)::chr9:105771704-105771716(-) ACaaggaagagc >mm10_chr9:105827157-105827168(-)::chr9:105827156-105827168(-) ACTAGGAAGTAA >mm10_chr9:105827179-105827190(-)::chr9:105827178-105827190(-) AAGAGGAAGGGA >mm10_chr9:105842399-105842410(-)::chr9:105842398-105842410(-) ATCAGGAAGAAC >mm10_chr9:105844079-105844090(+)::chr9:105844078-105844090(+) tccaggaagtcc >mm10_chr9:105845150-105845161(-)::chr9:105845149-105845161(-) AGCAGGAAGAAA >mm10_chr9:105887858-105887869(-)::chr9:105887857-105887869(-) gggaggaagggt >mm10_chr9:105983091-105983102(-)::chr9:105983090-105983102(-) aagaggaagaag >mm10_chr9:105999892-105999903(+)::chr9:105999891-105999903(+) aggaggaagggg >mm10_chr9:105999907-105999918(+)::chr9:105999906-105999918(+) aggaggaagaag >mm10_chr9:105999914-105999925(+)::chr9:105999913-105999925(+) agaaggaagagg >mm10_chr9:105999932-105999943(+)::chr9:105999931-105999943(+) aggaggaaatga >mm10_chr9:106159928-106159939(+)::chr9:106159927-106159939(+) GACCGGAAGTGA >mm10_chr9:106170094-106170105(-)::chr9:106170093-106170105(-) GTGAGGAAGGGA >mm10_chr9:106203353-106203364(-)::chr9:106203352-106203364(-) ACAAGGAAGAGA >mm10_chr9:106228247-106228258(+)::chr9:106228246-106228258(+) GAGAGGAAGATA >mm10_chr9:106243310-106243321(+)::chr9:106243309-106243321(+) AGACGGAAGCTG >mm10_chr9:106244942-106244953(-)::chr9:106244941-106244953(-) AGAAGGAAGGTG >mm10_chr9:106244959-106244970(-)::chr9:106244958-106244970(-) TGAAGGAAGACT >mm10_chr9:106301522-106301533(-)::chr9:106301521-106301533(-) ggaaggaagaaa >mm10_chr9:106301526-106301537(-)::chr9:106301525-106301537(-) ggacggaaggaa >mm10_chr9:106301567-106301578(+)::chr9:106301566-106301578(+) TAAAGGAAATAG >mm10_chr9:106308708-106308719(+)::chr9:106308707-106308719(+) AGGAGGAAGCTG >mm10_chr9:106308728-106308739(+)::chr9:106308727-106308739(+) AACAGGAAGCGG >mm10_chr9:106345863-106345874(-)::chr9:106345862-106345874(-) TGCAGGAAGAGC >mm10_chr9:106365501-106365512(+)::chr9:106365500-106365512(+) tcagggaagtta >mm10_chr9:106365517-106365528(+)::chr9:106365516-106365528(+) aacaggaaggta >mm10_chr9:106372050-106372061(+)::chr9:106372049-106372061(+) GGGAGGAAGGAA >mm10_chr9:106372054-106372065(+)::chr9:106372053-106372065(+) GGAAGGAAGGAA >mm10_chr9:106372058-106372069(+)::chr9:106372057-106372069(+) GGAAGGAAGCTG >mm10_chr9:106384350-106384361(+)::chr9:106384349-106384361(+) CTAGGGAAGTGA >mm10_chr9:106386350-106386361(+)::chr9:106386349-106386361(+) AGCAGGAAGTGC >mm10_chr9:106393137-106393148(-)::chr9:106393136-106393148(-) AGGAGGAAGCTG >mm10_chr9:106393144-106393155(-)::chr9:106393143-106393155(-) CAAAGGAAGGAG >mm10_chr9:106394488-106394499(-)::chr9:106394487-106394499(-) GGAAGGAACACG >mm10_chr9:106394492-106394503(-)::chr9:106394491-106394503(-) AATAGGAAGGAA >mm10_chr9:106406057-106406068(-)::chr9:106406056-106406068(-) aagaggaagagg >mm10_chr9:106406063-106406074(-)::chr9:106406062-106406074(-) agcaggaagagg >mm10_chr9:106411343-106411354(+)::chr9:106411342-106411354(+) AGGAGGAAGTGA >mm10_chr9:106411373-106411384(+)::chr9:106411372-106411384(+) TGCAGGAAATAC >mm10_chr9:106413159-106413170(-)::chr9:106413158-106413170(-) aacaggaagcag >mm10_chr9:106437900-106437911(-)::chr9:106437899-106437911(-) atgaggaaatgt >mm10_chr9:106448842-106448853(-)::chr9:106448841-106448853(-) TGGAGGAAGCAA >mm10_chr9:106472538-106472549(+)::chr9:106472537-106472549(+) gggaggaaggga >mm10_chr9:106472550-106472561(+)::chr9:106472549-106472561(+) aggaggaagaga >mm10_chr9:106489612-106489623(+)::chr9:106489611-106489623(+) AGAAGGAAGTAC >mm10_chr9:106504316-106504327(-)::chr9:106504315-106504327(-) ACAAGGAAGATC >mm10_chr9:106551563-106551574(+)::chr9:106551562-106551574(+) acaaggaagaag >mm10_chr9:106551570-106551581(+)::chr9:106551569-106551581(+) agaaggaagctt >mm10_chr9:106566601-106566612(+)::chr9:106566600-106566612(+) ggaaggaaggca >mm10_chr9:106570594-106570605(-)::chr9:106570593-106570605(-) AAGAGGAAGAAA >mm10_chr9:106570600-106570611(-)::chr9:106570599-106570611(-) AAAAGGAAGAGG >mm10_chr9:106570628-106570639(-)::chr9:106570627-106570639(-) ACAAGGAAACAA >mm10_chr9:106572348-106572359(+)::chr9:106572347-106572359(+) ATAAGGATATAC >mm10_chr9:106572357-106572368(+)::chr9:106572356-106572368(+) TACAGGAAGCAC >mm10_chr9:106572376-106572387(+)::chr9:106572375-106572387(+) ACAAGGAAGCCC >mm10_chr9:106580701-106580712(-)::chr9:106580700-106580712(-) aggaggaaatgg >mm10_chr9:106581689-106581700(-)::chr9:106581688-106581700(-) aataggaagcat >mm10_chr9:106581714-106581725(+)::chr9:106581713-106581725(+) tagaggaagcaa >mm10_chr9:106764424-106764435(-)::chr9:106764423-106764435(-) GAAAGGAAGTGT >mm10_chr9:106822848-106822859(+)::chr9:106822847-106822859(+) ATGAGGAAATAC >mm10_chr9:106841089-106841100(+)::chr9:106841088-106841100(+) ATAAGGAAGGAA >mm10_chr9:106841093-106841104(+)::chr9:106841092-106841104(+) GGAAGGAAGGGA >mm10_chr9:106881025-106881036(-)::chr9:106881024-106881036(-) AGGAGGAAGGGG >mm10_chr9:106881066-106881077(+)::chr9:106881065-106881077(+) GTAAGGAAGGCA >mm10_chr9:106889446-106889457(+)::chr9:106889445-106889457(+) AGAAGGAACATA >mm10_chr9:106892364-106892375(-)::chr9:106892363-106892375(-) ACAAGGAACCGC >mm10_chr9:106930224-106930235(+)::chr9:106930223-106930235(+) ACAAGGAAGAAA >mm10_chr9:106930259-106930270(+)::chr9:106930258-106930270(+) TCCAGGAAGTGC >mm10_chr9:106955628-106955639(-)::chr9:106955627-106955639(-) AAGAGGAAGAAG >mm10_chr9:107080358-107080369(-)::chr9:107080357-107080369(-) ataaggaagcag >mm10_chr9:107255039-107255050(+)::chr9:107255038-107255050(+) TCTAGGAAGTAG >mm10_chr9:107261041-107261052(+)::chr9:107261040-107261052(+) AAGAGGAAGTAA >mm10_chr9:107273364-107273375(+)::chr9:107273363-107273375(+) GACAGGAAGCGG >mm10_chr9:107289568-107289579(+)::chr9:107289567-107289579(+) ATTAGGAAGGAG >mm10_chr9:107290265-107290276(-)::chr9:107290264-107290276(-) GTCAGGAAGTGC >mm10_chr9:107302742-107302753(+)::chr9:107302741-107302753(+) AGACGGAAGCTG >mm10_chr9:107322703-107322714(-)::chr9:107322702-107322714(-) aggaggaaggag >mm10_chr9:107331122-107331133(-)::chr9:107331121-107331133(-) AGGAGGAAGCTG >mm10_chr9:107331582-107331593(+)::chr9:107331581-107331593(+) GGAAGGAAGAGG >mm10_chr9:107331640-107331651(+)::chr9:107331639-107331651(+) ACAAGGAAGTCC >mm10_chr9:107428142-107428153(-)::chr9:107428141-107428153(-) AGCAGGAAGAGG >mm10_chr9:107542057-107542068(-)::chr9:107542056-107542068(-) TGGAGGAAGTCA >mm10_chr9:107555314-107555325(+)::chr9:107555313-107555325(+) ATGAGGAAGTGG >mm10_chr9:107555337-107555348(+)::chr9:107555336-107555348(+) ACCGGGAAGTGA >mm10_chr9:107563228-107563239(+)::chr9:107563227-107563239(+) GCGCGGAAGCGG >mm10_chr9:107563234-107563245(+)::chr9:107563233-107563245(+) AAGCGGAAGTGA >mm10_chr9:107567056-107567067(-)::chr9:107567055-107567067(-) GGAAGGAAGTGA >mm10_chr9:107567060-107567071(-)::chr9:107567059-107567071(-) AGCAGGAAGGAA >mm10_chr9:107567078-107567089(+)::chr9:107567077-107567089(+) CTAAGGAAGTGT >mm10_chr9:107581263-107581274(-)::chr9:107581262-107581274(-) GCCAGGAAGGAG >mm10_chr9:107582724-107582735(-)::chr9:107582723-107582735(-) TCCAGGAAGTGG >mm10_chr9:107612305-107612316(+)::chr9:107612304-107612316(+) AGAAGGAAGGAA >mm10_chr9:107612330-107612341(-)::chr9:107612329-107612341(-) ATCAGGAAGCAA >mm10_chr9:107612990-107613001(-)::chr9:107612989-107613001(-) TAAGGGAAGTGC >mm10_chr9:107618874-107618885(+)::chr9:107618873-107618885(+) CAAGGGAAGTTA >mm10_chr9:107624748-107624759(+)::chr9:107624747-107624759(+) GGCAGGAAGTAG >mm10_chr9:107624793-107624804(+)::chr9:107624792-107624804(+) AGCAGGAAGTAG >mm10_chr9:107629282-107629293(-)::chr9:107629281-107629293(-) aggaggaagcag >mm10_chr9:107633421-107633432(+)::chr9:107633420-107633432(+) GACAGGAAGAGG >mm10_chr9:107644821-107644832(-)::chr9:107644820-107644832(-) GAGAGGAAGATG >mm10_chr9:107644877-107644888(-)::chr9:107644876-107644888(-) ACCAGGAAGGTG >mm10_chr9:107659579-107659590(-)::chr9:107659578-107659590(-) GTGAGGAAGGAG >mm10_chr9:107659896-107659907(+)::chr9:107659895-107659907(+) TCCAGGAAATAG >mm10_chr9:107706247-107706258(+)::chr9:107706246-107706258(+) AGCAGGAAGCAG >mm10_chr9:107706254-107706265(+)::chr9:107706253-107706265(+) AGCAGGAAGCAC >mm10_chr9:107706285-107706296(-)::chr9:107706284-107706296(-) TGGAGGAAGTCC >mm10_chr9:107710332-107710343(-)::chr9:107710331-107710343(-) ACGCGGAAGGGG >mm10_chr9:107710339-107710350(-)::chr9:107710338-107710350(-) AGGAGGAACGCG >mm10_chr9:107710346-107710357(-)::chr9:107710345-107710357(-) AGTAGGAAGGAG >mm10_chr9:107714670-107714681(-)::chr9:107714669-107714681(-) GTAAGGAAATCT >mm10_chr9:107723966-107723977(+)::chr9:107723965-107723977(+) agcaggaaattg >mm10_chr9:107724931-107724942(-)::chr9:107724930-107724942(-) GGAAGGAACTGA >mm10_chr9:107724935-107724946(-)::chr9:107724934-107724946(-) GGAAGGAAGGAA >mm10_chr9:107725949-107725960(-)::chr9:107725948-107725960(-) ACCAGGAAGTCA >mm10_chr9:107736312-107736323(+)::chr9:107736311-107736323(+) GGAAGGCAGTAA >mm10_chr9:107747517-107747528(-)::chr9:107747516-107747528(-) AGAGGGAAGTGT >mm10_chr9:107747552-107747563(-)::chr9:107747551-107747563(-) AGCAGGAAGTTG >mm10_chr9:107776286-107776297(+)::chr9:107776285-107776297(+) TAAAGGAAGAGG >mm10_chr9:107776292-107776303(+)::chr9:107776291-107776303(+) AAGAGGAAGCAA >mm10_chr9:107782735-107782746(-)::chr9:107782734-107782746(-) GCGAGGAAATGA >mm10_chr9:107782789-107782800(-)::chr9:107782788-107782800(-) AAGAGGAAGAAG >mm10_chr9:107782795-107782806(-)::chr9:107782794-107782806(-) ATGAGGAAGAGG >mm10_chr9:107816310-107816321(+)::chr9:107816309-107816321(+) agaaggaagttt >mm10_chr9:107830342-107830353(-)::chr9:107830341-107830353(-) AGGAGGAAGAGT >mm10_chr9:107834416-107834427(-)::chr9:107834415-107834427(-) ACCAGGAACTAT >mm10_chr9:107834442-107834453(+)::chr9:107834441-107834453(+) ACTAGGAAGATG >mm10_chr9:107878754-107878765(-)::chr9:107878753-107878765(-) TCCAGGAAGTTA >mm10_chr9:107887988-107887999(+)::chr9:107887987-107887999(+) ACACGGAAGAAG >mm10_chr9:107888776-107888787(+)::chr9:107888775-107888787(+) GTCAGGAAGGGG >mm10_chr9:107950023-107950034(+)::chr9:107950022-107950034(+) TATAGGAAGTGA >mm10_chr9:107993967-107993978(-)::chr9:107993966-107993978(-) ACAAGGAAACAA >mm10_chr9:108052982-108052993(+)::chr9:108052981-108052993(+) AGAGGGAAGTCA >mm10_chr9:108055286-108055297(+)::chr9:108055285-108055297(+) AGGAGGAAATGA >mm10_chr9:108153795-108153806(+)::chr9:108153794-108153806(+) TCGGGGAAGTGG >mm10_chr9:108153802-108153813(+)::chr9:108153801-108153813(+) AGTGGGAAGTAA >mm10_chr9:108214471-108214482(+)::chr9:108214470-108214482(+) ACAAGGAACGGC >mm10_chr9:108245364-108245375(+)::chr9:108245363-108245375(+) ttaaggaagctg >mm10_chr9:108266863-108266874(-)::chr9:108266862-108266874(-) GGAAGGATGTTC >mm10_chr9:108266867-108266878(-)::chr9:108266866-108266878(-) AGGAGGAAGGAT >mm10_chr9:108266932-108266943(+)::chr9:108266931-108266943(+) AGGAGGAAGGTT >mm10_chr9:108290349-108290360(-)::chr9:108290348-108290360(-) CAACGGAAGTTC >mm10_chr9:108296089-108296100(+)::chr9:108296088-108296100(+) ATGAGGAAGACT >mm10_chr9:108306047-108306058(+)::chr9:108306046-108306058(+) GCAAGGAAGATC >mm10_chr9:108401163-108401174(+)::chr9:108401162-108401174(+) GCCAGGAAGAGG >mm10_chr9:108401979-108401990(-)::chr9:108401978-108401990(-) TAGGGGAAGTAG >mm10_chr9:108464061-108464072(+)::chr9:108464060-108464072(+) AAGAGGAAGAAG >mm10_chr9:108492226-108492237(-)::chr9:108492225-108492237(-) CTGAGGAAGTGC >mm10_chr9:108507873-108507884(+)::chr9:108507872-108507884(+) ACCGGGAAGGCG >mm10_chr9:108508306-108508317(+)::chr9:108508305-108508317(+) AAGAGGAAGACA >mm10_chr9:108569874-108569885(-)::chr9:108569873-108569885(-) GGAAGTAAGTTA >mm10_chr9:108569878-108569889(-)::chr9:108569877-108569889(-) AACAGGAAGTAA >mm10_chr9:108649408-108649419(-)::chr9:108649407-108649419(-) TACCGGAAGTGA >mm10_chr9:108662055-108662066(+)::chr9:108662054-108662066(+) AGCCGGAAGTAG >mm10_chr9:108691624-108691635(-)::chr9:108691623-108691635(-) TGTAGGAAGTAA >mm10_chr9:108774728-108774739(-)::chr9:108774727-108774739(-) aaaaggaagaat >mm10_chr9:108809433-108809444(+)::chr9:108809432-108809444(+) gtgaggaagtga >mm10_chr9:108914305-108914316(+)::chr9:108914304-108914316(+) TCAAGGAAGCCA >mm10_chr9:108936703-108936714(+)::chr9:108936702-108936714(+) TGACGGAAGTTA >mm10_chr9:108998566-108998577(+)::chr9:108998565-108998577(+) gcaaggaagttg >mm10_chr9:109023433-109023444(-)::chr9:109023432-109023444(-) agcaggaagaat >mm10_chr9:109027627-109027638(-)::chr9:109027626-109027638(-) TCAAGGAAGTAG >mm10_chr9:109040996-109041007(+)::chr9:109040995-109041007(+) ATGAGGAAATGT >mm10_chr9:109054845-109054856(-)::chr9:109054844-109054856(-) AGCAGGAAGGAC >mm10_chr9:109054895-109054906(-)::chr9:109054894-109054906(-) AAAAGGAAATCG >mm10_chr9:109059757-109059768(+)::chr9:109059756-109059768(+) AGCAGGAAGTGC >mm10_chr9:109082444-109082455(+)::chr9:109082443-109082455(+) GGCAGGAAGTCA >mm10_chr9:109088097-109088108(-)::chr9:109088096-109088108(-) AAGAGGAAGGGC >mm10_chr9:109094245-109094256(+)::chr9:109094244-109094256(+) ATACGGAAGACG >mm10_chr9:109111456-109111467(+)::chr9:109111455-109111467(+) AGGAGGAAGAGC >mm10_chr9:109113999-109114010(-)::chr9:109113998-109114010(-) aggaggaagagg >mm10_chr9:109114017-109114028(-)::chr9:109114016-109114028(-) aggaggaagagg >mm10_chr9:109114032-109114043(-)::chr9:109114031-109114043(-) aaaaggaaggag >mm10_chr9:109114044-109114055(-)::chr9:109114043-109114055(-) aggaggaagagg >mm10_chr9:109114056-109114067(-)::chr9:109114055-109114067(-) atgaggaagagg >mm10_chr9:109123494-109123505(+)::chr9:109123493-109123505(+) AACAGGAAGTAC >mm10_chr9:109859255-109859266(+)::chr9:109859254-109859266(+) agaaggaagagg >mm10_chr9:109859270-109859281(+)::chr9:109859269-109859281(+) aggaggaagagg >mm10_chr9:109859297-109859308(+)::chr9:109859296-109859308(+) gagaggaagagg >mm10_chr9:109859303-109859314(+)::chr9:109859302-109859314(+) aagaggaagagg >mm10_chr9:109859309-109859320(+)::chr9:109859308-109859320(+) aagaggaagaag >mm10_chr9:109899247-109899258(+)::chr9:109899246-109899258(+) aggaggaagctg >mm10_chr9:109914409-109914420(-)::chr9:109914408-109914420(-) tggaggaagtag >mm10_chr9:109914442-109914453(+)::chr9:109914441-109914453(+) acggggaagtgt >mm10_chr9:109930181-109930192(+)::chr9:109930180-109930192(+) ggcaggaagtag >mm10_chr9:109930217-109930228(-)::chr9:109930216-109930228(-) agcaggaaggcc >mm10_chr9:109931801-109931812(+)::chr9:109931800-109931812(+) ACGAGGAAGCGG >mm10_chr9:109985455-109985466(+)::chr9:109985454-109985466(+) ataaggaaatta >mm10_chr9:109990064-109990075(+)::chr9:109990063-109990075(+) gaaaggaagacc >mm10_chr9:109990124-109990135(-)::chr9:109990123-109990135(-) agaaggaaaagg >mm10_chr9:109997082-109997093(-)::chr9:109997081-109997093(-) ATCAGGAAATAA >mm10_chr9:109997102-109997113(+)::chr9:109997101-109997113(+) AGGAGGAAGGGA >mm10_chr9:109997113-109997124(+)::chr9:109997112-109997124(+) AGGAGGAAGACG >mm10_chr9:110117473-110117484(+)::chr9:110117472-110117484(+) AGGCGGAAGTCC >mm10_chr9:110197094-110197105(+)::chr9:110197093-110197105(+) gggaggaagagg >mm10_chr9:110246376-110246387(+)::chr9:110246375-110246387(+) GGGAGGAAGCCG >mm10_chr9:110277450-110277461(-)::chr9:110277449-110277461(-) AGAAGGAAGGCC >mm10_chr9:110277697-110277708(-)::chr9:110277696-110277708(-) ACCAGGAAGCAT >mm10_chr9:110279257-110279268(+)::chr9:110279256-110279268(+) aaaaggaagaag >mm10_chr9:110279270-110279281(+)::chr9:110279269-110279281(+) agaaggaaaaag >mm10_chr9:110356836-110356847(-)::chr9:110356835-110356847(-) ATAAGGAAGCTG >mm10_chr9:110411640-110411651(+)::chr9:110411639-110411651(+) AGCAGGAAGTTA >mm10_chr9:110412976-110412987(-)::chr9:110412975-110412987(-) AGTAGGAAGAGA >mm10_chr9:110413824-110413835(-)::chr9:110413823-110413835(-) AGAAGGAAGAGG >mm10_chr9:110459327-110459338(+)::chr9:110459326-110459338(+) AGAAGGAAGTGG >mm10_chr9:110461376-110461387(-)::chr9:110461375-110461387(-) ACCAGGAAGAGG >mm10_chr9:110475668-110475679(+)::chr9:110475667-110475679(+) AACAGGAAGAGG >mm10_chr9:110476760-110476771(-)::chr9:110476759-110476771(-) ACCAGGAAGCGG >mm10_chr9:110476939-110476950(-)::chr9:110476938-110476950(-) TTACGGAAGTAG >mm10_chr9:110525760-110525771(-)::chr9:110525759-110525771(-) GCAAGGAAGAAG >mm10_chr9:110526010-110526021(+)::chr9:110526009-110526021(+) AGGAGGAAATGT >mm10_chr9:110616119-110616130(-)::chr9:110616118-110616130(-) TCAAGGAAGTGC >mm10_chr9:110616150-110616161(-)::chr9:110616149-110616161(-) AGAAGGAAGACA >mm10_chr9:110616157-110616168(-)::chr9:110616156-110616168(-) AAAAGGAAGAAG >mm10_chr9:110619992-110620003(-)::chr9:110619991-110620003(-) AACAGGAAGGCA >mm10_chr9:110651644-110651655(-)::chr9:110651643-110651655(-) TCAAGGAAGTTA >mm10_chr9:110657783-110657794(+)::chr9:110657782-110657794(+) AAAGGGAAGTAG >mm10_chr9:110659113-110659124(-)::chr9:110659112-110659124(-) agcaggaagtag >mm10_chr9:110666063-110666074(+)::chr9:110666062-110666074(+) AGGAGGAAGTGT >mm10_chr9:110666121-110666132(+)::chr9:110666120-110666132(+) GGGAGGAAGTGC >mm10_chr9:110673233-110673244(+)::chr9:110673232-110673244(+) GGGAGGAAGCAA >mm10_chr9:110685814-110685825(+)::chr9:110685813-110685825(+) AACAGGAAGTTC >mm10_chr9:110709945-110709956(+)::chr9:110709944-110709956(+) TTGAGGAAGTGG >mm10_chr9:110709988-110709999(-)::chr9:110709987-110709999(-) AGAAGGAAGCAG >mm10_chr9:110731550-110731561(-)::chr9:110731549-110731561(-) TCAAGGAAGTTC >mm10_chr9:110733018-110733029(+)::chr9:110733017-110733029(+) TGAAGGAACTGC >mm10_chr9:110787113-110787124(+)::chr9:110787112-110787124(+) AGGAGGAAATGT >mm10_chr9:110804327-110804338(+)::chr9:110804326-110804338(+) AGCAGGAAATAC >mm10_chr9:110804358-110804369(-)::chr9:110804357-110804369(-) aggaggaAATCC >mm10_chr9:110804382-110804393(-)::chr9:110804381-110804393(-) aggaggaagagg >mm10_chr9:110857170-110857181(-)::chr9:110857169-110857181(-) TGGAGGAAGGGG >mm10_chr9:110857181-110857192(+)::chr9:110857180-110857192(+) ACCAGGAAGCAG >mm10_chr9:110861245-110861256(-)::chr9:110861244-110861256(-) AAGAGGAAGAAG >mm10_chr9:110889161-110889172(-)::chr9:110889160-110889172(-) ACAGGGAAGTCC >mm10_chr9:110915669-110915680(-)::chr9:110915668-110915680(-) AGGAGGAAGAAA >mm10_chr9:110915778-110915789(-)::chr9:110915777-110915789(-) AAACGGACGTAG >mm10_chr9:110915799-110915810(-)::chr9:110915798-110915810(-) agaaggaaAAAG >mm10_chr9:110915806-110915817(-)::chr9:110915805-110915817(-) ggaaggaagaag >mm10_chr9:110915810-110915821(-)::chr9:110915809-110915821(-) ggaaggaaggaa >mm10_chr9:110915814-110915825(-)::chr9:110915813-110915825(-) gataggaaggaa >mm10_chr9:110915844-110915855(-)::chr9:110915843-110915855(-) gaaaggaagaag >mm10_chr9:110995028-110995039(-)::chr9:110995027-110995039(-) gaaaagaagtag >mm10_chr9:110995062-110995073(-)::chr9:110995061-110995073(-) Aagaggaaggga >mm10_chr9:110997391-110997402(+)::chr9:110997390-110997402(+) ACACGGAAGTTA >mm10_chr9:110998906-110998917(-)::chr9:110998905-110998917(-) GGAAGGAAGGTT >mm10_chr9:110998922-110998933(+)::chr9:110998921-110998933(+) AGGAGGAAGGCT >mm10_chr9:110999527-110999538(-)::chr9:110999526-110999538(-) AAAAGGAAGCAG >mm10_chr9:110999539-110999550(-)::chr9:110999538-110999550(-) AGAAGGAAGCAA >mm10_chr9:111011347-111011358(-)::chr9:111011346-111011358(-) ACCAGGAAGGCC >mm10_chr9:111011678-111011689(-)::chr9:111011677-111011689(-) AGCAGGAAGCAC >mm10_chr9:111019075-111019086(+)::chr9:111019074-111019086(+) TTAAGGAAATCA >mm10_chr9:111043847-111043858(+)::chr9:111043846-111043858(+) aaaaggaagcag >mm10_chr9:111043897-111043908(-)::chr9:111043896-111043908(-) gcaaggcagtta >mm10_chr9:111066110-111066121(+)::chr9:111066109-111066121(+) aaaaggaagagt >mm10_chr9:111083590-111083601(-)::chr9:111083589-111083601(-) aggaggaagaag >mm10_chr9:111083624-111083635(-)::chr9:111083623-111083635(-) agaaggaaggag >mm10_chr9:111083631-111083642(-)::chr9:111083630-111083642(-) aagaggaagaag >mm10_chr9:111145900-111145911(+)::chr9:111145899-111145911(+) tggaggaagtgg >mm10_chr9:111289715-111289726(-)::chr9:111289714-111289726(-) agcaggaagttg >mm10_chr9:111298754-111298765(-)::chr9:111298753-111298765(-) TCAAGGAAGCAG >mm10_chr9:111333846-111333857(-)::chr9:111333845-111333857(-) AGGAGGAAGAGG >mm10_chr9:111684254-111684265(+)::chr9:111684253-111684265(+) ggcaggaaatgg >mm10_chr9:111715238-111715249(+)::chr9:111715237-111715249(+) GGAAGGAAGATG >mm10_chr9:111732020-111732031(-)::chr9:111732019-111732031(-) AGAAGGATGTGT >mm10_chr9:111732149-111732160(+)::chr9:111732148-111732160(+) TGAAGGAAGGAC >mm10_chr9:111758136-111758147(+)::chr9:111758135-111758147(+) AGCAGGAAGGAG >mm10_chr9:111804978-111804989(+)::chr9:111804977-111804989(+) GGTAGGAAGAGA >mm10_chr9:113430690-113430701(+)::chr9:113430689-113430701(+) agaaggaaaagc >mm10_chr9:113741141-113741152(+)::chr9:113741140-113741152(+) AGCAGGAAGGGA >mm10_chr9:113741167-113741178(+)::chr9:113741166-113741178(+) CCGAGGAAGAAC >mm10_chr9:113743547-113743558(+)::chr9:113743546-113743558(+) TCCAGGAAGTAT >mm10_chr9:113756166-113756177(-)::chr9:113756165-113756177(-) ATACGGAAGAAA >mm10_chr9:113770838-113770849(-)::chr9:113770837-113770849(-) AAGAGGAAGTCG >mm10_chr9:113770865-113770876(-)::chr9:113770864-113770876(-) AGCAGGAAGGAC >mm10_chr9:113772642-113772653(+)::chr9:113772641-113772653(+) cccaggaagttg >mm10_chr9:113780295-113780306(+)::chr9:113780294-113780306(+) aggaggaagcat >mm10_chr9:113930805-113930816(+)::chr9:113930804-113930816(+) CGACGGAAGTAG >mm10_chr9:113930826-113930837(-)::chr9:113930825-113930837(-) TGGAGGAAGTGG >mm10_chr9:114062148-114062159(+)::chr9:114062147-114062159(+) tcacggaagtga >mm10_chr9:114084610-114084621(+)::chr9:114084609-114084621(+) aggaggaaggag >mm10_chr9:114084617-114084628(+)::chr9:114084616-114084628(+) aggaggaaggag >mm10_chr9:114084624-114084635(+)::chr9:114084623-114084635(+) aggaggaaggag >mm10_chr9:114084631-114084642(+)::chr9:114084630-114084642(+) aggaggaaggaa >mm10_chr9:114084635-114084646(+)::chr9:114084634-114084646(+) ggaaggaaggaa >mm10_chr9:114084639-114084650(+)::chr9:114084638-114084650(+) ggaaggaagACA >mm10_chr9:114110390-114110401(-)::chr9:114110389-114110401(-) ATAAGGAAGTCT >mm10_chr9:114328447-114328458(+)::chr9:114328446-114328458(+) GTGAGGAAGAGA >mm10_chr9:114328876-114328887(+)::chr9:114328875-114328887(+) AGAAGGAAACGG >mm10_chr9:114329913-114329924(+)::chr9:114329912-114329924(+) AAGAGGAAGAGG >mm10_chr9:114329919-114329930(+)::chr9:114329918-114329930(+) AAGAGGAAGTGG >mm10_chr9:114368400-114368411(+)::chr9:114368399-114368411(+) AGAAGGAAGGAA >mm10_chr9:114368404-114368415(+)::chr9:114368403-114368415(+) GGAAGGAAGGAC >mm10_chr9:114401455-114401466(-)::chr9:114401454-114401466(-) GGAAGGACGCGC >mm10_chr9:114401459-114401470(-)::chr9:114401458-114401470(-) ACCAGGAAGGAC >mm10_chr9:114518384-114518395(+)::chr9:114518383-114518395(+) aggaggaagaac >mm10_chr9:114518393-114518404(+)::chr9:114518392-114518404(+) aacaggaagagg >mm10_chr9:114519198-114519209(+)::chr9:114519197-114519209(+) AGAAGGAAGTAT >mm10_chr9:114541527-114541538(-)::chr9:114541526-114541538(-) AGCAGGAAGCTG >mm10_chr9:114576271-114576282(+)::chr9:114576270-114576282(+) agcaggaagcta >mm10_chr9:114581554-114581565(+)::chr9:114581553-114581565(+) actaggaagaga >mm10_chr9:114598437-114598448(+)::chr9:114598436-114598448(+) aggaggaagaag >mm10_chr9:114598444-114598455(+)::chr9:114598443-114598455(+) agaaggaaggaa >mm10_chr9:114598448-114598459(+)::chr9:114598447-114598459(+) ggaaggaagaag >mm10_chr9:114640264-114640275(-)::chr9:114640263-114640275(-) AGAAGGACGCGC >mm10_chr9:114640280-114640291(+)::chr9:114640279-114640291(+) ACCCGGAAGTAC >mm10_chr9:114668134-114668145(+)::chr9:114668133-114668145(+) ATGAGGAAGGAG >mm10_chr9:114668138-114668149(+)::chr9:114668137-114668149(+) GGAAGGAGGTGG >mm10_chr9:114688833-114688844(-)::chr9:114688832-114688844(-) AGAACGAAgcgg >mm10_chr9:114691253-114691264(+)::chr9:114691252-114691264(+) AGAAGGAAGGAA >mm10_chr9:114705451-114705462(-)::chr9:114705450-114705462(-) AGGAGGAAGGAT >mm10_chr9:114705463-114705474(-)::chr9:114705462-114705474(-) AGAAGGAAAGGG >mm10_chr9:114707430-114707441(+)::chr9:114707429-114707441(+) gtcaggaaatac >mm10_chr9:114707455-114707466(+)::chr9:114707454-114707466(+) agcaggaagaga >mm10_chr9:114707519-114707530(+)::chr9:114707518-114707530(+) agagggaagtac >mm10_chr9:114731170-114731181(+)::chr9:114731169-114731181(+) CCCAGGAAGTGA >mm10_chr9:114732195-114732206(-)::chr9:114732194-114732206(-) AGGAGGATGTAG >mm10_chr9:114736690-114736701(-)::chr9:114736689-114736701(-) GTCAGGAAGTGT >mm10_chr9:114740553-114740564(-)::chr9:114740552-114740564(-) tacaggaaatgg >mm10_chr9:114742971-114742982(+)::chr9:114742970-114742982(+) ATGAGGAAGTGA >mm10_chr9:114742984-114742995(+)::chr9:114742983-114742995(+) ATAAGGAAGAGG >mm10_chr9:114742990-114743001(+)::chr9:114742989-114743001(+) AAGAGGAAGTAG >mm10_chr9:114745940-114745951(-)::chr9:114745939-114745951(-) AAGAGGAAATGA >mm10_chr9:114746404-114746415(-)::chr9:114746403-114746415(-) CTGAGGAAGTGT >mm10_chr9:114746427-114746438(-)::chr9:114746426-114746438(-) CTAAGGAAGAGA >mm10_chr9:114753026-114753037(+)::chr9:114753025-114753037(+) ACTAGGAAGTAG >mm10_chr9:114768221-114768232(+)::chr9:114768220-114768232(+) GGGAGGAAGTGG >mm10_chr9:114781978-114781989(+)::chr9:114781977-114781989(+) ACGCGGAAGTTG >mm10_chr9:114785555-114785566(+)::chr9:114785554-114785566(+) aggaggaagtag >mm10_chr9:114789729-114789740(+)::chr9:114789728-114789740(+) ACCAGGAAGGCA >mm10_chr9:114789752-114789763(+)::chr9:114789751-114789763(+) AGAAGGAAGTGT >mm10_chr9:114800899-114800910(+)::chr9:114800898-114800910(+) TTAAGGAAGGAA >mm10_chr9:114800903-114800914(+)::chr9:114800902-114800914(+) GGAAGGAAAGTA >mm10_chr9:114800915-114800926(+)::chr9:114800914-114800926(+) AGAAGGAACTCC >mm10_chr9:114823490-114823501(+)::chr9:114823489-114823501(+) aggaggaaggtc >mm10_chr9:114829948-114829959(-)::chr9:114829947-114829959(-) TGCAGGAAGGAC >mm10_chr9:114853285-114853296(+)::chr9:114853284-114853296(+) AGCCGGAAGTTC >mm10_chr9:114857528-114857539(+)::chr9:114857527-114857539(+) TTAAGGAAGGTA >mm10_chr9:114868347-114868358(-)::chr9:114868346-114868358(-) ACAAGGAAATAC >mm10_chr9:114868381-114868392(+)::chr9:114868380-114868392(+) TTAAGGAAATGT >mm10_chr9:114882968-114882979(+)::chr9:114882967-114882979(+) CCCAGGAAGTTT >mm10_chr9:114883002-114883013(+)::chr9:114883001-114883013(+) TCAAGGAAGTAG >mm10_chr9:114909219-114909230(+)::chr9:114909218-114909230(+) GTGAGGAAGGAG >mm10_chr9:114928649-114928660(-)::chr9:114928648-114928660(-) aggaggaagaga >mm10_chr9:114931037-114931048(+)::chr9:114931036-114931048(+) GCACGGAAGAGG >mm10_chr9:114940895-114940906(+)::chr9:114940894-114940906(+) gtaaggaagtcc >mm10_chr9:114958824-114958835(+)::chr9:114958823-114958835(+) ACAGGGAAGTAC >mm10_chr9:114958871-114958882(-)::chr9:114958870-114958882(-) ggaaggaaggaT >mm10_chr9:114958875-114958886(-)::chr9:114958874-114958886(-) ggaaggaaggaa >mm10_chr9:114960418-114960429(+)::chr9:114960417-114960429(+) ACAGGGAAGTTC >mm10_chr9:114960722-114960733(-)::chr9:114960721-114960733(-) aagaggaagaag >mm10_chr9:114960728-114960739(-)::chr9:114960727-114960739(-) aggaggaagagg >mm10_chr9:114960743-114960754(-)::chr9:114960742-114960754(-) aggaggaagaag >mm10_chr9:114964795-114964806(-)::chr9:114964794-114964806(-) aggaggaagatg >mm10_chr9:114964810-114964821(-)::chr9:114964809-114964821(-) aggaggaagaag >mm10_chr9:114964831-114964842(-)::chr9:114964830-114964842(-) aagaggaagtag >mm10_chr9:114964837-114964848(-)::chr9:114964836-114964848(-) aggaggaagagg >mm10_chr9:114978468-114978479(-)::chr9:114978467-114978479(-) GGAAGGAAGCCA >mm10_chr9:114978501-114978512(-)::chr9:114978500-114978512(-) AGGAGGAAGAAG >mm10_chr9:115029330-115029341(-)::chr9:115029329-115029341(-) TGAAGGAAGCTG >mm10_chr9:115029347-115029358(-)::chr9:115029346-115029358(-) AACAGGAACTAC >mm10_chr9:115034507-115034518(+)::chr9:115034506-115034518(+) agaAGgaaggag >mm10_chr9:115034514-115034525(+)::chr9:115034513-115034525(+) aggaggaagaca >mm10_chr9:115034544-115034555(+)::chr9:115034543-115034555(+) gagaggaagaag >mm10_chr9:115050565-115050576(+)::chr9:115050564-115050576(+) AAAAGGAAATTG >mm10_chr9:115071985-115071996(-)::chr9:115071984-115071996(-) agaaggaagaca >mm10_chr9:115071999-115072010(-)::chr9:115071998-115072010(-) GAGAGGAAgagg >mm10_chr9:115124039-115124050(-)::chr9:115124038-115124050(-) aagaggaagagg >mm10_chr9:115124046-115124057(-)::chr9:115124045-115124057(-) agaaggaaagag >mm10_chr9:115124058-115124069(-)::chr9:115124057-115124069(-) tgcaggaagaag >mm10_chr9:115135540-115135551(-)::chr9:115135539-115135551(-) ATAAGGAAATGC >mm10_chr9:115196648-115196659(+)::chr9:115196647-115196659(+) gggaggaagaga >mm10_chr9:115196658-115196669(+)::chr9:115196657-115196669(+) gaaaggaaggaa >mm10_chr9:115215099-115215110(-)::chr9:115215098-115215110(-) ACAAGGAAGTAA >mm10_chr9:115220590-115220601(-)::chr9:115220589-115220601(-) AGAAGGAAATGC >mm10_chr9:115278881-115278892(+)::chr9:115278880-115278892(+) TCAGGGAAGTCA >mm10_chr9:115278889-115278900(+)::chr9:115278888-115278900(+) GTCAGGAAGGAA >mm10_chr9:115278893-115278904(+)::chr9:115278892-115278904(+) GGAAGGAACTCT >mm10_chr9:115287882-115287893(+)::chr9:115287881-115287893(+) ggcaggaagtgg >mm10_chr9:115311294-115311305(-)::chr9:115311293-115311305(-) ACAAGGAAAAGT >mm10_chr9:115311329-115311340(-)::chr9:115311328-115311340(-) TGGAGGAAGGAG >mm10_chr9:115325885-115325896(+)::chr9:115325884-115325896(+) tggaggaagaag >mm10_chr9:115325918-115325929(+)::chr9:115325917-115325929(+) tggaggaagaga >mm10_chr9:115325945-115325956(+)::chr9:115325944-115325956(+) aggaggaagagg >mm10_chr9:115331048-115331059(-)::chr9:115331047-115331059(-) GCAAGGAAGTCA >mm10_chr9:115383997-115384008(-)::chr9:115383996-115384008(-) agcaggaagcca >mm10_chr9:115543800-115543811(+)::chr9:115543799-115543811(+) AACAGGAAGCCA >mm10_chr9:115551791-115551802(+)::chr9:115551790-115551802(+) GGAAGGAAGTGG >mm10_chr9:115559673-115559684(+)::chr9:115559672-115559684(+) gtgaggaagtaa >mm10_chr9:115568670-115568681(+)::chr9:115568669-115568681(+) GGAAGGAATTCA >mm10_chr9:115568684-115568695(+)::chr9:115568683-115568695(+) ATGAGGAAGGAC >mm10_chr9:115806214-115806225(+)::chr9:115806213-115806225(+) ttcaggaagtag >mm10_chr9:115806232-115806243(+)::chr9:115806231-115806243(+) tacaggaagtat >mm10_chr9:115809773-115809784(-)::chr9:115809772-115809784(-) GGAAGGACGTAC >mm10_chr9:115809796-115809807(-)::chr9:115809795-115809807(-) GAAAGGAAGAAG >mm10_chr9:115869376-115869387(-)::chr9:115869375-115869387(-) TGCAGGAAGAGA >mm10_chr9:115869410-115869421(+)::chr9:115869409-115869421(+) ACAAGGAAGGGA >mm10_chr9:115882723-115882734(+)::chr9:115882722-115882734(+) aaaaggaagagg >mm10_chr9:115882746-115882757(+)::chr9:115882745-115882757(+) gggagGAAGCAG >mm10_chr9:116092124-116092135(-)::chr9:116092123-116092135(-) GGCAGGAAGTCT >mm10_chr9:116092141-116092152(-)::chr9:116092140-116092152(-) GCCAGGAAGACA >mm10_chr9:116099344-116099355(-)::chr9:116099343-116099355(-) GCCAGGAAGAGC >mm10_chr9:116101916-116101927(-)::chr9:116101915-116101927(-) GGAAGGAAGCAG >mm10_chr9:116139670-116139681(-)::chr9:116139669-116139681(-) AGCAGGAAGCAC >mm10_chr9:116139751-116139762(-)::chr9:116139750-116139762(-) AAGAGGAAGAAG >mm10_chr9:116181964-116181975(-)::chr9:116181963-116181975(-) agcaggaagctg >mm10_chr9:116199274-116199285(-)::chr9:116199273-116199285(-) ATGAGGAAATAG >mm10_chr9:116199286-116199297(+)::chr9:116199285-116199297(+) CGACGGAAGGAC >mm10_chr9:116213511-116213522(-)::chr9:116213510-116213522(-) AGGAGGAAGGCT >mm10_chr9:116215798-116215809(-)::chr9:116215797-116215809(-) tcaaggaaatgc >mm10_chr9:116228635-116228646(+)::chr9:116228634-116228646(+) TCCGGGAAGTGC >mm10_chr9:116266070-116266081(-)::chr9:116266069-116266081(-) AAGAGGAAGAAA >mm10_chr9:116266085-116266096(-)::chr9:116266084-116266096(-) AGGAGGAAGATG >mm10_chr9:116270460-116270471(-)::chr9:116270459-116270471(-) TCAAGGAAGTCA >mm10_chr9:116270498-116270509(-)::chr9:116270497-116270509(-) ACGGGGAAGTGG >mm10_chr9:116293278-116293289(+)::chr9:116293277-116293289(+) AGCAGGAAGGAA >mm10_chr9:116309309-116309320(-)::chr9:116309308-116309320(-) TCAAGGAAGCCA >mm10_chr9:116319680-116319691(+)::chr9:116319679-116319691(+) TGAAGGAAGGAT >mm10_chr9:116329358-116329369(+)::chr9:116329357-116329369(+) GACAGGAAGGGG >mm10_chr9:116331146-116331157(+)::chr9:116331145-116331157(+) ACAAGGAAGTAG >mm10_chr9:116331186-116331197(+)::chr9:116331185-116331197(+) AGAATGAAGTAG >mm10_chr9:116331211-116331222(-)::chr9:116331210-116331222(-) ACAAGGAAAAGA >mm10_chr9:116342677-116342688(-)::chr9:116342676-116342688(-) GGAAGGAAATAA >mm10_chr9:116342681-116342692(-)::chr9:116342680-116342692(-) TGGAGGAAGGAA >mm10_chr9:116384363-116384374(-)::chr9:116384362-116384374(-) tggaggaagtgg >mm10_chr9:116387352-116387363(-)::chr9:116387351-116387363(-) agcaggaagctg >mm10_chr9:116387379-116387390(-)::chr9:116387378-116387390(-) aggaggaagagt >mm10_chr9:116502261-116502272(+)::chr9:116502260-116502272(+) gtcaggaagtaa >mm10_chr9:116502310-116502321(-)::chr9:116502309-116502321(-) gaagggaagtca >mm10_chr9:116502315-116502326(-)::chr9:116502314-116502326(-) accaggaaggga >mm10_chr9:116505256-116505267(-)::chr9:116505255-116505267(-) ataaggaaatga >mm10_chr9:116542884-116542895(+)::chr9:116542883-116542895(+) AAAAGGAAAATA >mm10_chr9:116542953-116542964(+)::chr9:116542952-116542964(+) ATGAGGAAGTTT >mm10_chr9:116644686-116644697(+)::chr9:116644685-116644697(+) CGAAGTAAGCGT >mm10_chr9:116644729-116644740(+)::chr9:116644728-116644740(+) AAAAGCAAGTGT >mm10_chr9:116720764-116720775(+)::chr9:116720763-116720775(+) aggaggaagagg >mm10_chr9:116720779-116720790(+)::chr9:116720778-116720790(+) aggaggaagagg >mm10_chr9:116733442-116733453(+)::chr9:116733441-116733453(+) ACCGGGAAGCGT >mm10_chr9:116736340-116736351(-)::chr9:116736339-116736351(-) ACCAGGAAGTAT >mm10_chr9:116738760-116738771(-)::chr9:116738759-116738771(-) TGAAGGAAGAGT >mm10_chr9:116738797-116738808(+)::chr9:116738796-116738808(+) AGCAGGAAGTCC >mm10_chr9:116762487-116762498(+)::chr9:116762486-116762498(+) atatggaagttt >mm10_chr9:116762522-116762533(-)::chr9:116762521-116762533(-) tgcaggaaggag >mm10_chr9:116808226-116808237(-)::chr9:116808225-116808237(-) aggaggaagaga >mm10_chr9:116808244-116808255(-)::chr9:116808243-116808255(-) aggaggaagaga >mm10_chr9:116808272-116808283(-)::chr9:116808271-116808283(-) AAGAGGAAGAGG >mm10_chr9:116831488-116831499(+)::chr9:116831487-116831499(+) ACAAGCAAGTGT >mm10_chr9:116990406-116990417(-)::chr9:116990405-116990417(-) TGGAGGAAGTCC >mm10_chr9:117125962-117125973(-)::chr9:117125961-117125973(-) TAAAGGAAGTTA >mm10_chr9:117125976-117125987(-)::chr9:117125975-117125987(-) AGCAGGAAGCGA >mm10_chr9:117183007-117183018(+)::chr9:117183006-117183018(+) GCAAGGAACTGA >mm10_chr9:117257901-117257912(+)::chr9:117257900-117257912(+) agaaggaagagg >mm10_chr9:117257913-117257924(+)::chr9:117257912-117257924(+) aggaggaagtag >mm10_chr9:117257928-117257939(+)::chr9:117257927-117257939(+) aggaggaagtag >mm10_chr9:117257943-117257954(+)::chr9:117257942-117257954(+) aggaggacgtag >mm10_chr9:117257961-117257972(+)::chr9:117257960-117257972(+) aggaggaagcag >mm10_chr9:117257976-117257987(+)::chr9:117257975-117257987(+) gagaggaagaag >mm10_chr9:117265383-117265394(-)::chr9:117265382-117265394(-) ATAAGGAACACA >mm10_chr9:117265405-117265416(-)::chr9:117265404-117265416(-) ggaaggaaggGT >mm10_chr9:117265409-117265420(-)::chr9:117265408-117265420(-) ggaaggaaggaa >mm10_chr9:117265413-117265424(-)::chr9:117265412-117265424(-) agaaggaaggaa >mm10_chr9:117265437-117265448(-)::chr9:117265436-117265448(-) gtaaggaagaaa >mm10_chr9:117265449-117265460(-)::chr9:117265448-117265460(-) ataaggaagtga >mm10_chr9:117345615-117345626(+)::chr9:117345614-117345626(+) GTAAGGAAGACA >mm10_chr9:117345635-117345646(-)::chr9:117345634-117345646(-) ACCAGGAAGTCG >mm10_chr9:117357136-117357147(-)::chr9:117357135-117357147(-) GAGAGGAAGGAT >mm10_chr9:117357197-117357208(+)::chr9:117357196-117357208(+) GGCAGGAAGCCA >mm10_chr9:117373645-117373656(+)::chr9:117373644-117373656(+) tacaggaagtga >mm10_chr9:117571348-117571359(-)::chr9:117571347-117571359(-) acaaggaaatga >mm10_chr9:117787340-117787351(-)::chr9:117787339-117787351(-) acaaggaagtca >mm10_chr9:117787372-117787383(-)::chr9:117787371-117787383(-) agaaggaagtaa >mm10_chr9:117922990-117923001(-)::chr9:117922989-117923001(-) TCAAGGAAGACC >mm10_chr9:117935160-117935171(+)::chr9:117935159-117935171(+) AACAGGAAGTAG >mm10_chr9:118030344-118030355(+)::chr9:118030343-118030355(+) atcaggaaatat >mm10_chr9:118030421-118030432(-)::chr9:118030420-118030432(-) agaaggaagtat >mm10_chr9:118041226-118041237(-)::chr9:118041225-118041237(-) TGCAGGAAATAA >mm10_chr9:118082941-118082952(+)::chr9:118082940-118082952(+) AGAAGGAAGTGG >mm10_chr9:118082974-118082985(+)::chr9:118082973-118082985(+) ATGAGGAAGCCA >mm10_chr9:118096688-118096699(+)::chr9:118096687-118096699(+) AGAAGGAAACAA >mm10_chr9:118099671-118099682(-)::chr9:118099670-118099682(-) GTAAGGAAGTAG >mm10_chr9:118150201-118150212(-)::chr9:118150200-118150212(-) AACCGGAAGTAG >mm10_chr9:118168704-118168715(-)::chr9:118168703-118168715(-) AGGAGGAACTAG >mm10_chr9:118389820-118389831(-)::chr9:118389819-118389831(-) TAGGGGAAGTCG >mm10_chr9:118389835-118389846(+)::chr9:118389834-118389846(+) GAAAGGAAGTAG >mm10_chr9:118397909-118397920(-)::chr9:118397908-118397920(-) GGACGGAAGTGG >mm10_chr9:118430030-118430041(-)::chr9:118430029-118430041(-) AGAAGGAAGAGT >mm10_chr9:118554947-118554958(-)::chr9:118554946-118554958(-) aggaggaagagg >mm10_chr9:118554959-118554970(-)::chr9:118554958-118554970(-) aaaaggaagagg >mm10_chr9:118554986-118554997(-)::chr9:118554985-118554997(-) aagaggaagaag >mm10_chr9:118554992-118555003(-)::chr9:118554991-118555003(-) aggaggaagagg >mm10_chr9:118633000-118633011(-)::chr9:118632999-118633011(-) CAAGGGAAGTAA >mm10_chr9:118633465-118633476(+)::chr9:118633464-118633476(+) TCCAGGAAGAAC >mm10_chr9:118633525-118633536(-)::chr9:118633524-118633536(-) AAGAGGAAGAAA >mm10_chr9:118633737-118633748(-)::chr9:118633736-118633748(-) ataaggaagggg >mm10_chr9:118633749-118633760(-)::chr9:118633748-118633760(-) ggaaggaagagg >mm10_chr9:118633753-118633764(-)::chr9:118633752-118633764(-) gaaaggaaggaa >mm10_chr9:118633782-118633793(-)::chr9:118633781-118633793(-) agaaggaagaga >mm10_chr9:118633821-118633832(-)::chr9:118633820-118633832(-) ATGAGGAAGGAG >mm10_chr9:118646079-118646090(-)::chr9:118646078-118646090(-) AGAAGGAAAAAG >mm10_chr9:118646086-118646097(-)::chr9:118646085-118646097(-) GAGAGGAAGAAG >mm10_chr9:118651047-118651058(-)::chr9:118651046-118651058(-) ACAAGGAAGTCA >mm10_chr9:118731245-118731256(+)::chr9:118731244-118731256(+) tgaaggaagtca >mm10_chr9:118787452-118787463(+)::chr9:118787451-118787463(+) CACAGGAAGTTT >mm10_chr9:118811199-118811210(-)::chr9:118811198-118811210(-) acaaggatataa >mm10_chr9:118811212-118811223(-)::chr9:118811211-118811223(-) agcaggaaatgg >mm10_chr9:118821727-118821738(+)::chr9:118821726-118821738(+) AGAAGGAAGTTT >mm10_chr9:118825177-118825188(+)::chr9:118825176-118825188(+) GACAGGAAGGTC >mm10_chr9:118825189-118825200(+)::chr9:118825188-118825200(+) ATGAGGAAGTGT >mm10_chr9:118890981-118890992(-)::chr9:118890980-118890992(-) TACAGGAAGAGG >mm10_chr9:118891002-118891013(-)::chr9:118891001-118891013(-) AGAAGGAAATAC >mm10_chr9:118919824-118919835(+)::chr9:118919823-118919835(+) GAAATGAAGTAG >mm10_chr9:118922112-118922123(-)::chr9:118922111-118922123(-) AAGAGGAAGTAG >mm10_chr9:118959015-118959026(+)::chr9:118959014-118959026(+) AACAGGAAGCGA >mm10_chr9:118959030-118959041(+)::chr9:118959029-118959041(+) AGGAGGAAGCAG >mm10_chr9:118959037-118959048(+)::chr9:118959036-118959048(+) AGCAGGAAGGAA >mm10_chr9:118959041-118959052(+)::chr9:118959040-118959052(+) GGAAGGAAGGAG >mm10_chr9:118980595-118980606(-)::chr9:118980594-118980606(-) AACAGGAAGCTG >mm10_chr9:118980641-118980652(+)::chr9:118980640-118980652(+) AGAAGGAAAGAA >mm10_chr9:118985294-118985305(+)::chr9:118985293-118985305(+) accaggaagatc >mm10_chr9:118994798-118994809(-)::chr9:118994797-118994809(-) ATAAGGAAGAGA >mm10_chr9:119031214-119031225(-)::chr9:119031213-119031225(-) ACCAGGAAATGT >mm10_chr9:119036076-119036087(+)::chr9:119036075-119036087(+) AGGAGGAAGTGG >mm10_chr9:119048586-119048597(+)::chr9:119048585-119048597(+) ACCAGGAAGATA >mm10_chr9:119051811-119051822(-)::chr9:119051810-119051822(-) TAAAGGAAGCCT >mm10_chr9:119059090-119059101(-)::chr9:119059089-119059101(-) aggaggaagaag >mm10_chr9:119059121-119059132(-)::chr9:119059120-119059132(-) aagaggaagaaa >mm10_chr9:119059150-119059161(-)::chr9:119059149-119059161(-) aggaggaagagg >mm10_chr9:119075524-119075535(-)::chr9:119075523-119075535(-) AGAAAGAAGTGC >mm10_chr9:119075579-119075590(+)::chr9:119075578-119075590(+) AGGAGGAAGATG >mm10_chr9:119088610-119088621(+)::chr9:119088609-119088621(+) GCCAGGAAGCAC >mm10_chr9:119102453-119102464(+)::chr9:119102452-119102464(+) AGACGGAAGAGG >mm10_chr9:119206540-119206551(-)::chr9:119206539-119206551(-) ACGAGGTAGTAA >mm10_chr9:119248163-119248174(+)::chr9:119248162-119248174(+) AGCAGGAAGTGG >mm10_chr9:119307658-119307669(+)::chr9:119307657-119307669(+) agaaggaaggaa >mm10_chr9:119307662-119307673(+)::chr9:119307661-119307673(+) ggaaggaagTAA >mm10_chr9:119321578-119321589(-)::chr9:119321577-119321589(-) GGAAGGAACCGG >mm10_chr9:119322679-119322690(-)::chr9:119322678-119322690(-) TAGAGGAAGCGC >mm10_chr9:119323045-119323056(+)::chr9:119323044-119323056(+) AGGCGGAAGTAC >mm10_chr9:119323078-119323089(+)::chr9:119323077-119323089(+) GGGAGGAAGCGG >mm10_chr9:119330696-119330707(-)::chr9:119330695-119330707(-) ACGAGGAAGTCT >mm10_chr9:119334469-119334480(+)::chr9:119334468-119334480(+) AAGAGGAAGCCG >mm10_chr9:119334504-119334515(-)::chr9:119334503-119334515(-) GAACGGAAGTGG >mm10_chr9:119340014-119340025(-)::chr9:119340013-119340025(-) TAAGGGAAGTAG >mm10_chr9:119351407-119351418(-)::chr9:119351406-119351418(-) AGACGGAAGAGG >mm10_chr9:119378251-119378262(-)::chr9:119378250-119378262(-) AGTAGGAAGAAA >mm10_chr9:119386401-119386412(-)::chr9:119386400-119386412(-) ACAAGGAAGAGG >mm10_chr9:119392120-119392131(-)::chr9:119392119-119392131(-) GTGAGGAAGGAG >mm10_chr9:119392336-119392347(-)::chr9:119392335-119392347(-) GGGAGGAAGGAG >mm10_chr9:119392368-119392379(-)::chr9:119392367-119392379(-) GTCAGGAAGTCT >mm10_chr9:119417425-119417436(-)::chr9:119417424-119417436(-) AGAGGGAAGTCT >mm10_chr9:119417497-119417508(-)::chr9:119417496-119417508(-) ACGAGGAAGCTG >mm10_chr9:119418223-119418234(+)::chr9:119418222-119418234(+) AGAAGGAAGGGG >mm10_chr9:119418256-119418267(-)::chr9:119418255-119418267(-) AACAGGAACTAA >mm10_chr9:119429481-119429492(-)::chr9:119429480-119429492(-) agcaggaagagg >mm10_chr9:119436323-119436334(+)::chr9:119436322-119436334(+) AGGAGGAAGTCC >mm10_chr9:119438818-119438829(-)::chr9:119438817-119438829(-) GGCAGGAAGCAG >mm10_chr9:119445984-119445995(+)::chr9:119445983-119445995(+) AGGAGGAAGTGT >mm10_chr9:119562608-119562619(+)::chr9:119562607-119562619(+) AACAGGAAGTTT >mm10_chr9:119567013-119567024(-)::chr9:119567012-119567024(-) GAAAGGAAGTAG >mm10_chr9:119568893-119568904(-)::chr9:119568892-119568904(-) AGAAGGAAGTGT >mm10_chr9:119568930-119568941(+)::chr9:119568929-119568941(+) ATGAGGAagtgg >mm10_chr9:119570852-119570863(-)::chr9:119570851-119570863(-) CAGAGGAAGTGC >mm10_chr9:119584644-119584655(-)::chr9:119584643-119584655(-) ACCAGGAAGGAC >mm10_chr9:119597235-119597246(+)::chr9:119597234-119597246(+) ACAAGGAAGGAG >mm10_chr9:119597242-119597253(+)::chr9:119597241-119597253(+) AGGAGGAAGGTG >mm10_chr9:119731891-119731902(+)::chr9:119731890-119731902(+) CAAAGGAAGCTG >mm10_chr9:119732835-119732846(+)::chr9:119732834-119732846(+) GGGAGGAAGAGT >mm10_chr9:119780972-119780983(+)::chr9:119780971-119780983(+) GTAAGGATGTTA >mm10_chr9:119894876-119894887(+)::chr9:119894875-119894887(+) TCCCGGAAGTGA >mm10_chr9:119899665-119899676(+)::chr9:119899664-119899676(+) ATAAGGAAGTAA >mm10_chr9:119901505-119901516(+)::chr9:119901504-119901516(+) TGAAGGAACTAA >mm10_chr9:119943891-119943902(-)::chr9:119943890-119943902(-) GGCAGGAAGTTG >mm10_chr9:119950430-119950441(-)::chr9:119950429-119950441(-) AGCAGGAAGACA >mm10_chr9:119959044-119959055(+)::chr9:119959043-119959055(+) AGAAGGAAGAGG >mm10_chr9:119959093-119959104(-)::chr9:119959092-119959104(-) ATCAGGAAATAA >mm10_chr9:119983235-119983246(+)::chr9:119983234-119983246(+) AGGAGGAAGACG >mm10_chr9:120058992-120059003(-)::chr9:120058991-120059003(-) AACAGGAAGCTG >mm10_chr9:120059007-120059018(-)::chr9:120059006-120059018(-) GAAGGGAAGTAA >mm10_chr9:120098855-120098866(+)::chr9:120098854-120098866(+) ACCAGGAAGTTG >mm10_chr9:120110354-120110365(-)::chr9:120110353-120110365(-) AGAAGGAAAAAG >mm10_chr9:120116263-120116274(+)::chr9:120116262-120116274(+) ATGAGGAAGCAT >mm10_chr9:120127760-120127771(+)::chr9:120127759-120127771(+) TTAAGGACGTCA >mm10_chr9:120193125-120193136(-)::chr9:120193124-120193136(-) AGTAGGAAGTCT >mm10_chr9:120193154-120193165(+)::chr9:120193153-120193165(+) AGGAGGAAGCAC >mm10_chr9:120226140-120226151(-)::chr9:120226139-120226151(-) tccaggaagtgg >mm10_chr9:120292669-120292680(-)::chr9:120292668-120292680(-) AGGAGGAAATGG >mm10_chr9:120318238-120318249(+)::chr9:120318237-120318249(+) ttaaggaaggaa >mm10_chr9:120318242-120318253(+)::chr9:120318241-120318253(+) ggaaggaaggaa >mm10_chr9:120318246-120318257(+)::chr9:120318245-120318257(+) ggaaggaaggaa >mm10_chr9:120318250-120318261(+)::chr9:120318249-120318261(+) ggaaggaagagt >mm10_chr9:120324428-120324439(+)::chr9:120324427-120324439(+) GGAGGGAAGTTC >mm10_chr9:120328844-120328855(-)::chr9:120328843-120328855(-) ggaaggaaggct >mm10_chr9:120328848-120328859(-)::chr9:120328847-120328859(-) ctaaggaaggaa >mm10_chr9:120360650-120360661(+)::chr9:120360649-120360661(+) TTACGGAAGTCC >mm10_chr9:120360702-120360713(-)::chr9:120360701-120360713(-) AGAAGGAAGACT >mm10_chr9:120398472-120398483(-)::chr9:120398471-120398483(-) AACAGGAAATGA >mm10_chr9:120484139-120484150(-)::chr9:120484138-120484150(-) agcaggaagcgg >mm10_chr9:120484168-120484179(-)::chr9:120484167-120484179(-) acaaggaaggca >mm10_chr9:120484186-120484197(-)::chr9:120484185-120484197(-) gaacggaagtcc >mm10_chr9:120492681-120492692(-)::chr9:120492680-120492692(-) CGCAGGAAGACG >mm10_chr9:120497078-120497089(+)::chr9:120497077-120497089(+) ACCAGGAAGTTA >mm10_chr9:120532511-120532522(-)::chr9:120532510-120532522(-) ATAAGGAAGCAG >mm10_chr9:120536004-120536015(-)::chr9:120536003-120536015(-) AGGAGGAAATGC >mm10_chr9:120542519-120542530(+)::chr9:120542518-120542530(+) AAGAGGAAGTAA >mm10_chr9:120547475-120547486(-)::chr9:120547474-120547486(-) aCAAGGAACTGG >mm10_chr9:120582022-120582033(+)::chr9:120582021-120582033(+) GCAAGGAAGACA >mm10_chr9:120582748-120582759(-)::chr9:120582747-120582759(-) TCGAGGAAGTGC >mm10_chr9:120583183-120583194(+)::chr9:120583182-120583194(+) AACAGGAAGAAG >mm10_chr9:120622503-120622514(-)::chr9:120622502-120622514(-) acaaggaagctt >mm10_chr9:120622552-120622563(-)::chr9:120622551-120622563(-) agtaggaaggtt >mm10_chr9:120696205-120696216(+)::chr9:120696204-120696216(+) gtaaggaagtcc >mm10_chr9:120734334-120734345(-)::chr9:120734333-120734345(-) ACCAGGAAGCCC >mm10_chr9:120826335-120826346(+)::chr9:120826334-120826346(+) AGCAGGAAGGGA >mm10_chr9:120826347-120826358(+)::chr9:120826346-120826358(+) ACAAGGAAGTGT >mm10_chr9:120835868-120835879(-)::chr9:120835867-120835879(-) AGAAGGAAGCTC >mm10_chr9:120845138-120845149(+)::chr9:120845137-120845149(+) aggaggaagagg >mm10_chr9:120845156-120845167(+)::chr9:120845155-120845167(+) aggaggaaggag >mm10_chr9:120845168-120845179(+)::chr9:120845167-120845179(+) aggaggaagagg >mm10_chr9:120855774-120855785(-)::chr9:120855773-120855785(-) agaaggaaggag >mm10_chr9:120866800-120866811(+)::chr9:120866799-120866811(+) GGCAGGAAGCCA >mm10_chr9:120881241-120881252(-)::chr9:120881240-120881252(-) TGTAGGAAGTCA >mm10_chr9:120881262-120881273(-)::chr9:120881261-120881273(-) gaaaggaactaa >mm10_chr9:120927418-120927429(-)::chr9:120927417-120927429(-) CCAGGGAAGTGG >mm10_chr9:120935062-120935073(+)::chr9:120935061-120935073(+) GCAAGGAAGTTG >mm10_chr9:120935126-120935137(+)::chr9:120935125-120935137(+) TTAAGGAAGCAG >mm10_chr9:121058288-121058299(+)::chr9:121058287-121058299(+) CAAAGGAAGTGA >mm10_chr9:121058314-121058325(+)::chr9:121058313-121058325(+) AGCAGGAAGGAC >mm10_chr9:121058335-121058346(+)::chr9:121058334-121058346(+) ACAGGGAAGTGG >mm10_chr9:121159757-121159768(+)::chr9:121159756-121159768(+) aggaggaagagg >mm10_chr9:121265458-121265469(-)::chr9:121265457-121265469(-) ACAAGGAAGACG >mm10_chr9:121277264-121277275(+)::chr9:121277263-121277275(+) ACCCGGAAGTGA >mm10_chr9:121298505-121298516(+)::chr9:121298504-121298516(+) GGAAGGAAGCCA >mm10_chr9:121298516-121298527(+)::chr9:121298515-121298527(+) ATAAGGAAACAG >mm10_chr9:121301495-121301506(+)::chr9:121301494-121301506(+) AGCCGGAAGTGG >mm10_chr9:121311956-121311967(+)::chr9:121311955-121311967(+) GCCAGGAAGAGT >mm10_chr9:121314135-121314146(+)::chr9:121314134-121314146(+) GGAAGGAAGAAA >mm10_chr9:121314155-121314166(+)::chr9:121314154-121314166(+) CGAGGGAAATAA >mm10_chr9:121314592-121314603(-)::chr9:121314591-121314603(-) ACACGgaagtgg >mm10_chr9:121317027-121317038(+)::chr9:121317026-121317038(+) TGAAGGAAGAAG >mm10_chr9:121317050-121317061(-)::chr9:121317049-121317061(-) AGGAGGAAGTCT >mm10_chr9:121317057-121317068(-)::chr9:121317056-121317068(-) TCAAGGAAGGAG >mm10_chr9:121335595-121335606(+)::chr9:121335594-121335606(+) CTAAGGAAGTTA >mm10_chr9:121337527-121337538(-)::chr9:121337526-121337538(-) TCAAGGAAGTTT >mm10_chr9:121361197-121361208(-)::chr9:121361196-121361208(-) GACAGGAAGAGG >mm10_chr9:121367537-121367548(-)::chr9:121367536-121367548(-) aagaggaaggag >mm10_chr9:121367543-121367554(-)::chr9:121367542-121367554(-) aggaggaagagg >mm10_chr9:121367552-121367563(-)::chr9:121367551-121367563(-) aggaggaagagg >mm10_chr9:121367575-121367586(-)::chr9:121367574-121367586(-) gggaggaagagc >mm10_chr9:121367591-121367602(-)::chr9:121367590-121367602(-) aagaggaagaag >mm10_chr9:121374452-121374463(+)::chr9:121374451-121374463(+) GGGAGGAAGAGA >mm10_chr9:121374486-121374497(+)::chr9:121374485-121374497(+) AAGAGGAAGAGA >mm10_chr9:121380693-121380704(+)::chr9:121380692-121380704(+) TCAAGGAAGGTA >mm10_chr9:121381955-121381966(+)::chr9:121381954-121381966(+) caaaggaagcga >mm10_chr9:121381982-121381993(-)::chr9:121381981-121381993(-) ctaaggaagatc >mm10_chr9:121382009-121382020(-)::chr9:121382008-121382020(-) gaaaggaagact >mm10_chr9:121392490-121392501(+)::chr9:121392489-121392501(+) GCAAGGAAATCT >mm10_chr9:121397731-121397742(-)::chr9:121397730-121397742(-) aagaggaagaaA >mm10_chr9:121397749-121397760(-)::chr9:121397748-121397760(-) aagaggaagagg >mm10_chr9:121397755-121397766(-)::chr9:121397754-121397766(-) aagaggaagagg >mm10_chr9:121397761-121397772(-)::chr9:121397760-121397772(-) aagaggaagagg >mm10_chr9:121397767-121397778(-)::chr9:121397766-121397778(-) aagaggaagagg >mm10_chr9:121415715-121415726(-)::chr9:121415714-121415726(-) TGTAGGAAGGCG >mm10_chr9:121419781-121419792(+)::chr9:121419780-121419792(+) AGAGGGAAGTGG >mm10_chr9:121419827-121419838(+)::chr9:121419826-121419838(+) AAAAGGAAGCAC >mm10_chr9:121420993-121421004(+)::chr9:121420992-121421004(+) TCAAGGAAATAC >mm10_chr9:121423870-121423881(+)::chr9:121423869-121423881(+) ACCAGGATGTAA >mm10_chr9:121467356-121467367(-)::chr9:121467355-121467367(-) GGGAGGAAGGGT >mm10_chr9:121488957-121488968(-)::chr9:121488956-121488968(-) aggaggaaatgt >mm10_chr9:121505516-121505527(-)::chr9:121505515-121505527(-) AGCCGGAAGTTC >mm10_chr9:121536293-121536304(-)::chr9:121536292-121536304(-) aaagggaagtaa >mm10_chr9:121585476-121585487(-)::chr9:121585475-121585487(-) accaggaagtgg >mm10_chr9:121585490-121585501(-)::chr9:121585489-121585501(-) atcaggaagcag >mm10_chr9:121592478-121592489(+)::chr9:121592477-121592489(+) CCAAGGAAGGTG >mm10_chr9:121605541-121605552(-)::chr9:121605540-121605552(-) accaggaagtgt >mm10_chr9:121609523-121609534(-)::chr9:121609522-121609534(-) gtaaggaagtat >mm10_chr9:121614535-121614546(+)::chr9:121614534-121614546(+) GGGAGGAAGTTC >mm10_chr9:121619682-121619693(+)::chr9:121619681-121619693(+) AGGAGGAAATGC >mm10_chr9:121620172-121620183(-)::chr9:121620171-121620183(-) GCCAGGAAGAAG >mm10_chr9:121620210-121620221(+)::chr9:121620209-121620221(+) AACAGGAAGCTA >mm10_chr9:121633432-121633443(+)::chr9:121633431-121633443(+) TGGAGGAAATGA >mm10_chr9:121636456-121636467(-)::chr9:121636455-121636467(-) TGAAGGAAGGCA >mm10_chr9:121637441-121637452(-)::chr9:121637440-121637452(-) atgaggaagaag >mm10_chr9:121641574-121641585(+)::chr9:121641573-121641585(+) ACTAGGAAGGAG >mm10_chr9:121641583-121641594(+)::chr9:121641582-121641594(+) GAGAGGAAGGAG >mm10_chr9:121641590-121641601(+)::chr9:121641589-121641601(+) AGGAGGAAGGCC >mm10_chr9:121647205-121647216(+)::chr9:121647204-121647216(+) CTCAGGAAGTGC >mm10_chr9:121647243-121647254(-)::chr9:121647242-121647254(-) TGCAGGAAGAAC >mm10_chr9:121652751-121652762(-)::chr9:121652750-121652762(-) ATTAGGAAGTGG >mm10_chr9:121720412-121720423(+)::chr9:121720411-121720423(+) AAAAGGAAGACG >mm10_chr9:121742625-121742636(-)::chr9:121742624-121742636(-) AAGAGGAAGAGG >mm10_chr9:121763559-121763570(+)::chr9:121763558-121763570(+) gagaggaagagg >mm10_chr9:121763568-121763579(+)::chr9:121763567-121763579(+) aggaggaagagg >mm10_chr9:121763574-121763585(+)::chr9:121763573-121763585(+) aagaggaagaag >mm10_chr9:121763586-121763597(+)::chr9:121763585-121763597(+) aagaggaaggca >mm10_chr9:121763613-121763624(+)::chr9:121763612-121763624(+) aggaggaagagg >mm10_chr9:121763622-121763633(+)::chr9:121763621-121763633(+) aggaggaAGGGC >mm10_chr9:121765463-121765474(-)::chr9:121765462-121765474(-) AAAAGGAAGGGC >mm10_chr9:121829144-121829155(+)::chr9:121829143-121829155(+) TAAAGGAAATGT >mm10_chr9:121829185-121829196(-)::chr9:121829184-121829196(-) AAGAGGAAATTA >mm10_chr9:121880945-121880956(-)::chr9:121880944-121880956(-) GCAAGGAAGAGA >mm10_chr9:121894625-121894636(-)::chr9:121894624-121894636(-) GAGAGGAAGTGT >mm10_chr9:121894964-121894975(+)::chr9:121894963-121894975(+) GGGAGGAAGCAG >mm10_chr9:121894971-121894982(+)::chr9:121894970-121894982(+) AGCAGGAAGAGA >mm10_chr9:121895000-121895011(-)::chr9:121894999-121895011(-) AGGAGGAAGGAG >mm10_chr9:121926169-121926180(-)::chr9:121926168-121926180(-) tgcaggaaatat >mm10_chr9:121941882-121941893(+)::chr9:121941881-121941893(+) ACCAGGAAGGCA >mm10_chr9:122051281-122051292(+)::chr9:122051280-122051292(+) ATGAGGAAGGAC >mm10_chr9:122051300-122051311(+)::chr9:122051299-122051311(+) GAGAGGAAGGGA >mm10_chr9:122065073-122065084(+)::chr9:122065072-122065084(+) GTAAGGAAGAGG >mm10_chr9:122109770-122109781(-)::chr9:122109769-122109781(-) ATCAGGAAGATG >mm10_chr9:122170120-122170131(-)::chr9:122170119-122170131(-) GTGAGGAAGTGG >mm10_chr9:122174658-122174669(+)::chr9:122174657-122174669(+) ATACGGAAGCTG >mm10_chr9:122243195-122243206(+)::chr9:122243194-122243206(+) ACAAGGAAGCTC >mm10_chr9:122255604-122255615(-)::chr9:122255603-122255615(-) AGAAGGAAGTTT >mm10_chr9:122269404-122269415(+)::chr9:122269403-122269415(+) ACAAGGAAACAA >mm10_chr9:122269467-122269478(-)::chr9:122269466-122269478(-) TGCAGGAAGATT >mm10_chr9:122305552-122305563(-)::chr9:122305551-122305563(-) AGAAGGAagaga >mm10_chr9:122315601-122315612(+)::chr9:122315600-122315612(+) ACAAGGAAGTAT >mm10_chr9:122316854-122316865(+)::chr9:122316853-122316865(+) AGAAGGAAAGGT >mm10_chr9:122316886-122316897(-)::chr9:122316885-122316897(-) TGAAGGAAATGA >mm10_chr9:122317633-122317644(-)::chr9:122317632-122317644(-) aagaggaagggg >mm10_chr9:122317639-122317650(-)::chr9:122317638-122317650(-) agaaggaagagg >mm10_chr9:122317646-122317657(-)::chr9:122317645-122317657(-) cgtaggaagaag >mm10_chr9:122336850-122336861(+)::chr9:122336849-122336861(+) AACAGGAAGTTC >mm10_chr9:122337529-122337540(+)::chr9:122337528-122337540(+) AGAAGGAAGCTG >mm10_chr9:122342660-122342671(-)::chr9:122342659-122342671(-) agaaggaagtgg >mm10_chr9:122352241-122352252(+)::chr9:122352240-122352252(+) AACAGGAAGGAA >mm10_chr9:122352245-122352256(+)::chr9:122352244-122352256(+) GGAAGGAAGCCC >mm10_chr9:122372713-122372724(-)::chr9:122372712-122372724(-) AGCAGGAAGAGA >mm10_chr9:122372725-122372736(-)::chr9:122372724-122372736(-) ACAAGGAAGATG >mm10_chr9:122372736-122372747(-)::chr9:122372735-122372747(-) GCAAGGAAGGAA >mm10_chr9:122372764-122372775(-)::chr9:122372763-122372775(-) AGCAGGAAATCC >mm10_chr9:122382135-122382146(+)::chr9:122382134-122382146(+) agaaggaattac >mm10_chr9:122427809-122427820(+)::chr9:122427808-122427820(+) ggtaggaagaca >mm10_chr9:122427830-122427841(+)::chr9:122427829-122427841(+) acagggaaGTGA >mm10_chr9:122430342-122430353(+)::chr9:122430341-122430353(+) AGGAGGAAGGAA >mm10_chr9:122430370-122430381(-)::chr9:122430369-122430381(-) TAAAGGAAGTTC >mm10_chr9:122436446-122436457(-)::chr9:122436445-122436457(-) AACAGGAAGCTG >mm10_chr9:122437340-122437351(+)::chr9:122437339-122437351(+) aagaggaAGgga >mm10_chr9:122437415-122437426(+)::chr9:122437414-122437426(+) tgaaggaagaag >mm10_chr9:122437860-122437871(+)::chr9:122437859-122437871(+) gaaaggaagagg >mm10_chr9:122456044-122456055(-)::chr9:122456043-122456055(-) ACAAGGAACTCA >mm10_chr9:122467855-122467866(-)::chr9:122467854-122467866(-) ataaggaacaca >mm10_chr9:122606349-122606360(-)::chr9:122606348-122606360(-) agcaggaagagg >mm10_chr9:122606373-122606384(-)::chr9:122606372-122606384(-) aagaggaagagg >mm10_chr9:122606379-122606390(-)::chr9:122606378-122606390(-) gggaggaagagg >mm10_chr9:122659506-122659517(-)::chr9:122659505-122659517(-) TCCAGGAAGTCT >mm10_chr9:122659749-122659760(+)::chr9:122659748-122659760(+) AGAAGGAAGAAA >mm10_chr9:122745954-122745965(+)::chr9:122745953-122745965(+) ATGAGGAAGTTG >mm10_chr9:122746008-122746019(-)::chr9:122746007-122746019(-) ACCAGGAAGTAG >mm10_chr9:122836713-122836724(-)::chr9:122836712-122836724(-) CAAAGGAAATAG >mm10_chr9:122837779-122837790(-)::chr9:122837778-122837790(-) CCAAGGAAGCGG >mm10_chr9:122837790-122837801(-)::chr9:122837789-122837801(-) GCTAGGAAGTGC >mm10_chr9:122865995-122866006(+)::chr9:122865994-122866006(+) GAGCGGAAGTAC >mm10_chr9:122886799-122886810(+)::chr9:122886798-122886810(+) TACCGGAAGTGA >mm10_chr9:122888436-122888447(-)::chr9:122888435-122888447(-) GAAAGGAAGTGA >mm10_chr9:123007422-123007433(+)::chr9:123007421-123007433(+) ACCAGGAAGGAG >mm10_chr9:123021118-123021129(-)::chr9:123021117-123021129(-) TGAAGGAAGCGT >mm10_chr9:123079996-123080007(+)::chr9:123079995-123080007(+) AAGAGGAAGGGA >mm10_chr9:123109161-123109172(-)::chr9:123109160-123109172(-) GTTAGGAAGATA >mm10_chr9:123111749-123111760(+)::chr9:123111748-123111760(+) AAAAGGAAGAAA >mm10_chr9:123111811-123111822(+)::chr9:123111810-123111822(+) TACAGGAAGCCG >mm10_chr9:123116833-123116844(+)::chr9:123116832-123116844(+) ATGAGGAAGAGC >mm10_chr9:123139777-123139788(+)::chr9:123139776-123139788(+) GAGAGGAAGGAA >mm10_chr9:123152245-123152256(-)::chr9:123152244-123152256(-) ACCAGGAAGTCA >mm10_chr9:123154323-123154334(+)::chr9:123154322-123154334(+) AGAAGGAATTTC >mm10_chr9:123186314-123186325(-)::chr9:123186313-123186325(-) GGAAGGAAGTTA >mm10_chr9:123186318-123186329(-)::chr9:123186317-123186329(-) TACAGGAAGGAA >mm10_chr9:123186382-123186393(-)::chr9:123186381-123186393(-) AAAAGGAAGCTT >mm10_chr9:123186388-123186399(-)::chr9:123186387-123186399(-) AGAAGGAAAAGG >mm10_chr9:123216175-123216186(-)::chr9:123216174-123216186(-) GAAAGGAACTGA >mm10_chr9:123221386-123221397(+)::chr9:123221385-123221397(+) tggaggaagaga >mm10_chr9:123221414-123221425(-)::chr9:123221413-123221425(-) ggtaggaagaca >mm10_chr9:123222957-123222968(-)::chr9:123222956-123222968(-) aagaggaagaga >mm10_chr9:123222972-123222983(-)::chr9:123222971-123222983(-) gggaggaagagg >mm10_chr9:123222989-123223000(-)::chr9:123222988-123223000(-) aggaggaAGAAG >mm10_chr9:123224025-123224036(+)::chr9:123224024-123224036(+) ggcaggaagttg >mm10_chr9:123224035-123224046(-)::chr9:123224034-123224046(-) aagaggaagaca >mm10_chr9:123225235-123225246(-)::chr9:123225234-123225246(-) CAAAGGAAGAAA >mm10_chr9:123225266-123225277(+)::chr9:123225265-123225277(+) GGAAGGAAGATA >mm10_chr9:123234807-123234818(-)::chr9:123234806-123234818(-) ataaggaagctg >mm10_chr9:123235854-123235865(-)::chr9:123235853-123235865(-) AGCAGGAAGTCC >mm10_chr9:123238639-123238650(-)::chr9:123238638-123238650(-) CCCAGGAAGTTC >mm10_chr9:123264280-123264291(+)::chr9:123264279-123264291(+) aggaggaagaga >mm10_chr9:123359297-123359308(-)::chr9:123359296-123359308(-) AAAAGGAAGTCT >mm10_chr9:123359313-123359324(+)::chr9:123359312-123359324(+) agaaggaagagc >mm10_chr9:123359337-123359348(+)::chr9:123359336-123359348(+) aggaggaagagg >mm10_chr9:123359343-123359354(+)::chr9:123359342-123359354(+) aagaggaagagg >mm10_chr9:123359355-123359366(+)::chr9:123359354-123359366(+) aggaggaagagg >mm10_chr9:123359373-123359384(+)::chr9:123359372-123359384(+) aggaggaagagg >mm10_chr9:123394964-123394975(+)::chr9:123394963-123394975(+) ATGAGGAAGCAG >mm10_chr9:123457656-123457667(+)::chr9:123457655-123457667(+) ACCAGGAAGAGG >mm10_chr9:123465892-123465903(+)::chr9:123465891-123465903(+) ATCAGGAAGTAA >mm10_chr9:123473717-123473728(-)::chr9:123473716-123473728(-) GGCAGGAAGTGC >mm10_chr9:123475748-123475759(-)::chr9:123475747-123475759(-) tcagggaagtgc >mm10_chr9:123475774-123475785(-)::chr9:123475773-123475785(-) agtaggaagtga >mm10_chr9:123491010-123491021(+)::chr9:123491009-123491021(+) AGGAGGAAGAAG >mm10_chr9:123491022-123491033(+)::chr9:123491021-123491033(+) TCAAGGAAGCTG >mm10_chr9:123495708-123495719(-)::chr9:123495707-123495719(-) TCCAGGAAGAGA >mm10_chr9:123523822-123523833(-)::chr9:123523821-123523833(-) taatggaagtgg >mm10_chr9:123529552-123529563(-)::chr9:123529551-123529563(-) AGCAGGAAGCAT >mm10_chr9:123529874-123529885(+)::chr9:123529873-123529885(+) AGGAGGAAGCTG >mm10_chr9:123578104-123578115(+)::chr9:123578103-123578115(+) AGCAGGAAGTTG >mm10_chr9:123646372-123646383(+)::chr9:123646371-123646383(+) aggaggaaggtc >mm10_chr9:123650046-123650057(-)::chr9:123650045-123650057(-) tgaaggaagtca >mm10_chr9:123650071-123650082(+)::chr9:123650070-123650082(+) atatggaagtgt >mm10_chr9:123651579-123651590(+)::chr9:123651578-123651590(+) GGGAGGAAGGAG >mm10_chr9:123656654-123656665(-)::chr9:123656653-123656665(-) AACAGGAAGATT >mm10_chr9:123667003-123667014(-)::chr9:123667002-123667014(-) agaaggaagaaa >mm10_chr9:123672019-123672030(-)::chr9:123672018-123672030(-) aagaggaagagg >mm10_chr9:123672025-123672036(-)::chr9:123672024-123672036(-) aagaggaagagg >mm10_chr9:123672031-123672042(-)::chr9:123672030-123672042(-) aagaggaagagg >mm10_chr9:123672037-123672048(-)::chr9:123672036-123672048(-) gagaggaagagg >mm10_chr9:123717724-123717735(+)::chr9:123717723-123717735(+) ATCCGGAAGTCC >mm10_chr9:123722829-123722840(+)::chr9:123722828-123722840(+) aagaggaagtct >mm10_chr9:123815676-123815687(+)::chr9:123815675-123815687(+) AAGAGGAAGGTG >mm10_chr9:123815696-123815707(+)::chr9:123815695-123815707(+) GGGAGGAAGCTA >mm10_chr9:123850201-123850212(-)::chr9:123850200-123850212(-) TAGAGGAAGTGT >mm10_chr9:123852117-123852128(-)::chr9:123852116-123852128(-) TTCAGGAAGTGA >mm10_chr9:123852148-123852159(+)::chr9:123852147-123852159(+) ACCCGGAAGTCG >mm10_chr9:123928321-123928332(+)::chr9:123928320-123928332(+) TCAAGGAAATGA >mm10_chr9:123928996-123929007(-)::chr9:123928995-123929007(-) AGAAGGAATTGG >mm10_chr9:123936120-123936131(-)::chr9:123936119-123936131(-) tgaaggaaggct >mm10_chr9:123953408-123953419(-)::chr9:123953407-123953419(-) AGAAGGAAGGGT >mm10_chr9:123953696-123953707(-)::chr9:123953695-123953707(-) agcaggaagagg >mm10_chr9:123990091-123990102(+)::chr9:123990090-123990102(+) ATAAGGAAGTGA >mm10_chr9:123991741-123991752(+)::chr9:123991740-123991752(+) AAGAGGAAGGAG >mm10_chr9:123991761-123991772(+)::chr9:123991760-123991772(+) TCAAGGAAGTGC >mm10_chr9:124126583-124126594(+)::chr9:124126582-124126594(+) AGCAGGAAGTGA >mm10_chr9:124126606-124126617(-)::chr9:124126605-124126617(-) ACAAGGAAGAAA >mm10_chr9:124258388-124258399(+)::chr9:124258387-124258399(+) CGCAGGAAGAAA >mm10_chr9:124258400-124258411(+)::chr9:124258399-124258411(+) AGCAGGAAGCAG >mm10_chr9:124258443-124258454(-)::chr9:124258442-124258454(-) CCCAGGAAGATA >mm10_chr9:124311260-124311271(-)::chr9:124311259-124311271(-) GGAAGGAAGGAG >mm10_chr9:124423787-124423798(+)::chr9:124423786-124423798(+) GGCAGGAAGTCC >mm10_chr9:124423826-124423837(-)::chr9:124423825-124423837(-) CGTAGGAAGTCG >mm10_chr9:124424173-124424184(+)::chr9:124424172-124424184(+) AGCCGGAAGTGG >mm10_chr9:124440218-124440229(+)::chr9:124440217-124440229(+) AACAGGAAGTGT >mm10_chr9:124440234-124440245(+)::chr9:124440233-124440245(+) GACAGGAAGTAA >mm10_chr9:124478189-124478200(-)::chr9:124478188-124478200(-) taaaggaagttc >mm10_chr9:124478208-124478219(-)::chr9:124478207-124478219(-) tcaaggaaggaa >mm10_chrM:1902-1913(+)::chrM:1901-1913(+) AAAAGGAACTCG >mm10_chrM:3584-3595(-)::chrM:3583-3595(-) TAACGGAAGCGT >mm10_chrM:14735-14746(-)::chrM:14734-14746(-) TGGAGGAAGAGG >mm10_chrM:14960-14971(-)::chrM:14959-14971(-) AATAGGAAATAT >mm10_chrX:6354241-6354252(-)::chrX:6354240-6354252(-) AAGAGGAAGGTG >mm10_chrX:6354247-6354258(-)::chrX:6354246-6354258(-) GTACGGAAGAGG >mm10_chrX:6354259-6354270(-)::chrX:6354258-6354270(-) AGAAGGAAATGA >mm10_chrX:6354297-6354308(+)::chrX:6354296-6354308(+) AATAGGAAATTA >mm10_chrX:6388983-6388994(+)::chrX:6388982-6388994(+) tcaaggaagcaa >mm10_chrX:6389035-6389046(+)::chrX:6389034-6389046(+) gtacGGAAGAGG >mm10_chrX:6394057-6394068(+)::chrX:6394056-6394068(+) ATGAGGAAGGAG >mm10_chrX:6399966-6399977(-)::chrX:6399965-6399977(-) AGGAGGAAATGA >mm10_chrX:6409462-6409473(-)::chrX:6409461-6409473(-) TACAGGAAGAGA >mm10_chrX:6418301-6418312(-)::chrX:6418300-6418312(-) TACAGGAAATGA >mm10_chrX:6418375-6418386(-)::chrX:6418374-6418386(-) GGCAGGAAGAAC >mm10_chrX:6498150-6498161(+)::chrX:6498149-6498161(+) aacaggaaatgc >mm10_chrX:6498177-6498188(-)::chrX:6498176-6498188(-) GGAAGGAAGGAA >mm10_chrX:6498181-6498192(-)::chrX:6498180-6498192(-) AGAAGGAAGGAA >mm10_chrX:6577120-6577131(-)::chrX:6577119-6577131(-) GTAAGGAAATGA >mm10_chrX:6577165-6577176(-)::chrX:6577164-6577176(-) ATAAGGAAGCTG >mm10_chrX:6603392-6603403(+)::chrX:6603391-6603403(+) AGAAGGAAAATG >mm10_chrX:7150418-7150429(-)::chrX:7150417-7150429(-) AGAAGAAAGTGA >mm10_chrX:7173584-7173595(+)::chrX:7173583-7173595(+) GGAAGGCAGTGG >mm10_chrX:7173595-7173606(+)::chrX:7173594-7173606(+) GAAAGGAAATCA >mm10_chrX:7198043-7198054(+)::chrX:7198042-7198054(+) tcaaggaagtag >mm10_chrX:7204337-7204348(+)::chrX:7204336-7204348(+) atacggaagtgt >mm10_chrX:7340500-7340511(+)::chrX:7340499-7340511(+) agcaggaagtac >mm10_chrX:7421193-7421204(+)::chrX:7421192-7421204(+) AACAGGAAGTGC >mm10_chrX:7632702-7632713(+)::chrX:7632701-7632713(+) AGAAGGAAGTAT >mm10_chrX:7632755-7632766(+)::chrX:7632754-7632766(+) AACAGGAAGTCC >mm10_chrX:7649112-7649123(+)::chrX:7649111-7649123(+) TCCAGGAAGGGA >mm10_chrX:7649225-7649236(+)::chrX:7649224-7649236(+) AGCAGGATGTAG >mm10_chrX:7653450-7653461(+)::chrX:7653449-7653461(+) ACAAGGAAGTCT >mm10_chrX:7663014-7663025(+)::chrX:7663013-7663025(+) gtgaggaaggaa >mm10_chrX:7663018-7663029(+)::chrX:7663017-7663029(+) ggaaggaaggaa >mm10_chrX:7663022-7663033(+)::chrX:7663021-7663033(+) ggaaggaaggaa >mm10_chrX:7663061-7663072(+)::chrX:7663060-7663072(+) ccaaggaagaaa >mm10_chrX:7673847-7673858(-)::chrX:7673846-7673858(-) AGAGGGAAGTTG >mm10_chrX:7694018-7694029(+)::chrX:7694017-7694029(+) tggaggaagtgt >mm10_chrX:7694037-7694048(+)::chrX:7694036-7694048(+) ttaaggaagcct >mm10_chrX:7699098-7699109(+)::chrX:7699097-7699109(+) GACAGGACGTAC >mm10_chrX:7762665-7762676(-)::chrX:7762664-7762676(-) CACAGGAAGTGA >mm10_chrX:7766034-7766045(+)::chrX:7766033-7766045(+) GGCAGGAAGAGA >mm10_chrX:7789659-7789670(-)::chrX:7789658-7789670(-) TCAAGGAAGTGA >mm10_chrX:7807390-7807401(+)::chrX:7807389-7807401(+) ggaaggaaggaa >mm10_chrX:7807394-7807405(+)::chrX:7807393-7807405(+) ggaaggaaggag >mm10_chrX:7807435-7807446(-)::chrX:7807434-7807446(-) gaaaggaaggtt >mm10_chrX:7841753-7841764(+)::chrX:7841752-7841764(+) ACCAGGAAGCCC >mm10_chrX:7884780-7884791(-)::chrX:7884779-7884791(-) ATAAGGAAGAAC >mm10_chrX:7884802-7884813(-)::chrX:7884801-7884813(-) ATAAGGAAGCCA >mm10_chrX:7884843-7884854(-)::chrX:7884842-7884854(-) ACAAGGATGTGC >mm10_chrX:7923696-7923707(-)::chrX:7923695-7923707(-) GACAGGAAGGGT >mm10_chrX:7923723-7923734(+)::chrX:7923722-7923734(+) ACAAGGAAGGAG >mm10_chrX:7963727-7963738(-)::chrX:7963726-7963738(-) gagaggaagaag >mm10_chrX:7963752-7963763(-)::chrX:7963751-7963763(-) atgaggaagatg >mm10_chrX:8002198-8002209(-)::chrX:8002197-8002209(-) ataaggaaatga >mm10_chrX:8002262-8002273(+)::chrX:8002261-8002273(+) ataaggaagctg >mm10_chrX:8132332-8132343(-)::chrX:8132331-8132343(-) AGAAGGAAGCCA >mm10_chrX:8145338-8145349(-)::chrX:8145337-8145349(-) AAGAGGAAATGC >mm10_chrX:8145826-8145837(+)::chrX:8145825-8145837(+) ACGAGGAAGGCG >mm10_chrX:8163036-8163047(+)::chrX:8163035-8163047(+) GAAAGGAGGTAG >mm10_chrX:8185870-8185881(+)::chrX:8185869-8185881(+) ATAAGGAAAACA >mm10_chrX:8900536-8900547(-)::chrX:8900535-8900547(-) AAAAGGAAGAGA >mm10_chrX:8902182-8902193(+)::chrX:8902181-8902193(+) GTGAGGAAGGAA >mm10_chrX:9240993-9241004(+)::chrX:9240992-9241004(+) ACAATGAAGTCA >mm10_chrX:9249357-9249368(+)::chrX:9249356-9249368(+) aagaggaagagg >mm10_chrX:9249363-9249374(+)::chrX:9249362-9249374(+) aagaggaagagg >mm10_chrX:9249369-9249380(+)::chrX:9249368-9249380(+) aagaggaagagg >mm10_chrX:9249375-9249386(+)::chrX:9249374-9249386(+) aagaggaagagT >mm10_chrX:9452609-9452620(-)::chrX:9452608-9452620(-) AAGAGGAAGGAT >mm10_chrX:9662406-9662417(-)::chrX:9662405-9662417(-) TAGAGGAAGTGC >mm10_chrX:9950211-9950222(-)::chrX:9950210-9950222(-) TACAGGAAGATA >mm10_chrX:9950257-9950268(+)::chrX:9950256-9950268(+) TCAAGGAAGCTG >mm10_chrX:10216360-10216371(-)::chrX:10216359-10216371(-) TGGAGGAAGGGA >mm10_chrX:10536935-10536946(-)::chrX:10536934-10536946(-) AAGAGGAAGCAA >mm10_chrX:10539225-10539236(+)::chrX:10539224-10539236(+) tCCAGGAAGTGA >mm10_chrX:10541026-10541037(+)::chrX:10541025-10541037(+) AACAGGAAGTCT >mm10_chrX:10596249-10596260(-)::chrX:10596248-10596260(-) ACAAGGAAGCCA >mm10_chrX:10784735-10784746(+)::chrX:10784734-10784746(+) atcaggaagcac >mm10_chrX:10784761-10784772(-)::chrX:10784760-10784772(-) atcaggaagtcc >mm10_chrX:10871778-10871789(-)::chrX:10871777-10871789(-) GGAAGGAAGCTG >mm10_chrX:10903896-10903907(+)::chrX:10903895-10903907(+) ATAAGGAAGCTG >mm10_chrX:10990813-10990824(+)::chrX:10990812-10990824(+) AGGAGGAAGCCA >mm10_chrX:11114247-11114258(+)::chrX:11114246-11114258(+) TGCAGGAAGTGC >mm10_chrX:11114280-11114291(+)::chrX:11114279-11114291(+) GAAAGGAAAGCG >mm10_chrX:11156327-11156338(+)::chrX:11156326-11156338(+) acgaggaagaga >mm10_chrX:11156339-11156350(+)::chrX:11156338-11156350(+) tgaaggaagcac >mm10_chrX:11156349-11156360(+)::chrX:11156348-11156360(+) accaggaagagt >mm10_chrX:11277223-11277234(+)::chrX:11277222-11277234(+) ACAAGGAAGTGG >mm10_chrX:11280446-11280457(+)::chrX:11280445-11280457(+) ACCAGGAAATGC >mm10_chrX:11437379-11437390(+)::chrX:11437378-11437390(+) ATGAGGAAATGT >mm10_chrX:11448827-11448838(-)::chrX:11448826-11448838(-) AGAAGGAAGGCC >mm10_chrX:11536559-11536570(+)::chrX:11536558-11536570(+) TTAGGGAAGTGA >mm10_chrX:11536589-11536600(-)::chrX:11536588-11536600(-) AGCAGGAAGCAC >mm10_chrX:11536596-11536607(-)::chrX:11536595-11536607(-) ACAAGGAAGCAG >mm10_chrX:11543832-11543843(+)::chrX:11543831-11543843(+) accaggaagcag >mm10_chrX:11598186-11598197(+)::chrX:11598185-11598197(+) AACAGGAAGAGA >mm10_chrX:11598200-11598211(-)::chrX:11598199-11598211(-) CAGAGGAAGTAG >mm10_chrX:11631702-11631713(+)::chrX:11631701-11631713(+) ACCAGGAAATAA >mm10_chrX:11727277-11727288(+)::chrX:11727276-11727288(+) AGAAGGAAGAAG >mm10_chrX:11762505-11762516(+)::chrX:11762504-11762516(+) ataaggaacaag >mm10_chrX:11762512-11762523(+)::chrX:11762511-11762523(+) acaaggaaaatg >mm10_chrX:11840500-11840511(-)::chrX:11840499-11840511(-) ACCAGGAAGCAG >mm10_chrX:11853343-11853354(+)::chrX:11853342-11853354(+) AGGAggaaggga >mm10_chrX:11853380-11853391(+)::chrX:11853379-11853391(+) gaaaggaagggg >mm10_chrX:11853394-11853405(+)::chrX:11853393-11853405(+) gggaggaaggag >mm10_chrX:11853426-11853437(+)::chrX:11853425-11853437(+) aagaggaagagg >mm10_chrX:11876189-11876200(+)::chrX:11876188-11876200(+) aagaggaagagg >mm10_chrX:11876195-11876206(+)::chrX:11876194-11876206(+) aagaggaagagg >mm10_chrX:11876201-11876212(+)::chrX:11876200-11876212(+) aagaggaagCCG >mm10_chrX:11876223-11876234(+)::chrX:11876222-11876234(+) AGCAGGAAGCAA >mm10_chrX:11888342-11888353(+)::chrX:11888341-11888353(+) AGCAGGAAGTTG >mm10_chrX:11940480-11940491(-)::chrX:11940479-11940491(-) aacaggaagggc >mm10_chrX:12043290-12043301(+)::chrX:12043289-12043301(+) GGGAGGAAGAGA >mm10_chrX:12043323-12043334(-)::chrX:12043322-12043334(-) TGAAGGAAGAAA >mm10_chrX:12048438-12048449(-)::chrX:12048437-12048449(-) GGAAGGAAGTGG >mm10_chrX:12048442-12048453(-)::chrX:12048441-12048453(-) AGCAGGAAGGAA >mm10_chrX:12068222-12068233(+)::chrX:12068221-12068233(+) GGAAGGAAGGAA >mm10_chrX:12072683-12072694(-)::chrX:12072682-12072694(-) TAAAGGAAATGA >mm10_chrX:12123009-12123020(+)::chrX:12123008-12123020(+) ATGAGGAAGTAC >mm10_chrX:12123820-12123831(+)::chrX:12123819-12123831(+) CCAAGGAAGGGG >mm10_chrX:12123827-12123838(+)::chrX:12123826-12123838(+) AGGGGGAAGTAA >mm10_chrX:12154027-12154038(-)::chrX:12154026-12154038(-) ACCAGGAAGCTA >mm10_chrX:12155863-12155874(+)::chrX:12155862-12155874(+) GCCAGGAAGAGG >mm10_chrX:12155869-12155880(+)::chrX:12155868-12155880(+) AAGAGGAAGGGA >mm10_chrX:12165313-12165324(-)::chrX:12165312-12165324(-) AAGAGGAAGAAA >mm10_chrX:12176003-12176014(-)::chrX:12176002-12176014(-) CCCAGGAAGTGA >mm10_chrX:12240672-12240683(+)::chrX:12240671-12240683(+) gccaggaagttg >mm10_chrX:12329487-12329498(+)::chrX:12329486-12329498(+) agaaggaaagga >mm10_chrX:12329520-12329531(-)::chrX:12329519-12329531(-) acaaggaagcag >mm10_chrX:12390015-12390026(+)::chrX:12390014-12390026(+) agaaggaagtac >mm10_chrX:12466958-12466969(+)::chrX:12466957-12466969(+) AACAGGAAGAGA >mm10_chrX:12467768-12467779(-)::chrX:12467767-12467779(-) AACAGGAAGAAG >mm10_chrX:12515523-12515534(+)::chrX:12515522-12515534(+) aggaggaagagg >mm10_chrX:12515529-12515540(+)::chrX:12515528-12515540(+) aagaggaagagg >mm10_chrX:12515538-12515549(+)::chrX:12515537-12515549(+) aggaggaagaag >mm10_chrX:12515576-12515587(+)::chrX:12515575-12515587(+) aggaggaagagg >mm10_chrX:12522944-12522955(+)::chrX:12522943-12522955(+) AGAAGGAAAAGT >mm10_chrX:12592131-12592142(+)::chrX:12592130-12592142(+) ACAAGGAAAAGG >mm10_chrX:12612533-12612544(-)::chrX:12612532-12612544(-) ataaggaagtgt >mm10_chrX:12632078-12632089(+)::chrX:12632077-12632089(+) GAAAGAAAGTAA >mm10_chrX:12673225-12673236(+)::chrX:12673224-12673236(+) AGTAGGAAGCAG >mm10_chrX:12673698-12673709(+)::chrX:12673697-12673709(+) TCCAGGAAGTGG >mm10_chrX:12674106-12674117(-)::chrX:12674105-12674117(-) TCCAGGAAGTAC >mm10_chrX:12740216-12740227(+)::chrX:12740215-12740227(+) AAGAGGAAGAAA >mm10_chrX:12761826-12761837(+)::chrX:12761825-12761837(+) AACAGGAAGCCG >mm10_chrX:12785372-12785383(-)::chrX:12785371-12785383(-) ACAAGGATGTTA >mm10_chrX:12800130-12800141(+)::chrX:12800129-12800141(+) AGCAGGAAATGG >mm10_chrX:12818821-12818832(-)::chrX:12818820-12818832(-) aggaggaagaca >mm10_chrX:12818864-12818875(-)::chrX:12818863-12818875(-) gagaggaaggag >mm10_chrX:12818872-12818883(-)::chrX:12818871-12818883(-) aaaaggaagaga >mm10_chrX:12818896-12818907(-)::chrX:12818895-12818907(-) TAAaggaagagg >mm10_chrX:12823630-12823641(-)::chrX:12823629-12823641(-) AGAAGGAAATGG >mm10_chrX:12824630-12824641(+)::chrX:12824629-12824641(+) ACAAGGAAGCAG >mm10_chrX:12824675-12824686(+)::chrX:12824674-12824686(+) ATGAGGAAGTTA >mm10_chrX:12831470-12831481(+)::chrX:12831469-12831481(+) gtcaggaaggaa >mm10_chrX:12832887-12832898(+)::chrX:12832886-12832898(+) gggaggaagtga >mm10_chrX:12832933-12832944(-)::chrX:12832932-12832944(-) ggcaggaagtta >mm10_chrX:12844617-12844628(+)::chrX:12844616-12844628(+) gacaggaagtaa >mm10_chrX:12844641-12844652(+)::chrX:12844640-12844652(+) ttcaggaagtcc >mm10_chrX:12844676-12844687(-)::chrX:12844675-12844687(-) ggaaggaagaac >mm10_chrX:12891506-12891517(-)::chrX:12891505-12891517(-) ACAAGGAAGTCC >mm10_chrX:12909967-12909978(+)::chrX:12909966-12909978(+) aagaggaaatgc >mm10_chrX:12948319-12948330(-)::chrX:12948318-12948330(-) AACAGGAAATGG >mm10_chrX:12948335-12948346(-)::chrX:12948334-12948346(-) AAAAGGAAGTGA >mm10_chrX:12960106-12960117(-)::chrX:12960105-12960117(-) AGCAGGAAGACA >mm10_chrX:12964586-12964597(-)::chrX:12964585-12964597(-) TCCAGGAAGTGA >mm10_chrX:13280609-13280620(+)::chrX:13280608-13280620(+) GAGAGGAAGGGA >mm10_chrX:13280633-13280644(+)::chrX:13280632-13280644(+) AGAAGGACGAAA >mm10_chrX:13344779-13344790(-)::chrX:13344778-13344790(-) AGCAGGAAGAAA >mm10_chrX:13357172-13357183(-)::chrX:13357171-13357183(-) aggaggaagagg >mm10_chrX:13357179-13357190(-)::chrX:13357178-13357190(-) aggaggaaggag >mm10_chrX:13357197-13357208(-)::chrX:13357196-13357208(-) ggaaggaagaag >mm10_chrX:13431642-13431653(-)::chrX:13431641-13431653(-) TCCAGGAAGTGA >mm10_chrX:13506527-13506538(-)::chrX:13506526-13506538(-) AGCGGGAAGTGG >mm10_chrX:13506550-13506561(-)::chrX:13506549-13506561(-) AACAGGAAGAAG >mm10_chrX:13506604-13506615(-)::chrX:13506603-13506615(-) AGAAGGAACTAC >mm10_chrX:13599560-13599571(+)::chrX:13599559-13599571(+) AAGAGGAAGAGT >mm10_chrX:13636485-13636496(-)::chrX:13636484-13636496(-) TGCAGGAAGACA >mm10_chrX:13636514-13636525(-)::chrX:13636513-13636525(-) tgaaggaagAGC >mm10_chrX:13636534-13636545(-)::chrX:13636533-13636545(-) gggaggaaggga >mm10_chrX:13652507-13652518(-)::chrX:13652506-13652518(-) tgcaggaagtat >mm10_chrX:13690708-13690719(+)::chrX:13690707-13690719(+) acaaggaaggct >mm10_chrX:13778205-13778216(-)::chrX:13778204-13778216(-) CACAGGAAGTTA >mm10_chrX:13818858-13818869(+)::chrX:13818857-13818869(+) tagaggaagtag >mm10_chrX:13872025-13872036(-)::chrX:13872024-13872036(-) AACAGGAAATAC >mm10_chrX:13872072-13872083(+)::chrX:13872071-13872083(+) GGAAGGAAATGA >mm10_chrX:14546525-14546536(+)::chrX:14546524-14546536(+) gcaaggaagaag >mm10_chrX:14608332-14608343(+)::chrX:14608331-14608343(+) tggaggaagtag >mm10_chrX:14628776-14628787(+)::chrX:14628775-14628787(+) CTCAGGAAGTAC >mm10_chrX:14802804-14802815(+)::chrX:14802803-14802815(+) ttaatgaagtaa >mm10_chrX:14906309-14906320(+)::chrX:14906308-14906320(+) TAGAGGAAGGGG >mm10_chrX:15338862-15338873(-)::chrX:15338861-15338873(-) atcaggaaattg >mm10_chrX:15338906-15338917(+)::chrX:15338905-15338917(+) caaaggaagagg >mm10_chrX:15600872-15600883(+)::chrX:15600871-15600883(+) atgaggaagtag >mm10_chrX:16558646-16558657(+)::chrX:16558645-16558657(+) gaaaggaactgc >mm10_chrX:16703564-16703575(+)::chrX:16703563-16703575(+) AACAGGAAATTC >mm10_chrX:16908063-16908074(+)::chrX:16908062-16908074(+) TGGAGGAAGCAA >mm10_chrX:16955007-16955018(-)::chrX:16955006-16955018(-) AGAGGGAAGTAC >mm10_chrX:16955014-16955025(-)::chrX:16955013-16955025(-) GGAAGGAAGAGG >mm10_chrX:16955018-16955029(-)::chrX:16955017-16955029(-) TCCAGGAAGGAA >mm10_chrX:17527247-17527258(+)::chrX:17527246-17527258(+) agaaggaaaagg >mm10_chrX:17548738-17548749(-)::chrX:17548737-17548749(-) gtaaggaagtaa >mm10_chrX:17548780-17548791(-)::chrX:17548779-17548791(-) aagaggaaatat >mm10_chrX:17558886-17558897(+)::chrX:17558885-17558897(+) CTAAGGAAGAAA >mm10_chrX:17590982-17590993(-)::chrX:17590981-17590993(-) CTAAGGAAGTGT >mm10_chrX:17608910-17608921(+)::chrX:17608909-17608921(+) ATAAGGAAGTAG >mm10_chrX:17608917-17608928(+)::chrX:17608916-17608928(+) AGTAGGAAGAAG >mm10_chrX:17608924-17608935(+)::chrX:17608923-17608935(+) AGAAGGAAATGG >mm10_chrX:17708190-17708201(-)::chrX:17708189-17708201(-) accaggaaggag >mm10_chrX:17771903-17771914(-)::chrX:17771902-17771914(-) ATGAGGAAGTAG >mm10_chrX:18151763-18151774(+)::chrX:18151762-18151774(+) acaaggaagtaa >mm10_chrX:18151805-18151816(+)::chrX:18151804-18151816(+) ataaggaaataa >mm10_chrX:18163574-18163585(-)::chrX:18163573-18163585(-) AGCAGGAAATAG >mm10_chrX:18218411-18218422(+)::chrX:18218410-18218422(+) GGGAGGAAGCAA >mm10_chrX:18264590-18264601(-)::chrX:18264589-18264601(-) GGCAGGAAGTTT >mm10_chrX:18284820-18284831(-)::chrX:18284819-18284831(-) AAGAGGAAGAGA >mm10_chrX:18284832-18284843(-)::chrX:18284831-18284843(-) AAAAGGAAGAGA >mm10_chrX:18390021-18390032(+)::chrX:18390020-18390032(+) agaaggaaatcg >mm10_chrX:18390035-18390046(+)::chrX:18390034-18390046(+) agaaggaaatcc >mm10_chrX:19162556-19162567(+)::chrX:19162555-19162567(+) AACAGGAAGTAA >mm10_chrX:19168738-19168749(+)::chrX:19168737-19168749(+) TTCAGGAAGTGG >mm10_chrX:19171998-19172009(+)::chrX:19171997-19172009(+) AAgaggaagagg >mm10_chrX:19172010-19172021(+)::chrX:19172009-19172021(+) aggaggaagagg >mm10_chrX:19172016-19172027(+)::chrX:19172015-19172027(+) aagaggaaggaa >mm10_chrX:19205268-19205279(+)::chrX:19205267-19205279(+) Agaaggaaggaa >mm10_chrX:19205272-19205283(+)::chrX:19205271-19205283(+) ggaaggaaggaa >mm10_chrX:19205276-19205287(+)::chrX:19205275-19205287(+) ggaaggaaggaa >mm10_chrX:19205280-19205291(+)::chrX:19205279-19205291(+) ggaaggaaggaa >mm10_chrX:19205284-19205295(+)::chrX:19205283-19205295(+) ggaaggaaggaa >mm10_chrX:19205288-19205299(+)::chrX:19205287-19205299(+) ggaaggaaggaa >mm10_chrX:19205292-19205303(+)::chrX:19205291-19205303(+) ggaaggaaggaa >mm10_chrX:19205296-19205307(+)::chrX:19205295-19205307(+) ggaaggaaggaa >mm10_chrX:19205300-19205311(+)::chrX:19205299-19205311(+) ggaaggaaggaa >mm10_chrX:19205304-19205315(+)::chrX:19205303-19205315(+) ggaaggaaggaa >mm10_chrX:19205308-19205319(+)::chrX:19205307-19205319(+) ggaaggaaggaa >mm10_chrX:19205312-19205323(+)::chrX:19205311-19205323(+) ggaaggaaggaa >mm10_chrX:19205316-19205327(+)::chrX:19205315-19205327(+) ggaaggaagAAG >mm10_chrX:19206295-19206306(-)::chrX:19206294-19206306(-) GGAAGGATGTAG >mm10_chrX:19206308-19206319(-)::chrX:19206307-19206319(-) GTCAGGAAGAAT >mm10_chrX:19499338-19499349(+)::chrX:19499337-19499349(+) agaaggaagctt >mm10_chrX:19499382-19499393(+)::chrX:19499381-19499393(+) acaaggaaatat >mm10_chrX:19903419-19903430(+)::chrX:19903418-19903430(+) aggaggaagggg >mm10_chrX:19903437-19903448(+)::chrX:19903436-19903448(+) cggaggaagagg >mm10_chrX:19903451-19903462(+)::chrX:19903450-19903462(+) agaaggaaagaa >mm10_chrX:19903459-19903470(+)::chrX:19903458-19903470(+) agaaggaagTAT >mm10_chrX:20162016-20162027(+)::chrX:20162015-20162027(+) GCCAGGAAGTGT >mm10_chrX:20162043-20162054(+)::chrX:20162042-20162054(+) TGCAGGAAGAAG >mm10_chrX:20162050-20162061(+)::chrX:20162049-20162061(+) AGAAGGAAAAGG >mm10_chrX:20407593-20407604(-)::chrX:20407592-20407604(-) AGCAGGAAGTGT >mm10_chrX:20407647-20407658(-)::chrX:20407646-20407658(-) atgaggaaattg >mm10_chrX:20413739-20413750(-)::chrX:20413738-20413750(-) CCAAGGAAGTAG >mm10_chrX:20414922-20414933(-)::chrX:20414921-20414933(-) TGAAGGAAGGAG >mm10_chrX:20425796-20425807(+)::chrX:20425795-20425807(+) GCGAGGAAGGAG >mm10_chrX:20511589-20511600(-)::chrX:20511588-20511600(-) ATAAGGAAGGAC >mm10_chrX:20642038-20642049(+)::chrX:20642037-20642049(+) GGAAAGAAGTGT >mm10_chrX:20642053-20642064(+)::chrX:20642052-20642064(+) GGGAGGAAGGGG >mm10_chrX:20662681-20662692(-)::chrX:20662680-20662692(-) TCAAGGAAGGAC >mm10_chrX:20680367-20680378(-)::chrX:20680366-20680378(-) ATAAGGAAGTTA >mm10_chrX:20680450-20680461(-)::chrX:20680449-20680461(-) TACAGGAAGGAT >mm10_chrX:20723080-20723091(-)::chrX:20723079-20723091(-) acaaggaaatac >mm10_chrX:20723094-20723105(+)::chrX:20723093-20723105(+) gtaaggaagaac >mm10_chrX:20817010-20817021(+)::chrX:20817009-20817021(+) TTCGGGAAGTAG >mm10_chrX:20817037-20817048(-)::chrX:20817036-20817048(-) ACCAGGAAATGA >mm10_chrX:20861635-20861646(+)::chrX:20861634-20861646(+) GGGAGGAAGGGG >mm10_chrX:20869965-20869976(+)::chrX:20869964-20869976(+) AGAAAGAAGTTA >mm10_chrX:20869988-20869999(+)::chrX:20869987-20869999(+) CACAGGAAGTTT >mm10_chrX:21061994-21062005(-)::chrX:21061993-21062005(-) ATGCGGAAGTGC >mm10_chrX:21284786-21284797(+)::chrX:21284785-21284797(+) ACCAGGAAATGT >mm10_chrX:21285318-21285329(-)::chrX:21285317-21285329(-) GAAAGgaagtct >mm10_chrX:21488311-21488322(+)::chrX:21488310-21488322(+) ACAAGGAACTTG >mm10_chrX:21550371-21550382(-)::chrX:21550370-21550382(-) AGGAGGAAATTG >mm10_chrX:21564765-21564776(+)::chrX:21564764-21564776(+) AAGAGGAAGAGG >mm10_chrX:21590937-21590948(-)::chrX:21590936-21590948(-) agcaggaagcag >mm10_chrX:21590944-21590955(-)::chrX:21590943-21590955(-) agcaggaagcag >mm10_chrX:21613471-21613482(-)::chrX:21613470-21613482(-) ATAAGGAAGACT >mm10_chrX:21613484-21613495(-)::chrX:21613483-21613495(-) TGGAGGAAGATA >mm10_chrX:21613893-21613904(+)::chrX:21613892-21613904(+) agaagtaagtca >mm10_chrX:21656679-21656690(+)::chrX:21656678-21656690(+) TACAGGAAGGAG >mm10_chrX:21695917-21695928(-)::chrX:21695916-21695928(-) ACCAGGAAGTGG >mm10_chrX:21708354-21708365(+)::chrX:21708353-21708365(+) AGCAGGAAGGAG >mm10_chrX:22669541-22669552(-)::chrX:22669540-22669552(-) acaaggaagaaa >mm10_chrX:22770110-22770121(-)::chrX:22770109-22770121(-) ATGAGGAAGACA >mm10_chrX:22869073-22869084(+)::chrX:22869072-22869084(+) CAAAGGAAATGA >mm10_chrX:22869089-22869100(+)::chrX:22869088-22869100(+) AAAAGGAAATGA >mm10_chrX:23065640-23065651(+)::chrX:23065639-23065651(+) TGCAGGAAGCTA >mm10_chrX:23209547-23209558(-)::chrX:23209546-23209558(-) ATAAGGAATTTG >mm10_chrX:23368424-23368435(+)::chrX:23368423-23368435(+) ggaaggaaattc >mm10_chrX:23413589-23413600(-)::chrX:23413588-23413600(-) ATAGGGAAGTAC >mm10_chrX:23710182-23710193(-)::chrX:23710181-23710193(-) TAGAGGAAGGTG >mm10_chrX:23754960-23754971(-)::chrX:23754959-23754971(-) acaaggaagcta >mm10_chrX:23972318-23972329(-)::chrX:23972317-23972329(-) acaaggaaacta >mm10_chrX:24003919-24003930(-)::chrX:24003918-24003930(-) TAAAGGAAGTCT >mm10_chrX:36091837-36091848(+)::chrX:36091836-36091848(+) atcaggaagttt >mm10_chrX:36129540-36129551(-)::chrX:36129539-36129551(-) ACCAGGAAATGA >mm10_chrX:36229052-36229063(-)::chrX:36229051-36229063(-) ACCCGGAAGGCG >mm10_chrX:36229436-36229447(+)::chrX:36229435-36229447(+) AGGCGGAAGTTG >mm10_chrX:36229482-36229493(-)::chrX:36229481-36229493(-) GCAAGGAAGTGC >mm10_chrX:36349793-36349804(-)::chrX:36349792-36349804(-) acaaggatgtgg >mm10_chrX:36360125-36360136(+)::chrX:36360124-36360136(+) ATAAGGATGTTG >mm10_chrX:36672850-36672861(+)::chrX:36672849-36672861(+) GGAAGGAAGTGA >mm10_chrX:36689939-36689950(+)::chrX:36689938-36689950(+) acaaggaaggcc >mm10_chrX:36767026-36767037(-)::chrX:36767025-36767037(-) CGGAGGAAGGAG >mm10_chrX:36767053-36767064(+)::chrX:36767052-36767064(+) ACCAGGAAGTAC >mm10_chrX:36799608-36799619(+)::chrX:36799607-36799619(+) CATAGGAAGTAG >mm10_chrX:36803382-36803393(-)::chrX:36803381-36803393(-) ATAAGGAAGTCC >mm10_chrX:36803430-36803441(-)::chrX:36803429-36803441(-) AACAGGAAGTCT >mm10_chrX:36807987-36807998(+)::chrX:36807986-36807998(+) CAGAGGAAGCGG >mm10_chrX:36825237-36825248(-)::chrX:36825236-36825248(-) AGGAGGAAGTCC >mm10_chrX:36837297-36837308(+)::chrX:36837296-36837308(+) agaaggaagtag >mm10_chrX:36839590-36839601(-)::chrX:36839589-36839601(-) agcaggaagagg >mm10_chrX:36839649-36839660(-)::chrX:36839648-36839660(-) atcaggaagtca >mm10_chrX:36920241-36920252(+)::chrX:36920240-36920252(+) AGGAGGAAATTA >mm10_chrX:36922985-36922996(-)::chrX:36922984-36922996(-) ACCAGGAAGAGA >mm10_chrX:36977330-36977341(+)::chrX:36977329-36977341(+) AGTAGGAAGAGC >mm10_chrX:36984631-36984642(+)::chrX:36984630-36984642(+) TCCAGGAAGTTG >mm10_chrX:36984649-36984660(+)::chrX:36984648-36984660(+) AAAATGAAGTCA >mm10_chrX:36984664-36984675(+)::chrX:36984663-36984675(+) AGACGGAAGCAT >mm10_chrX:36993626-36993637(+)::chrX:36993625-36993637(+) ATGAGGAAGTGA >mm10_chrX:37017752-37017763(-)::chrX:37017751-37017763(-) AGGAGGAAGAAT >mm10_chrX:37074693-37074704(+)::chrX:37074692-37074704(+) ACCAGGAAATCA >mm10_chrX:37115690-37115701(+)::chrX:37115689-37115701(+) agaaggaaggag >mm10_chrX:37115729-37115740(+)::chrX:37115728-37115740(+) aggaggaagcag >mm10_chrX:37115736-37115747(+)::chrX:37115735-37115747(+) agcaggaagagg >mm10_chrX:37116273-37116284(+)::chrX:37116272-37116284(+) gacaggaagatg >mm10_chrX:37116306-37116317(+)::chrX:37116305-37116317(+) agaaggaaggag >mm10_chrX:37126776-37126787(-)::chrX:37126775-37126787(-) AACAGGAAGGGA >mm10_chrX:37191200-37191211(+)::chrX:37191199-37191211(+) AGGAGGAAGCGA >mm10_chrX:38190003-38190014(+)::chrX:38190002-38190014(+) ACCAGGAAGCAG >mm10_chrX:38203903-38203914(+)::chrX:38203902-38203914(+) AGGAGGAAGCGA >mm10_chrX:38204174-38204185(-)::chrX:38204173-38204185(-) ggaaggaaggag >mm10_chrX:38204178-38204189(-)::chrX:38204177-38204189(-) ggaaggaaggaa >mm10_chrX:38204182-38204193(-)::chrX:38204181-38204193(-) aggaggaaggaa >mm10_chrX:38207558-38207569(-)::chrX:38207557-38207569(-) AAGAGGAAGTCC >mm10_chrX:38207602-38207613(-)::chrX:38207601-38207613(-) ATAAGGAAGCTC >mm10_chrX:38212055-38212066(-)::chrX:38212054-38212066(-) CCAAGGAAATAC >mm10_chrX:38222977-38222988(+)::chrX:38222976-38222988(+) GACAGGAAGAGA >mm10_chrX:38431238-38431249(+)::chrX:38431237-38431249(+) AGAGGGAAGTTT >mm10_chrX:38480571-38480582(+)::chrX:38480570-38480582(+) ATGAGGAAATGG >mm10_chrX:38532361-38532372(-)::chrX:38532360-38532372(-) TGGAGGAAATGG >mm10_chrX:38532384-38532395(+)::chrX:38532383-38532395(+) ACCAGGAAGCAA >mm10_chrX:38532410-38532421(+)::chrX:38532409-38532421(+) GTGAGGAAGAAA >mm10_chrX:38566878-38566889(+)::chrX:38566877-38566889(+) ACCAGGAAGAAG >mm10_chrX:38575278-38575289(+)::chrX:38575277-38575289(+) GACAGGAAGGAG >mm10_chrX:38575338-38575349(+)::chrX:38575337-38575349(+) agaaggaagttt >mm10_chrX:38600494-38600505(-)::chrX:38600493-38600505(-) AGAAGGAAGAAG >mm10_chrX:38601205-38601216(+)::chrX:38601204-38601216(+) GACAGGAAGCAA >mm10_chrX:38645660-38645671(-)::chrX:38645659-38645671(-) TGAAGGAAAATA >mm10_chrX:38645688-38645699(-)::chrX:38645687-38645699(-) ACAAGGAAGTAG >mm10_chrX:40402499-40402510(-)::chrX:40402498-40402510(-) aataggaagcag >mm10_chrX:41500016-41500027(-)::chrX:41500015-41500027(-) TTCAGGAAGTAC >mm10_chrX:41871346-41871357(+)::chrX:41871345-41871357(+) ATAAGGAAGAAT >mm10_chrX:41871353-41871364(+)::chrX:41871352-41871364(+) AGAATGAAGTAA >mm10_chrX:41912004-41912015(+)::chrX:41912003-41912015(+) AAACGGAAGTCC >mm10_chrX:41922402-41922413(+)::chrX:41922401-41922413(+) ggaaggaagatt >mm10_chrX:41929849-41929860(-)::chrX:41929848-41929860(-) tccaggaagttc >mm10_chrX:41941970-41941981(+)::chrX:41941969-41941981(+) agaaggaagcag >mm10_chrX:42011544-42011555(+)::chrX:42011543-42011555(+) ACaaggaagata >mm10_chrX:42011552-42011563(+)::chrX:42011551-42011563(+) gataggaaggaa >mm10_chrX:42011556-42011567(+)::chrX:42011555-42011567(+) ggaaggaagaag >mm10_chrX:42011563-42011574(+)::chrX:42011562-42011574(+) agaaggaagggg >mm10_chrX:42011572-42011583(+)::chrX:42011571-42011583(+) gggaggaaggaa >mm10_chrX:42011576-42011587(+)::chrX:42011575-42011587(+) ggaaggaagatc >mm10_chrX:42018215-42018226(+)::chrX:42018214-42018226(+) AGTAGGAAGTTC >mm10_chrX:42057196-42057207(-)::chrX:42057195-42057207(-) ggaaggaagaat >mm10_chrX:42057226-42057237(-)::chrX:42057225-42057237(-) ggaaggaagtta >mm10_chrX:42068303-42068314(+)::chrX:42068302-42068314(+) GGGAGGAAGGAA >mm10_chrX:42068307-42068318(+)::chrX:42068306-42068318(+) GGAAGGAAGGGA >mm10_chrX:42068334-42068345(-)::chrX:42068333-42068345(-) AAACGGAAGTCA >mm10_chrX:42068340-42068351(-)::chrX:42068339-42068351(-) AGAAGGAAACGG >mm10_chrX:42068633-42068644(-)::chrX:42068632-42068644(-) agaaggaaggag >mm10_chrX:42165650-42165661(+)::chrX:42165649-42165661(+) TTGAGGAAGTGG >mm10_chrX:42335019-42335030(+)::chrX:42335018-42335030(+) GAAAGGAAGAGA >mm10_chrX:42335046-42335057(+)::chrX:42335045-42335057(+) ACAAGGAACTTG >mm10_chrX:42360625-42360636(+)::chrX:42360624-42360636(+) TAAAGGAAGACC >mm10_chrX:42374002-42374013(-)::chrX:42374001-42374013(-) gaaaggaaaacg >mm10_chrX:42491220-42491231(-)::chrX:42491219-42491231(-) ACAAGGAAGCTG >mm10_chrX:42491250-42491261(+)::chrX:42491249-42491261(+) TCAAGGAAGAAG >mm10_chrX:42540428-42540439(-)::chrX:42540427-42540439(-) TAGAGGAAGCAA >mm10_chrX:42700427-42700438(+)::chrX:42700426-42700438(+) GGCAGGAAGTAG >mm10_chrX:42811151-42811162(-)::chrX:42811150-42811162(-) GGAAGGAAGTGG >mm10_chrX:42811155-42811166(-)::chrX:42811154-42811166(-) GAAAGGAAGGAA >mm10_chrX:44834652-44834663(+)::chrX:44834651-44834663(+) aaaaggaggtac >mm10_chrX:46092589-46092600(-)::chrX:46092588-46092600(-) taaaggaaggaa >mm10_chrX:46141603-46141614(-)::chrX:46141602-46141614(-) accaggaagttt >mm10_chrX:46192324-46192335(+)::chrX:46192323-46192335(+) agcaggaagaat >mm10_chrX:47294251-47294262(+)::chrX:47294250-47294262(+) ACAAGGAAGCAG >mm10_chrX:47294317-47294328(-)::chrX:47294316-47294328(-) AAGAGGAAGGTA >mm10_chrX:47478501-47478512(-)::chrX:47478500-47478512(-) ttagggaagtcc >mm10_chrX:47913285-47913296(-)::chrX:47913284-47913296(-) tgaaggaactaa >mm10_chrX:48006218-48006229(-)::chrX:48006217-48006229(-) AGGAGGAAGGCG >mm10_chrX:48022924-48022935(-)::chrX:48022923-48022935(-) AAAAGGAAGGCC >mm10_chrX:48033210-48033221(-)::chrX:48033209-48033221(-) TAGAGGAAGGGC >mm10_chrX:48079301-48079312(+)::chrX:48079300-48079312(+) ACCAGGAAGTAT >mm10_chrX:48080381-48080392(+)::chrX:48080380-48080392(+) ACCAGGAAGGGA >mm10_chrX:48080800-48080811(-)::chrX:48080799-48080811(-) taaaggaagcac >mm10_chrX:48105174-48105185(+)::chrX:48105173-48105185(+) aaaaggaagaag >mm10_chrX:48105465-48105476(+)::chrX:48105464-48105476(+) ACAAGGAAGCAT >mm10_chrX:48105517-48105528(+)::chrX:48105516-48105528(+) accaggaagtct >mm10_chrX:48138782-48138793(-)::chrX:48138781-48138793(-) ATCAGGAAGTCT >mm10_chrX:48138845-48138856(+)::chrX:48138844-48138856(+) ATCAGGAAGCTA >mm10_chrX:48204651-48204662(-)::chrX:48204650-48204662(-) AGAAGGAAGTAA >mm10_chrX:48234658-48234669(-)::chrX:48234657-48234669(-) TTCAGGAAGTAG >mm10_chrX:48234720-48234731(-)::chrX:48234719-48234731(-) AACCGGAAGTTC >mm10_chrX:48274835-48274846(+)::chrX:48274834-48274846(+) ACAAGGAACTGA >mm10_chrX:48274874-48274885(+)::chrX:48274873-48274885(+) GTGAGGAAGAGG >mm10_chrX:48281008-48281019(+)::chrX:48281007-48281019(+) GAAAGGAAGCCT >mm10_chrX:48314866-48314877(+)::chrX:48314865-48314877(+) AGAAGGAAGCGG >mm10_chrX:48359311-48359322(+)::chrX:48359310-48359322(+) ccagggaagtga >mm10_chrX:48359325-48359336(+)::chrX:48359324-48359336(+) aggaggaagaga >mm10_chrX:48359364-48359375(+)::chrX:48359363-48359375(+) GGCAGGAAGGGA >mm10_chrX:48513367-48513378(-)::chrX:48513366-48513378(-) AAAAGGAAGGAG >mm10_chrX:48594412-48594423(+)::chrX:48594411-48594423(+) gggaggaagaga >mm10_chrX:48594424-48594435(+)::chrX:48594423-48594435(+) agaaggaaggac >mm10_chrX:48596315-48596326(-)::chrX:48596314-48596326(-) ATCAGGAAGATC >mm10_chrX:48611343-48611354(+)::chrX:48611342-48611354(+) ccagggaagtaa >mm10_chrX:48611411-48611422(-)::chrX:48611410-48611422(-) gacaggaaggac >mm10_chrX:48623732-48623743(-)::chrX:48623731-48623743(-) CGACGGAAGTAA >mm10_chrX:48694984-48694995(-)::chrX:48694983-48694995(-) CGCCGGAAGTTC >mm10_chrX:48726716-48726727(+)::chrX:48726715-48726727(+) aaGAGGAAGTCC >mm10_chrX:48853126-48853137(+)::chrX:48853125-48853137(+) ACACGGAAGATC >mm10_chrX:48957584-48957595(-)::chrX:48957583-48957595(-) TAAAGGAAGCAC >mm10_chrX:48957615-48957626(-)::chrX:48957614-48957626(-) AGGAGGAAGTGA >mm10_chrX:49026298-49026309(-)::chrX:49026297-49026309(-) agcaggaagaga >mm10_chrX:49072923-49072934(-)::chrX:49072922-49072934(-) TTAATGAAGTGA >mm10_chrX:49112713-49112724(+)::chrX:49112712-49112724(+) ATCAGGAAGCAT >mm10_chrX:49281553-49281564(+)::chrX:49281552-49281564(+) CTAAGGAAGAAA >mm10_chrX:49281566-49281577(+)::chrX:49281565-49281577(+) AATAGGAAGACA >mm10_chrX:50573714-50573725(+)::chrX:50573713-50573725(+) TGAAGGAAGAGG >mm10_chrX:50573720-50573731(+)::chrX:50573719-50573731(+) AAGAGGAAGAAG >mm10_chrX:50573761-50573772(+)::chrX:50573760-50573772(+) AGAAGGAAGGAA >mm10_chrX:50573765-50573776(+)::chrX:50573764-50573776(+) GGAAGGAAGGAA >mm10_chrX:50573769-50573780(+)::chrX:50573768-50573780(+) GGAAGGAAGGAC >mm10_chrX:50591757-50591768(-)::chrX:50591756-50591768(-) AGGAGGAAGGCA >mm10_chrX:50714593-50714604(-)::chrX:50714592-50714604(-) tccaggaagtgt >mm10_chrX:50725094-50725105(+)::chrX:50725093-50725105(+) aaaaggaagaag >mm10_chrX:50725109-50725120(+)::chrX:50725108-50725120(+) aggaggaagaag >mm10_chrX:50917039-50917050(-)::chrX:50917038-50917050(-) AGGAGGAAGACA >mm10_chrX:50917071-50917082(-)::chrX:50917070-50917082(-) ACAAGGAAGTTG >mm10_chrX:50972434-50972445(+)::chrX:50972433-50972445(+) ACAAGGAAATTG >mm10_chrX:50991678-50991689(+)::chrX:50991677-50991689(+) taaaggaagaaa >mm10_chrX:50995567-50995578(-)::chrX:50995566-50995578(-) CTAAGGAAGGGC >mm10_chrX:51019841-51019852(-)::chrX:51019840-51019852(-) ATAAGGAAATGT >mm10_chrX:51023280-51023291(-)::chrX:51023279-51023291(-) TGAAGTAAGTTA >mm10_chrX:51140471-51140482(+)::chrX:51140470-51140482(+) AAGAGGAAGAGG >mm10_chrX:51140477-51140488(+)::chrX:51140476-51140488(+) AAGAGGAAGAAG >mm10_chrX:51140484-51140495(+)::chrX:51140483-51140495(+) AGAAGGAAAAAA >mm10_chrX:51148678-51148689(+)::chrX:51148677-51148689(+) CTCAGGAAGTAG >mm10_chrX:51148685-51148696(+)::chrX:51148684-51148696(+) AGTAGGAAGGTA >mm10_chrX:51204465-51204476(+)::chrX:51204464-51204476(+) gagaggaaggaa >mm10_chrX:51207208-51207219(-)::chrX:51207207-51207219(-) ACCAGGAAGTCC >mm10_chrX:51232983-51232994(+)::chrX:51232982-51232994(+) AGGAGGAAGCAA >mm10_chrX:51278462-51278473(+)::chrX:51278461-51278473(+) TCAAGGAAGCCT >mm10_chrX:51286607-51286618(-)::chrX:51286606-51286618(-) GTAAGGAAGCAG >mm10_chrX:51286621-51286632(-)::chrX:51286620-51286632(-) AGGAGGAAGGGG >mm10_chrX:51286634-51286645(-)::chrX:51286633-51286645(-) AGAAGGAAATGA >mm10_chrX:51286641-51286652(-)::chrX:51286640-51286652(-) ATGAGGAAGAAG >mm10_chrX:51375458-51375469(+)::chrX:51375457-51375469(+) gtccggaagtat >mm10_chrX:51548423-51548434(+)::chrX:51548422-51548434(+) Caaaggaagagg >mm10_chrX:51548429-51548440(+)::chrX:51548428-51548440(+) aagaggaagagg >mm10_chrX:51548435-51548446(+)::chrX:51548434-51548446(+) aagaggaagagg >mm10_chrX:51548441-51548452(+)::chrX:51548440-51548452(+) aagaggaagagg >mm10_chrX:51548447-51548458(+)::chrX:51548446-51548458(+) aagaggaagagg >mm10_chrX:51548453-51548464(+)::chrX:51548452-51548464(+) aagaggaagagg >mm10_chrX:51548459-51548470(+)::chrX:51548458-51548470(+) aagaggaagagg >mm10_chrX:51548465-51548476(+)::chrX:51548464-51548476(+) aagaggaagagg >mm10_chrX:51548471-51548482(+)::chrX:51548470-51548482(+) aagaggaagagg >mm10_chrX:51622634-51622645(-)::chrX:51622633-51622645(-) ATAAGGAACTCT >mm10_chrX:51781507-51781518(-)::chrX:51781506-51781518(-) AACAggaaggat >mm10_chrX:52000026-52000037(+)::chrX:52000025-52000037(+) GTAAGGAAGCCA >mm10_chrX:52042089-52042100(+)::chrX:52042088-52042100(+) GTGAGGAAGAGA >mm10_chrX:52073469-52073480(+)::chrX:52073468-52073480(+) TGCAGGAAATGT >mm10_chrX:52073490-52073501(-)::chrX:52073489-52073501(-) ACAGGGAAGTTT >mm10_chrX:52085027-52085038(-)::chrX:52085026-52085038(-) ATCAGGAAGAAG >mm10_chrX:52101833-52101844(+)::chrX:52101832-52101844(+) AAAAGGAAGAGA >mm10_chrX:52128918-52128929(-)::chrX:52128917-52128929(-) AGAAGGAAGTTT >mm10_chrX:52261764-52261775(-)::chrX:52261763-52261775(-) AAAAGAAAGTGA >mm10_chrX:52261806-52261817(+)::chrX:52261805-52261817(+) AGCAGGAAGGCC >mm10_chrX:52318971-52318982(+)::chrX:52318970-52318982(+) ataaggaaggag >mm10_chrX:52318975-52318986(+)::chrX:52318974-52318986(+) ggaaggaggtgg >mm10_chrX:52318995-52319006(+)::chrX:52318994-52319006(+) ggaaagaagtta >mm10_chrX:52324323-52324334(-)::chrX:52324322-52324334(-) AGCAGGAAGAGA >mm10_chrX:52453527-52453538(-)::chrX:52453526-52453538(-) GGAAGGAAGCAG >mm10_chrX:52784142-52784153(+)::chrX:52784141-52784153(+) AAGAGGAAGAAG >mm10_chrX:52784183-52784194(+)::chrX:52784182-52784194(+) CAAAGGAAATAA >mm10_chrX:52888275-52888286(+)::chrX:52888274-52888286(+) ACAGGGAAATAC >mm10_chrX:53006201-53006212(-)::chrX:53006200-53006212(-) ATAAGGAATAAA >mm10_chrX:53006226-53006237(+)::chrX:53006225-53006237(+) AAGAGGAAGTAC >mm10_chrX:53053773-53053784(+)::chrX:53053772-53053784(+) ACCAGGAAGTGC >mm10_chrX:53057355-53057366(+)::chrX:53057354-53057366(+) GCCAGGAAGGGC >mm10_chrX:53108692-53108703(+)::chrX:53108691-53108703(+) ACAAGGAAATGT >mm10_chrX:53110777-53110788(+)::chrX:53110776-53110788(+) ACAGGGAAGTAA >mm10_chrX:53117967-53117978(-)::chrX:53117966-53117978(-) AGAAGGAAGAGA >mm10_chrX:53118220-53118231(+)::chrX:53118219-53118231(+) AGAAGGAAATGA >mm10_chrX:53118230-53118241(-)::chrX:53118229-53118241(-) AAGAGGAAGTTC >mm10_chrX:53133529-53133540(-)::chrX:53133528-53133540(-) atgaggaaattg >mm10_chrX:53148402-53148413(+)::chrX:53148401-53148413(+) AGAAGGAACTTG >mm10_chrX:53166564-53166575(+)::chrX:53166563-53166575(+) AGAGGGAAGTGC >mm10_chrX:53207359-53207370(+)::chrX:53207358-53207370(+) AAAAGGAAATGC >mm10_chrX:53210430-53210441(+)::chrX:53210429-53210441(+) AGCAGGAAGTGG >mm10_chrX:53269138-53269149(+)::chrX:53269137-53269149(+) ATGAGGAAGGAT >mm10_chrX:53269142-53269153(+)::chrX:53269141-53269153(+) GGAAGGATGTGG >mm10_chrX:53320760-53320771(+)::chrX:53320759-53320771(+) ATGAGGAAGTGA >mm10_chrX:53320786-53320797(-)::chrX:53320785-53320797(-) ACAAGGAACTCA >mm10_chrX:53321275-53321286(-)::chrX:53321274-53321286(-) GTCAGGAAGTTG >mm10_chrX:53325751-53325762(+)::chrX:53325750-53325762(+) AGAGGGAAGTAT >mm10_chrX:53343612-53343623(-)::chrX:53343611-53343623(-) CGAAGGAAGAGG >mm10_chrX:53343676-53343687(-)::chrX:53343675-53343687(-) AGGAGGAAGTGA >mm10_chrX:53370574-53370585(+)::chrX:53370573-53370585(+) AAGAGGAAGGAA >mm10_chrX:53626853-53626864(-)::chrX:53626852-53626864(-) agcaggaattat >mm10_chrX:56456079-56456090(-)::chrX:56456078-56456090(-) GGCaggaagagg >mm10_chrX:56542655-56542666(-)::chrX:56542654-56542666(-) ACAAGGAACTAT >mm10_chrX:56542676-56542687(+)::chrX:56542675-56542687(+) ATAAGGAAAACA >mm10_chrX:56581445-56581456(-)::chrX:56581444-56581456(-) AAGAGGAAGAGG >mm10_chrX:56607584-56607595(+)::chrX:56607583-56607595(+) ACCAGGAAGTGG >mm10_chrX:56607609-56607620(+)::chrX:56607608-56607620(+) ATAAGGAAAAGA >mm10_chrX:56607665-56607676(+)::chrX:56607664-56607676(+) CGGAGGAAGCAA >mm10_chrX:56683650-56683661(+)::chrX:56683649-56683661(+) agaaggaagaag >mm10_chrX:56716735-56716746(-)::chrX:56716734-56716746(-) taaagaaagtag >mm10_chrX:56729675-56729686(+)::chrX:56729674-56729686(+) tgaaggaagaac >mm10_chrX:56729733-56729744(-)::chrX:56729732-56729744(-) acaaggaagtcc >mm10_chrX:56891662-56891673(+)::chrX:56891661-56891673(+) GGGAGGAAGAAA >mm10_chrX:56891670-56891681(+)::chrX:56891669-56891681(+) GAAAGGAAGGAG >mm10_chrX:56952580-56952591(-)::chrX:56952579-56952591(-) AAAAGGAAATAG >mm10_chrX:57253564-57253575(+)::chrX:57253563-57253575(+) ACACGGAAGGAG >mm10_chrX:57299113-57299124(+)::chrX:57299112-57299124(+) AACGGGAAGTCG >mm10_chrX:57305206-57305217(-)::chrX:57305205-57305217(-) AACAGGAAGTGA >mm10_chrX:57378893-57378904(-)::chrX:57378892-57378904(-) ACAGGGAAGTAG >mm10_chrX:57406667-57406678(+)::chrX:57406666-57406678(+) AAGaggaaggta >mm10_chrX:57406735-57406746(-)::chrX:57406734-57406746(-) accaggaagtcg >mm10_chrX:57726680-57726691(+)::chrX:57726679-57726691(+) gtacggaagtac >mm10_chrX:57877769-57877780(+)::chrX:57877768-57877780(+) TAGAGGAAGCAT >mm10_chrX:57935805-57935816(+)::chrX:57935804-57935816(+) GAGAGGAAGTGA >mm10_chrX:57935822-57935833(+)::chrX:57935821-57935833(+) ACAAGGAAAGAA >mm10_chrX:58013569-58013580(+)::chrX:58013568-58013580(+) TAGAGGAAGAGC >mm10_chrX:58013633-58013644(-)::chrX:58013632-58013644(-) TAAAGGAAATCC >mm10_chrX:58048436-58048447(-)::chrX:58048435-58048447(-) ACGAGGAAGCTC >mm10_chrX:58125409-58125420(-)::chrX:58125408-58125420(-) gtgaggaagtct >mm10_chrX:58125421-58125432(-)::chrX:58125420-58125432(-) ctgaggaagtag >mm10_chrX:58285427-58285438(-)::chrX:58285426-58285438(-) AGCAGGAAGGGC >mm10_chrX:59479210-59479221(+)::chrX:59479209-59479221(+) CAAAGGAAATAA >mm10_chrX:59551801-59551812(-)::chrX:59551800-59551812(-) AAAAGGAAGTAA >mm10_chrX:59556893-59556904(+)::chrX:59556892-59556904(+) AGCAGGAAGGCC >mm10_chrX:59600069-59600080(+)::chrX:59600068-59600080(+) agcaggaactat >mm10_chrX:60384208-60384219(-)::chrX:60384207-60384219(-) AGGAGGAAGAAT >mm10_chrX:60384227-60384238(+)::chrX:60384226-60384238(+) ACCAGGAAGTTG >mm10_chrX:60385883-60385894(+)::chrX:60385882-60385894(+) GTAAGGAAATAT >mm10_chrX:61426495-61426506(-)::chrX:61426494-61426506(-) ggaaggaaggca >mm10_chrX:61677893-61677904(-)::chrX:61677892-61677904(-) AACAGGAAGGAG >mm10_chrX:61677934-61677945(+)::chrX:61677933-61677945(+) TTAAGGAAGTTT >mm10_chrX:61694592-61694603(-)::chrX:61694591-61694603(-) agaaggaagaag >mm10_chrX:61694616-61694627(-)::chrX:61694615-61694627(-) gggaggaagagg >mm10_chrX:61694639-61694650(-)::chrX:61694638-61694650(-) aggaggaagaag >mm10_chrX:61694672-61694683(-)::chrX:61694671-61694683(-) aagaggaagaga >mm10_chrX:62218939-62218950(+)::chrX:62218938-62218950(+) ACAAGGAAGACA >mm10_chrX:64054771-64054782(-)::chrX:64054770-64054782(-) ATCAGGAAGGTA >mm10_chrX:64195296-64195307(+)::chrX:64195295-64195307(+) AGAAGGAAGCAT >mm10_chrX:65779943-65779954(+)::chrX:65779942-65779954(+) ggaaggaacttg >mm10_chrX:66240299-66240310(-)::chrX:66240298-66240310(-) AGAAGGAAGATT >mm10_chrX:66323030-66323041(+)::chrX:66323029-66323041(+) ATGAGGAAATAC >mm10_chrX:66323050-66323061(+)::chrX:66323049-66323061(+) GTAGGGAAGTTG >mm10_chrX:66527005-66527016(+)::chrX:66527004-66527016(+) TAAAGGAAGGAT >mm10_chrX:66554298-66554309(-)::chrX:66554297-66554309(-) cttaggaagtaa >mm10_chrX:66860741-66860752(+)::chrX:66860740-66860752(+) AAAAGAAAGTGA >mm10_chrX:66860816-66860827(+)::chrX:66860815-66860827(+) AGAGGGAAGAAA >mm10_chrX:66988786-66988797(-)::chrX:66988785-66988797(-) AGCAGGAAGCAG >mm10_chrX:66988806-66988817(+)::chrX:66988805-66988817(+) ATGAGGAAGTCC >mm10_chrX:68495999-68496010(-)::chrX:68495998-68496010(-) gaaaggaAGTTA >mm10_chrX:68742758-68742769(-)::chrX:68742757-68742769(-) AAAAGGAAAACG >mm10_chrX:68812715-68812726(-)::chrX:68812714-68812726(-) TAAAGGAATTAC >mm10_chrX:69435280-69435291(-)::chrX:69435279-69435291(-) AGTAGGAAGGAA >mm10_chrX:69435287-69435298(-)::chrX:69435286-69435298(-) TCCAGGAAGTAG >mm10_chrX:69550852-69550863(+)::chrX:69550851-69550863(+) ACCAGGAAGTTT >mm10_chrX:69891180-69891191(-)::chrX:69891179-69891191(-) GGAAGGAACTTA >mm10_chrX:69891184-69891195(-)::chrX:69891183-69891195(-) GGGAGGAAGGAA >mm10_chrX:69894576-69894587(+)::chrX:69894575-69894587(+) gaaaggaagtct >mm10_chrX:70173069-70173080(+)::chrX:70173068-70173080(+) AATAGGAAATGG >mm10_chrX:70173362-70173373(+)::chrX:70173361-70173373(+) AGGAGGAAATCA >mm10_chrX:70173374-70173385(+)::chrX:70173373-70173385(+) CAAAGGAAGGGG >mm10_chrX:70173380-70173391(+)::chrX:70173379-70173391(+) AAGGGGAAGTAA >mm10_chrX:70197373-70197384(-)::chrX:70197372-70197384(-) ataaggaagtca >mm10_chrX:70330824-70330835(-)::chrX:70330823-70330835(-) ACAGGGAAGTGC >mm10_chrX:70331312-70331323(+)::chrX:70331311-70331323(+) AAAAGGAAATGA >mm10_chrX:70568416-70568427(-)::chrX:70568415-70568427(-) TAAAGGAAGTAG >mm10_chrX:70568428-70568439(-)::chrX:70568427-70568439(-) TAAAGGAAGCCT >mm10_chrX:70630581-70630592(+)::chrX:70630580-70630592(+) TAGAGGAAGTAA >mm10_chrX:70767889-70767900(+)::chrX:70767888-70767900(+) gaaatgaagtga >mm10_chrX:70773565-70773576(-)::chrX:70773564-70773576(-) AGGAGGAAGCTA >mm10_chrX:70773611-70773622(-)::chrX:70773610-70773622(-) ATCAGGAAGAAC >mm10_chrX:71009218-71009229(+)::chrX:71009217-71009229(+) TAAAGGAAGAAG >mm10_chrX:71009225-71009236(+)::chrX:71009224-71009236(+) AGAAGGAAGCCT >mm10_chrX:71009255-71009266(+)::chrX:71009254-71009266(+) ACAAGGCAGTGC >mm10_chrX:71039749-71039760(-)::chrX:71039748-71039760(-) AAGAGGAAGTGT >mm10_chrX:71053922-71053933(+)::chrX:71053921-71053933(+) ACAAGGAAATTC >mm10_chrX:71063418-71063429(+)::chrX:71063417-71063429(+) gggaggaagaca >mm10_chrX:71063458-71063469(-)::chrX:71063457-71063469(-) aaaaggaagagc >mm10_chrX:71087880-71087891(-)::chrX:71087879-71087891(-) ATAAGGAAGAGG >mm10_chrX:71159273-71159284(-)::chrX:71159272-71159284(-) AAAGGGAAGTAA >mm10_chrX:71159309-71159320(-)::chrX:71159308-71159320(-) AAGAGGAAGAGC >mm10_chrX:71159315-71159326(-)::chrX:71159314-71159326(-) AGGAGGAAGAGG >mm10_chrX:71259915-71259926(-)::chrX:71259914-71259926(-) ACCAGGAAATGC >mm10_chrX:71438384-71438395(+)::chrX:71438383-71438395(+) agaaggaagagg >mm10_chrX:71438390-71438401(+)::chrX:71438389-71438401(+) aagaggaagggg >mm10_chrX:71438411-71438422(+)::chrX:71438410-71438422(+) agcaggaagaTG >mm10_chrX:71444198-71444209(+)::chrX:71444197-71444209(+) AAGAGGAAGAAG >mm10_chrX:71444894-71444905(+)::chrX:71444893-71444905(+) tcaaggaaacgt >mm10_chrX:71543477-71543488(+)::chrX:71543476-71543488(+) agcaggaaggta >mm10_chrX:71597408-71597419(-)::chrX:71597407-71597419(-) aagaggaagagg >mm10_chrX:71597414-71597425(-)::chrX:71597413-71597425(-) ggcaggaagagg >mm10_chrX:71799126-71799137(-)::chrX:71799125-71799137(-) CTAAGGAAGTCG >mm10_chrX:71817116-71817127(-)::chrX:71817115-71817127(-) GCAAGGAAGCGT >mm10_chrX:71966631-71966642(+)::chrX:71966630-71966642(+) TCAAGGAAGTAT >mm10_chrX:71976942-71976953(+)::chrX:71976941-71976953(+) accaggaagaac >mm10_chrX:71976983-71976994(+)::chrX:71976982-71976994(+) tcagggaagtga >mm10_chrX:71980607-71980618(+)::chrX:71980606-71980618(+) ataaggaaatag >mm10_chrX:71984551-71984562(-)::chrX:71984550-71984562(-) aggaggaAATAA >mm10_chrX:71984560-71984571(-)::chrX:71984559-71984571(-) ggaaggaagagg >mm10_chrX:71984564-71984575(-)::chrX:71984563-71984575(-) aggaggaaggaa >mm10_chrX:71984604-71984615(-)::chrX:71984603-71984615(-) aagaggaagaga >mm10_chrX:72095754-72095765(-)::chrX:72095753-72095765(-) AAAAGGAAACCG >mm10_chrX:72231288-72231299(+)::chrX:72231287-72231299(+) CCAAGGAAGATG >mm10_chrX:72813055-72813066(+)::chrX:72813054-72813066(+) agaaggaaaatg >mm10_chrX:73053968-73053979(-)::chrX:73053967-73053979(-) TGCAGGAAATGG >mm10_chrX:73228281-73228292(+)::chrX:73228280-73228292(+) AACCGGAAGTAA >mm10_chrX:73392925-73392936(+)::chrX:73392924-73392936(+) ATAAGGAAGTTG >mm10_chrX:73577042-73577053(-)::chrX:73577041-73577053(-) GGGAGGAAGTTC >mm10_chrX:73578011-73578022(-)::chrX:73578010-73578022(-) TACAGGAAGGTG >mm10_chrX:73616119-73616130(+)::chrX:73616118-73616130(+) AATAGGAAGTTG >mm10_chrX:73651017-73651028(+)::chrX:73651016-73651028(+) agcaggaagctc >mm10_chrX:73685141-73685152(-)::chrX:73685140-73685152(-) TCCAGGAAGTGT >mm10_chrX:73685876-73685887(+)::chrX:73685875-73685887(+) ACCAGGAAGTCC >mm10_chrX:73691293-73691304(-)::chrX:73691292-73691304(-) ACCAGGAAGATT >mm10_chrX:73716312-73716323(+)::chrX:73716311-73716323(+) GAAAGGAAGTAG >mm10_chrX:73765437-73765448(+)::chrX:73765436-73765448(+) AGCAGGAAGTAC >mm10_chrX:73765463-73765474(+)::chrX:73765462-73765474(+) AGAAGGAAGTCA >mm10_chrX:73782324-73782335(+)::chrX:73782323-73782335(+) GAAAGGAAGAAG >mm10_chrX:73782373-73782384(+)::chrX:73782372-73782384(+) ATAAGGAACTGG >mm10_chrX:73787172-73787183(-)::chrX:73787171-73787183(-) ACGAGGAAGCGC >mm10_chrX:73891662-73891673(-)::chrX:73891661-73891673(-) ACCAGGAAGTAA >mm10_chrX:73891677-73891688(+)::chrX:73891676-73891688(+) TGGAGGAAGGAG >mm10_chrX:73962930-73962941(-)::chrX:73962929-73962941(-) ataaggaaattg >mm10_chrX:73962943-73962954(+)::chrX:73962942-73962954(+) agtaggaagtcc >mm10_chrX:74028333-74028344(+)::chrX:74028332-74028344(+) ACAAGGAAAGGG >mm10_chrX:74028344-74028355(+)::chrX:74028343-74028355(+) GCAAGGAAGGAA >mm10_chrX:74028348-74028359(+)::chrX:74028347-74028359(+) GGAAGGAAGAGG >mm10_chrX:74028354-74028365(+)::chrX:74028353-74028365(+) AAGAGGAAGGAG >mm10_chrX:74051520-74051531(+)::chrX:74051519-74051531(+) AAAGGGAAGTGG >mm10_chrX:74137782-74137793(+)::chrX:74137781-74137793(+) AGCAGGAAGGGT >mm10_chrX:74138353-74138364(+)::chrX:74138352-74138364(+) AGGAGGAAGCAG >mm10_chrX:74138405-74138416(-)::chrX:74138404-74138416(-) ACACGGAAGAAG >mm10_chrX:74274326-74274337(-)::chrX:74274325-74274337(-) ACAAGGAAATAG >mm10_chrX:74299908-74299919(-)::chrX:74299907-74299919(-) AGAGGGAAGTAG >mm10_chrX:74299951-74299962(-)::chrX:74299950-74299962(-) AACAGGAAGAGG >mm10_chrX:74315501-74315512(+)::chrX:74315500-74315512(+) Tggaggaagagg >mm10_chrX:74315528-74315539(+)::chrX:74315527-74315539(+) aggaggaagaga >mm10_chrX:74429200-74429211(-)::chrX:74429199-74429211(-) TAACGGAAGTGG >mm10_chrX:74437412-74437423(-)::chrX:74437411-74437423(-) ACAAGGAAGCCC >mm10_chrX:74998457-74998468(-)::chrX:74998456-74998468(-) TTAAGGAAGCAA >mm10_chrX:74998478-74998489(-)::chrX:74998477-74998489(-) AAAGGGAAGTGA >mm10_chrX:75000205-75000216(-)::chrX:75000204-75000216(-) AAAAGGAAGTTG >mm10_chrX:75080144-75080155(+)::chrX:75080143-75080155(+) ctaaggatgtat >mm10_chrX:75127000-75127011(+)::chrX:75126999-75127011(+) ATGAGGAAGTGT >mm10_chrX:75405137-75405148(-)::chrX:75405136-75405148(-) CAAAGGAAGATC >mm10_chrX:75607447-75607458(-)::chrX:75607446-75607458(-) TTAAGGAAGACA >mm10_chrX:75673044-75673055(+)::chrX:75673043-75673055(+) GAAAGGAAGGAA >mm10_chrX:75673048-75673059(+)::chrX:75673047-75673059(+) GGAAGGAAGGAA >mm10_chrX:75673052-75673063(+)::chrX:75673051-75673063(+) GGAAGGAAGGAA >mm10_chrX:75673056-75673067(+)::chrX:75673055-75673067(+) GGAAGGAAGTGA >mm10_chrX:75674215-75674226(-)::chrX:75674214-75674226(-) GACAGGAAGTGA >mm10_chrX:75674237-75674248(-)::chrX:75674236-75674248(-) AACAGGAAGTGC >mm10_chrX:75843030-75843041(-)::chrX:75843029-75843041(-) AGCAGGAAGTGT >mm10_chrX:75843087-75843098(-)::chrX:75843086-75843098(-) GGCAGGAAGGAG >mm10_chrX:76094645-76094656(-)::chrX:76094644-76094656(-) AAAAGGAAGAGG >mm10_chrX:76094657-76094668(+)::chrX:76094656-76094668(+) GTAAGGAAGGAA >mm10_chrX:76094661-76094672(+)::chrX:76094660-76094672(+) GGAAGGAAGACT >mm10_chrX:76101631-76101642(+)::chrX:76101630-76101642(+) ATAAAGAAGTAT >mm10_chrX:76172333-76172344(+)::chrX:76172332-76172344(+) TTAAGGAAATTA >mm10_chrX:76172382-76172393(-)::chrX:76172381-76172393(-) AGGAGGAAGGGA >mm10_chrX:76701182-76701193(+)::chrX:76701181-76701193(+) taaaggatgtca >mm10_chrX:76701195-76701206(+)::chrX:76701194-76701206(+) gacaggaagtca >mm10_chrX:77222112-77222123(-)::chrX:77222111-77222123(-) AACAGGAAGATG >mm10_chrX:77236941-77236952(+)::chrX:77236940-77236952(+) ACTAGGAAGTTA >mm10_chrX:77363063-77363074(+)::chrX:77363062-77363074(+) AATAGGAAATGC >mm10_chrX:77469468-77469479(+)::chrX:77469467-77469479(+) TGCAGGAAATGA >mm10_chrX:77490215-77490226(+)::chrX:77490214-77490226(+) AACAGGAAGAGT >mm10_chrX:77534023-77534034(+)::chrX:77534022-77534034(+) AACAGGAAGAAG >mm10_chrX:77534243-77534254(-)::chrX:77534242-77534254(-) ACTAGGAAATGA >mm10_chrX:77550564-77550575(+)::chrX:77550563-77550575(+) AAAAGGATGTTT >mm10_chrX:77550810-77550821(+)::chrX:77550809-77550821(+) TCAAGGAAGAGA >mm10_chrX:77550875-77550886(-)::chrX:77550874-77550886(-) AGAAGGAAAGAG >mm10_chrX:77550882-77550893(-)::chrX:77550881-77550893(-) ACAAGGAAGAAG >mm10_chrX:77557440-77557451(-)::chrX:77557439-77557451(-) aggaggaaggag >mm10_chrX:77557447-77557458(-)::chrX:77557446-77557458(-) aagaggaaggag >mm10_chrX:77557475-77557486(-)::chrX:77557474-77557486(-) attaggaagaag >mm10_chrX:77557492-77557503(-)::chrX:77557491-77557503(-) aggaggaaatgg >mm10_chrX:77578378-77578389(+)::chrX:77578377-77578389(+) AAGAGGAAGAGC >mm10_chrX:77599661-77599672(-)::chrX:77599660-77599672(-) AACAGGAAGTAA >mm10_chrX:77721094-77721105(-)::chrX:77721093-77721105(-) AACAGGAAGAGT >mm10_chrX:77796293-77796304(+)::chrX:77796292-77796304(+) GGCAGGAAGCGG >mm10_chrX:77796304-77796315(+)::chrX:77796303-77796315(+) GGAAGGAAGAGG >mm10_chrX:77796321-77796332(-)::chrX:77796320-77796332(-) AGCCGGAAGTTC >mm10_chrX:78583941-78583952(+)::chrX:78583940-78583952(+) gggaggaagtgg >mm10_chrX:78692459-78692470(-)::chrX:78692458-78692470(-) gacaggaagcat >mm10_chrX:79671665-79671676(+)::chrX:79671664-79671676(+) tagaggaagttt >mm10_chrX:80060102-80060113(-)::chrX:80060101-80060113(-) TGAAGGAAGCAA >mm10_chrX:80060134-80060145(+)::chrX:80060133-80060145(+) AGAAGGAAGGAA >mm10_chrX:80060138-80060149(+)::chrX:80060137-80060149(+) GGAAGGAAGGGC >mm10_chrX:80060168-80060179(+)::chrX:80060167-80060179(+) AACAGGAAGGCA >mm10_chrX:80169429-80169440(-)::chrX:80169428-80169440(-) GGAAGGAAGTTC >mm10_chrX:80169440-80169451(-)::chrX:80169439-80169451(-) AGAAGGAAGCTG >mm10_chrX:80297835-80297846(-)::chrX:80297834-80297846(-) GTGAGGAAGTTG >mm10_chrX:80521294-80521305(-)::chrX:80521293-80521305(-) tagaggaagcaa >mm10_chrX:80521306-80521317(-)::chrX:80521305-80521317(-) gggaggaagaac >mm10_chrX:80521316-80521327(-)::chrX:80521315-80521327(-) tagaggaagtgg >mm10_chrX:81081308-81081319(+)::chrX:81081307-81081319(+) AAAAGGAAGGGT >mm10_chrX:83026350-83026361(-)::chrX:83026349-83026361(-) atgaggaagtgg >mm10_chrX:83026407-83026418(-)::chrX:83026406-83026418(-) aggaggaaggaa >mm10_chrX:83441536-83441547(+)::chrX:83441535-83441547(+) GACAGGAAATGG >mm10_chrX:83607954-83607965(-)::chrX:83607953-83607965(-) aaagggaagata >mm10_chrX:84513798-84513809(+)::chrX:84513797-84513809(+) AACAGGAAGCAA >mm10_chrX:84720167-84720178(+)::chrX:84720166-84720178(+) cagaggaagtct >mm10_chrX:85063572-85063583(+)::chrX:85063571-85063583(+) AAGAGGAAATAT >mm10_chrX:85063598-85063609(+)::chrX:85063597-85063609(+) AATAGGAAGAAG >mm10_chrX:85071865-85071876(-)::chrX:85071864-85071876(-) AACAGGAAGTAA >mm10_chrX:85077094-85077105(+)::chrX:85077093-85077105(+) ggcaggaagtag >mm10_chrX:85272136-85272147(-)::chrX:85272135-85272147(-) ACGAGGAAATAC >mm10_chrX:85290557-85290568(+)::chrX:85290556-85290568(+) AGGAGGAAATAA >mm10_chrX:85293732-85293743(+)::chrX:85293731-85293743(+) ACCAGGAAGTGG >mm10_chrX:85304921-85304932(+)::chrX:85304920-85304932(+) atgaggaagtca >mm10_chrX:85566361-85566372(-)::chrX:85566360-85566372(-) tcaaggaactgc >mm10_chrX:85569067-85569078(-)::chrX:85569066-85569078(-) TGCAGGAAATGT >mm10_chrX:85573939-85573950(+)::chrX:85573938-85573950(+) TCCAGGAAATAC >mm10_chrX:85640360-85640371(-)::chrX:85640359-85640371(-) agcatgaagtaa >mm10_chrX:85719478-85719489(+)::chrX:85719477-85719489(+) aaaaggaagcgg >mm10_chrX:85719491-85719502(+)::chrX:85719490-85719502(+) acaaggaaaggg >mm10_chrX:85719545-85719556(+)::chrX:85719544-85719556(+) agaaggaagact >mm10_chrX:85719564-85719575(-)::chrX:85719563-85719575(-) ggaaggcagtta >mm10_chrX:85751723-85751734(+)::chrX:85751722-85751734(+) AAAAGGAAGGAG >mm10_chrX:85771024-85771035(+)::chrX:85771023-85771035(+) agcaggaagata >mm10_chrX:85779763-85779774(-)::chrX:85779762-85779774(-) gagaggaagGAA >mm10_chrX:85779781-85779792(-)::chrX:85779780-85779792(-) aaaaggaaggga >mm10_chrX:85779921-85779932(-)::chrX:85779920-85779932(-) aacaggaagggc >mm10_chrX:85779951-85779962(+)::chrX:85779950-85779962(+) agcAGGAAGCCA >mm10_chrX:85828307-85828318(-)::chrX:85828306-85828318(-) ACAAGGAAAGAA >mm10_chrX:86189982-86189993(+)::chrX:86189981-86189993(+) gcaaggaaatag >mm10_chrX:86189994-86190005(-)::chrX:86189993-86190005(-) ctaaggaagcat >mm10_chrX:86569192-86569203(+)::chrX:86569191-86569203(+) ATCAGGAAGTTT >mm10_chrX:86569249-86569260(+)::chrX:86569248-86569260(+) atgaggaagcca >mm10_chrX:86599268-86599279(-)::chrX:86599267-86599279(-) CCAAGGAAGTTC >mm10_chrX:86599310-86599321(-)::chrX:86599309-86599321(-) TTAAGGAAGCTG >mm10_chrX:86602558-86602569(+)::chrX:86602557-86602569(+) aagaggaagttg >mm10_chrX:86949306-86949317(-)::chrX:86949305-86949317(-) aggaggaagctg >mm10_chrX:87238331-87238342(+)::chrX:87238330-87238342(+) gcaaggatgtgg >mm10_chrX:87376758-87376769(+)::chrX:87376757-87376769(+) TTAAGTAAGTAG >mm10_chrX:88162977-88162988(+)::chrX:88162976-88162988(+) tggaggaaggaa >mm10_chrX:88162981-88162992(+)::chrX:88162980-88162992(+) ggaaggaaggca >mm10_chrX:89339415-89339426(-)::chrX:89339414-89339426(-) agaaggaacata >mm10_chrX:90810046-90810057(-)::chrX:90810045-90810057(-) ACCAGGAAGCAG >mm10_chrX:91925485-91925496(+)::chrX:91925484-91925496(+) GAAAGGATGTTg >mm10_chrX:92786999-92787010(+)::chrX:92786998-92787010(+) GAAAGGAAGCAG >mm10_chrX:93719915-93719926(+)::chrX:93719914-93719926(+) GAGAGGAAGTTC >mm10_chrX:93756130-93756141(+)::chrX:93756129-93756141(+) AGAAGGAACTGT >mm10_chrX:93802305-93802316(-)::chrX:93802304-93802316(-) ACAAGGAAAAGT >mm10_chrX:94012270-94012281(+)::chrX:94012269-94012281(+) tgaaggaagaat >mm10_chrX:94012282-94012293(+)::chrX:94012281-94012293(+) gggaggaaatag >mm10_chrX:94012294-94012305(+)::chrX:94012293-94012305(+) agaaggaaggGA >mm10_chrX:94012612-94012623(-)::chrX:94012611-94012623(-) ACAAGGCAGTGG >mm10_chrX:94013692-94013703(-)::chrX:94013691-94013703(-) AGAAGGGAGTGA >mm10_chrX:94013760-94013771(-)::chrX:94013759-94013771(-) aggggGAAGTGC >mm10_chrX:94013771-94013782(-)::chrX:94013770-94013782(-) aagaggaaggga >mm10_chrX:94020504-94020515(+)::chrX:94020503-94020515(+) atgaggaagggg >mm10_chrX:94020518-94020529(+)::chrX:94020517-94020529(+) aggaggaaggag >mm10_chrX:94020541-94020552(+)::chrX:94020540-94020552(+) aggaggaaggga >mm10_chrX:94020840-94020851(+)::chrX:94020839-94020851(+) ACCAGGAAGTTA >mm10_chrX:94030303-94030314(-)::chrX:94030302-94030314(-) ACCAGGAAGCGC >mm10_chrX:94142529-94142540(+)::chrX:94142528-94142540(+) aggaggaagtaa >mm10_chrX:94142533-94142544(+)::chrX:94142532-94142544(+) ggaagtaagacg >mm10_chrX:94234594-94234605(+)::chrX:94234593-94234605(+) CGCCGGAAGTGA >mm10_chrX:94431889-94431900(-)::chrX:94431888-94431900(-) ATAAGGTAGTAA >mm10_chrX:94431919-94431930(-)::chrX:94431918-94431930(-) AGCAGGAAGTCC >mm10_chrX:94584656-94584667(+)::chrX:94584655-94584667(+) TGAAGGACGTGG >mm10_chrX:94657842-94657853(-)::chrX:94657841-94657853(-) TAAGGGAAGTAA >mm10_chrX:94657891-94657902(-)::chrX:94657890-94657902(-) ATCAGGAACTAG >mm10_chrX:95058913-95058924(-)::chrX:95058912-95058924(-) AAACGGAAGAAG >mm10_chrX:95058919-95058930(-)::chrX:95058918-95058930(-) ACAAGGAAACGG >mm10_chrX:95087765-95087776(+)::chrX:95087764-95087776(+) aagaggaaatgc >mm10_chrX:95189970-95189981(-)::chrX:95189969-95189981(-) ACAAGGAAGTGC >mm10_chrX:95834488-95834499(+)::chrX:95834487-95834499(+) tgcaggaagtgg >mm10_chrX:95940542-95940553(-)::chrX:95940541-95940553(-) aggaggaagagA >mm10_chrX:95940566-95940577(-)::chrX:95940565-95940577(-) aggaggaagaac >mm10_chrX:95940581-95940592(-)::chrX:95940580-95940592(-) agcaggaagaac >mm10_chrX:95945176-95945187(+)::chrX:95945175-95945187(+) AGCAGGAAGAGA >mm10_chrX:95948541-95948552(-)::chrX:95948540-95948552(-) AGAAGGAGGTAA >mm10_chrX:95957126-95957137(-)::chrX:95957125-95957137(-) GACAGGAAATTA >mm10_chrX:95959508-95959519(-)::chrX:95959507-95959519(-) AGCAGGAAGGCA >mm10_chrX:95959533-95959544(+)::chrX:95959532-95959544(+) ACCAGGAAGTTG >mm10_chrX:96026076-96026087(-)::chrX:96026075-96026087(-) CTGAGGAAGTAA >mm10_chrX:96028071-96028082(-)::chrX:96028070-96028082(-) tggaggaagtgt >mm10_chrX:96145186-96145197(+)::chrX:96145185-96145197(+) AAATGGAAGTGG >mm10_chrX:96361539-96361550(+)::chrX:96361538-96361550(+) GTCAGGAAGTTT >mm10_chrX:96365551-96365562(+)::chrX:96365550-96365562(+) agaaggaaggaa >mm10_chrX:96459613-96459624(+)::chrX:96459612-96459624(+) AGAAGGAAGTTT >mm10_chrX:97156266-97156277(+)::chrX:97156265-97156277(+) atcaggaagcaa >mm10_chrX:97156320-97156331(+)::chrX:97156319-97156331(+) aacaggaagtag >mm10_chrX:97748510-97748521(+)::chrX:97748509-97748521(+) TCAAGGAAGTAG >mm10_chrX:98296371-98296382(+)::chrX:98296370-98296382(+) TGAAGGAAGTTG >mm10_chrX:98296616-98296627(+)::chrX:98296615-98296627(+) TGGAGGAAGTGG >mm10_chrX:98296654-98296665(-)::chrX:98296653-98296665(-) TGGAGGAAGCAC >mm10_chrX:98313518-98313529(-)::chrX:98313517-98313529(-) CAAGGGAAGTGT >mm10_chrX:98315380-98315391(-)::chrX:98315379-98315391(-) AAGAGGAAATGT >mm10_chrX:98322611-98322622(-)::chrX:98322610-98322622(-) AAAAGGAACTAG >mm10_chrX:98355261-98355272(+)::chrX:98355260-98355272(+) ggaaggaactct >mm10_chrX:98807213-98807224(-)::chrX:98807212-98807224(-) ATAGGGAAGGAG >mm10_chrX:98807278-98807289(-)::chrX:98807277-98807289(-) ATGAGGAAGAGT >mm10_chrX:98834713-98834724(-)::chrX:98834712-98834724(-) TCTAGGAAGTGT >mm10_chrX:98834753-98834764(+)::chrX:98834752-98834764(+) AGGAGGAAATGA >mm10_chrX:98843548-98843559(-)::chrX:98843547-98843559(-) agaaggaagctt >mm10_chrX:98848873-98848884(-)::chrX:98848872-98848884(-) GTAAGGACGTGC >mm10_chrX:98860433-98860444(+)::chrX:98860432-98860444(+) ATAAGGAAGTCA >mm10_chrX:98870693-98870704(+)::chrX:98870692-98870704(+) ATGAGGAAGTAG >mm10_chrX:98870718-98870729(-)::chrX:98870717-98870729(-) GCAAGGAAGTGC >mm10_chrX:98873390-98873401(-)::chrX:98873389-98873401(-) tgaaggaagtgt >mm10_chrX:98873437-98873448(+)::chrX:98873436-98873448(+) atcaGGAAGAAG >mm10_chrX:98890876-98890887(+)::chrX:98890875-98890887(+) GCAAGGAAACGT >mm10_chrX:98919654-98919665(-)::chrX:98919653-98919665(-) AAAAGGAAGCTC >mm10_chrX:99015864-99015875(-)::chrX:99015863-99015875(-) tataggaagatc >mm10_chrX:99034734-99034745(+)::chrX:99034733-99034745(+) acaaggatgtat >mm10_chrX:99052498-99052509(+)::chrX:99052497-99052509(+) AGAGGGAAGTGG >mm10_chrX:99135995-99136006(-)::chrX:99135994-99136006(-) AGCAGGAAGGGG >mm10_chrX:99141175-99141186(-)::chrX:99141174-99141186(-) AAGAGGAAGACA >mm10_chrX:99151607-99151618(+)::chrX:99151606-99151618(+) AAGAGGAAATGC >mm10_chrX:99178515-99178526(-)::chrX:99178514-99178526(-) AGCAGGAAGCTC >mm10_chrX:99299409-99299420(-)::chrX:99299408-99299420(-) tccaggaaGGAA >mm10_chrX:99316578-99316589(-)::chrX:99316577-99316589(-) AGGAGGAAGCAC >mm10_chrX:99348735-99348746(-)::chrX:99348734-99348746(-) atgaggaagtta >mm10_chrX:99348805-99348816(-)::chrX:99348804-99348816(-) agcaggaagaat >mm10_chrX:99415922-99415933(-)::chrX:99415921-99415933(-) AAAAGGAAGAGG >mm10_chrX:99418703-99418714(+)::chrX:99418702-99418714(+) ACGAGGAAGAGG >mm10_chrX:99418718-99418729(+)::chrX:99418717-99418729(+) AGCAGGAAGCCC >mm10_chrX:99432622-99432633(+)::chrX:99432621-99432633(+) TGCAGGAAGAGA >mm10_chrX:99467309-99467320(-)::chrX:99467308-99467320(-) CGCAGGAAGTAC >mm10_chrX:99467353-99467364(-)::chrX:99467352-99467364(-) ACGAGGAAGATT >mm10_chrX:99495171-99495182(-)::chrX:99495170-99495182(-) GGATGGAAGTGT >mm10_chrX:99531219-99531230(+)::chrX:99531218-99531230(+) gtagggaagtct >mm10_chrX:99535714-99535725(+)::chrX:99535713-99535725(+) AGCAGGAAGGTA >mm10_chrX:99535728-99535739(+)::chrX:99535727-99535739(+) CCAAGGAAGTGG >mm10_chrX:99538017-99538028(-)::chrX:99538016-99538028(-) tgcaggaagtgc >mm10_chrX:99541980-99541991(-)::chrX:99541979-99541991(-) TCAAGGAAGAGT >mm10_chrX:99541992-99542003(-)::chrX:99541991-99542003(-) CTGAGGAAGTGC >mm10_chrX:99562771-99562782(+)::chrX:99562770-99562782(+) CACGGGAAGTCG >mm10_chrX:99696435-99696446(+)::chrX:99696434-99696446(+) gcaaggaaggaa >mm10_chrX:100277361-100277372(-)::chrX:100277360-100277372(-) aacaggaagggg >mm10_chrX:100449408-100449419(+)::chrX:100449407-100449419(+) TGCAGGAAGGGT >mm10_chrX:100518740-100518751(+)::chrX:100518739-100518751(+) AGGAGGAAGTAA >mm10_chrX:100520639-100520650(-)::chrX:100520638-100520650(-) AACAGGAAGAGA >mm10_chrX:100546161-100546172(-)::chrX:100546160-100546172(-) ACTAGGAAGGAG >mm10_chrX:100546204-100546215(-)::chrX:100546203-100546215(-) GGAAGGAAATAA >mm10_chrX:100546208-100546219(-)::chrX:100546207-100546219(-) TGAAGGAAGGAA >mm10_chrX:100546219-100546230(-)::chrX:100546218-100546230(-) TAAAGGAAGGCT >mm10_chrX:100553337-100553348(-)::chrX:100553336-100553348(-) accaggaactaa >mm10_chrX:100690214-100690225(+)::chrX:100690213-100690225(+) ctgaggaagtag >mm10_chrX:100690235-100690246(+)::chrX:100690234-100690246(+) tcaaggaaggaa >mm10_chrX:100692153-100692164(+)::chrX:100692152-100692164(+) ATAAGGAAGTGT >mm10_chrX:100774637-100774648(+)::chrX:100774636-100774648(+) AGGAGGAAGGGT >mm10_chrX:100775582-100775593(+)::chrX:100775581-100775593(+) ACAAGGAACTGA >mm10_chrX:101199770-101199781(-)::chrX:101199769-101199781(-) ggaaggaactca >mm10_chrX:101199783-101199794(-)::chrX:101199782-101199794(-) gaagggaagtta >mm10_chrX:101233676-101233687(+)::chrX:101233675-101233687(+) TATAGGAAGGGG >mm10_chrX:101233719-101233730(-)::chrX:101233718-101233730(-) AATAGGAAGTTT >mm10_chrX:101235168-101235179(-)::chrX:101235167-101235179(-) cccaggaagtga >mm10_chrX:101265712-101265723(+)::chrX:101265711-101265723(+) ACAAGGAAGGAT >mm10_chrX:101265736-101265747(+)::chrX:101265735-101265747(+) ACAAGGAAAATG >mm10_chrX:101287118-101287129(+)::chrX:101287117-101287129(+) tggaggaagtcc >mm10_chrX:101287154-101287165(+)::chrX:101287153-101287165(+) tggaggaaataa >mm10_chrX:101373856-101373867(-)::chrX:101373855-101373867(-) TCCAGGAAGGAA >mm10_chrX:101375961-101375972(-)::chrX:101375960-101375972(-) aggaggaAGGTA >mm10_chrX:101377199-101377210(-)::chrX:101377198-101377210(-) AAGAGGAAGCAG >mm10_chrX:101384045-101384056(-)::chrX:101384044-101384056(-) GCAAGGAAGGAT >mm10_chrX:101442800-101442811(-)::chrX:101442799-101442811(-) GACAGGAAATGA >mm10_chrX:101444616-101444627(-)::chrX:101444615-101444627(-) AATAGGAAGAAG >mm10_chrX:101444687-101444698(+)::chrX:101444686-101444698(+) GGCAGGAAGAGG >mm10_chrX:101486630-101486641(-)::chrX:101486629-101486641(-) aggaggaaggca >mm10_chrX:101486637-101486648(-)::chrX:101486636-101486648(-) ggcaggaaggag >mm10_chrX:101532675-101532686(+)::chrX:101532674-101532686(+) CAAAGGAAGTAG >mm10_chrX:101532741-101532752(-)::chrX:101532740-101532752(-) TACCGGAAGTTG >mm10_chrX:101616314-101616325(+)::chrX:101616313-101616325(+) acaaggaagtgc >mm10_chrX:101616340-101616351(+)::chrX:101616339-101616351(+) acaaggaactgg >mm10_chrX:101658167-101658178(+)::chrX:101658166-101658178(+) tccagGAAGAAC >mm10_chrX:101658189-101658200(+)::chrX:101658188-101658200(+) Ggcaggaagtgg >mm10_chrX:101728314-101728325(-)::chrX:101728313-101728325(-) gcgaggaactag >mm10_chrX:101737320-101737331(+)::chrX:101737319-101737331(+) AGGAGGAAGTTA >mm10_chrX:101770540-101770551(+)::chrX:101770539-101770551(+) aaaagGAAATAG >mm10_chrX:101982982-101982993(-)::chrX:101982981-101982993(-) AGTAGGAAGAAT >mm10_chrX:101982989-101983000(-)::chrX:101982988-101983000(-) GTAAGGAAGTAG >mm10_chrX:101982997-101983008(-)::chrX:101982996-101983008(-) TTACGGAAGTAA >mm10_chrX:101994439-101994450(+)::chrX:101994438-101994450(+) GGCAGGAAATGG >mm10_chrX:102008149-102008160(-)::chrX:102008148-102008160(-) aagaggaagttg >mm10_chrX:102037142-102037153(-)::chrX:102037141-102037153(-) AAAAGGAAATGG >mm10_chrX:102060497-102060508(+)::chrX:102060496-102060508(+) ACAAGGAAGGCC >mm10_chrX:102061050-102061061(+)::chrX:102061049-102061061(+) AAGAGGAAGAGG >mm10_chrX:102090788-102090799(-)::chrX:102090787-102090799(-) AACAGGAAGTGG >mm10_chrX:102091524-102091535(+)::chrX:102091523-102091535(+) AGTAGGAAGGGA >mm10_chrX:102159951-102159962(+)::chrX:102159950-102159962(+) AGCAGGAAGTCA >mm10_chrX:102162454-102162465(-)::chrX:102162453-102162465(-) TCCAGGAAGAGG >mm10_chrX:102162465-102162476(-)::chrX:102162464-102162476(-) ACAAGGAAGAGT >mm10_chrX:102494209-102494220(+)::chrX:102494208-102494220(+) TCAAGGAAATGC >mm10_chrX:102494269-102494280(+)::chrX:102494268-102494280(+) TGAAGGAAGAAG >mm10_chrX:102549476-102549487(-)::chrX:102549475-102549487(-) AGAAGGGAGTGC >mm10_chrX:102557002-102557013(-)::chrX:102557001-102557013(-) AGCAGGAAGTTG >mm10_chrX:102571386-102571397(+)::chrX:102571385-102571397(+) gaaaggaagctt >mm10_chrX:102573068-102573079(-)::chrX:102573067-102573079(-) AACAGGAAGGTC >mm10_chrX:102936035-102936046(+)::chrX:102936034-102936046(+) AGCAGGAAATTG >mm10_chrX:103019971-103019982(-)::chrX:103019970-103019982(-) tggaggaagaag >mm10_chrX:103381746-103381757(+)::chrX:103381745-103381757(+) ATCAGGAagttt >mm10_chrX:103381758-103381769(+)::chrX:103381757-103381769(+) tgaaggaaattg >mm10_chrX:103443907-103443918(-)::chrX:103443906-103443918(-) AAGAGGAAGTTC >mm10_chrX:103474827-103474838(+)::chrX:103474826-103474838(+) TGGAGGAAGAAC >mm10_chrX:103474854-103474865(-)::chrX:103474853-103474865(-) AGAAGGAAGCTT >mm10_chrX:103530042-103530053(+)::chrX:103530041-103530053(+) CAAAGGAAGAAA >mm10_chrX:103530058-103530069(+)::chrX:103530057-103530069(+) AGAAGGAAGCGC >mm10_chrX:103616366-103616377(+)::chrX:103616365-103616377(+) acacGGACGTAA >mm10_chrX:103633936-103633947(-)::chrX:103633935-103633947(-) aggaggaagagg >mm10_chrX:103633951-103633962(-)::chrX:103633950-103633962(-) aagaggaagggc >mm10_chrX:103633957-103633968(-)::chrX:103633956-103633968(-) aggaggaagagg >mm10_chrX:103633976-103633987(-)::chrX:103633975-103633987(-) aagaggaagaag >mm10_chrX:103633982-103633993(-)::chrX:103633981-103633993(-) tagaggaagagg >mm10_chrX:103634009-103634020(-)::chrX:103634008-103634020(-) aggaggaagagg >mm10_chrX:103634024-103634035(-)::chrX:103634023-103634035(-) aagaggaagggg >mm10_chrX:103822110-103822121(-)::chrX:103822109-103822121(-) AGGAGGAAGGGC >mm10_chrX:103981267-103981278(+)::chrX:103981266-103981278(+) GACAGGAAATGA >mm10_chrX:103981287-103981298(+)::chrX:103981286-103981298(+) AACAGGAAGTGA >mm10_chrX:103981552-103981563(+)::chrX:103981551-103981563(+) TGAAGGACGTCA >mm10_chrX:104115720-104115731(-)::chrX:104115719-104115731(-) ataaggaagtta >mm10_chrX:104131699-104131710(-)::chrX:104131698-104131710(-) aacaggaaatgg >mm10_chrX:104131706-104131717(-)::chrX:104131705-104131717(-) agaaggaaacag >mm10_chrX:104211182-104211193(-)::chrX:104211181-104211193(-) ggaaggaagtgt >mm10_chrX:104211237-104211248(-)::chrX:104211236-104211248(-) agcaggaactac >mm10_chrX:104241633-104241644(+)::chrX:104241632-104241644(+) ataaggaaatgt >mm10_chrX:104262700-104262711(-)::chrX:104262699-104262711(-) aggaggaagtct >mm10_chrX:104302487-104302498(-)::chrX:104302486-104302498(-) CAAAGTAAGTAT >mm10_chrX:104403064-104403075(+)::chrX:104403063-104403075(+) aacaggaagcaa >mm10_chrX:104413509-104413520(-)::chrX:104413508-104413520(-) GGTAGGAAGTTA >mm10_chrX:104413550-104413561(-)::chrX:104413549-104413561(-) CTAAGGAAGATT >mm10_chrX:104413837-104413848(+)::chrX:104413836-104413848(+) AAAAGGAACCGA >mm10_chrX:104482890-104482901(-)::chrX:104482889-104482901(-) AAAAGGATGCGG >mm10_chrX:104858371-104858382(+)::chrX:104858370-104858382(+) GCCAGGAAGAAA >mm10_chrX:105070136-105070147(-)::chrX:105070135-105070147(-) AGCAGGAAGTTC >mm10_chrX:105070473-105070484(-)::chrX:105070472-105070484(-) GTAAGGAAGTAG >mm10_chrX:105079773-105079784(-)::chrX:105079772-105079784(-) TCCCGGAAGTGA >mm10_chrX:105167010-105167021(-)::chrX:105167009-105167021(-) GACAGGAAGCAG >mm10_chrX:105714784-105714795(+)::chrX:105714783-105714795(+) agcagtaagtac >mm10_chrX:105714794-105714805(+)::chrX:105714793-105714805(+) accaggaaggcc >mm10_chrX:105757739-105757750(-)::chrX:105757738-105757750(-) agaaggaagggt >mm10_chrX:105799086-105799097(+)::chrX:105799085-105799097(+) TAAAGGAACTTG >mm10_chrX:105842715-105842726(-)::chrX:105842714-105842726(-) GCACGGAAGGAG >mm10_chrX:105894107-105894118(+)::chrX:105894106-105894118(+) aacaggaagatt >mm10_chrX:105926163-105926174(+)::chrX:105926162-105926174(+) aacaggaagctg >mm10_chrX:105937912-105937923(-)::chrX:105937911-105937923(-) ttgaggaagtct >mm10_chrX:105937924-105937935(-)::chrX:105937923-105937935(-) ctgaggaagtaa >mm10_chrX:105939444-105939455(+)::chrX:105939443-105939455(+) AGAAGGAAGACC >mm10_chrX:106026782-106026793(-)::chrX:106026781-106026793(-) gaaaggaaggca >mm10_chrX:106027189-106027200(+)::chrX:106027188-106027200(+) GCCCGGAAGTGG >mm10_chrX:106127311-106127322(-)::chrX:106127310-106127322(-) aggaggaagagg >mm10_chrX:106127323-106127334(-)::chrX:106127322-106127334(-) aggaggaagagg >mm10_chrX:106127344-106127355(-)::chrX:106127343-106127355(-) agaaggaagagg >mm10_chrX:106127356-106127367(-)::chrX:106127355-106127367(-) agaaggaagaag >mm10_chrX:106171226-106171237(+)::chrX:106171225-106171237(+) GGAAGGAAGTTC >mm10_chrX:106175558-106175569(-)::chrX:106175557-106175569(-) GACAGGAAGAGG >mm10_chrX:106186908-106186919(+)::chrX:106186907-106186919(+) GTCAGGAAGTTC >mm10_chrX:106186957-106186968(+)::chrX:106186956-106186968(+) AAATGGAAGTAG >mm10_chrX:106189470-106189481(-)::chrX:106189469-106189481(-) AAAAGGAACTGG >mm10_chrX:106198242-106198253(-)::chrX:106198241-106198253(-) ATGAGGAAATGC >mm10_chrX:106595910-106595921(+)::chrX:106595909-106595921(+) AAACGGAAGAGA >mm10_chrX:106595985-106595996(-)::chrX:106595984-106595996(-) TAAAGGAAGTCT >mm10_chrX:106623989-106624000(+)::chrX:106623988-106624000(+) AACAGGAAATGA >mm10_chrX:106624033-106624044(-)::chrX:106624032-106624044(-) TAAACGAAGTGC >mm10_chrX:106633734-106633745(+)::chrX:106633733-106633745(+) GGAAGGAAGAGG >mm10_chrX:106633740-106633751(+)::chrX:106633739-106633751(+) AAGAGGAAGAAG >mm10_chrX:106633795-106633806(-)::chrX:106633794-106633806(-) AAGAGGAAGAAG >mm10_chrX:107151124-107151135(+)::chrX:107151123-107151135(+) CCCAGGAAGTTT >mm10_chrX:107151187-107151198(+)::chrX:107151186-107151198(+) AGAAGGAAATTA >mm10_chrX:107161031-107161042(-)::chrX:107161030-107161042(-) agcaggaagctg >mm10_chrX:107161460-107161471(+)::chrX:107161459-107161471(+) atgaggaagaaa >mm10_chrX:107161483-107161494(+)::chrX:107161482-107161494(+) acaaggaaaata >mm10_chrX:108405207-108405218(-)::chrX:108405206-108405218(-) ACCAGGAAGTTA >mm10_chrX:108405268-108405279(-)::chrX:108405267-108405279(-) ATCAGGAAGCGA >mm10_chrX:108664969-108664980(+)::chrX:108664968-108664980(+) TATAGGAAGTCA >mm10_chrX:108834561-108834572(+)::chrX:108834560-108834572(+) ACAAGGAAGAGG >mm10_chrX:109012891-109012902(+)::chrX:109012890-109012902(+) AGGAGGAAGCTG >mm10_chrX:109074067-109074078(+)::chrX:109074066-109074078(+) aacaggatgtat >mm10_chrX:109074115-109074126(+)::chrX:109074114-109074126(+) aagaggaagtag >mm10_chrX:109142496-109142507(+)::chrX:109142495-109142507(+) AGCAGGAAGTGG >mm10_chrX:111536372-111536383(+)::chrX:111536371-111536383(+) ATAAGGAAAGTG >mm10_chrX:111536401-111536412(+)::chrX:111536400-111536412(+) TGCAGGAAGTGA >mm10_chrX:111631457-111631468(-)::chrX:111631456-111631468(-) AGAAGGAAGCAT >mm10_chrX:112091940-112091951(-)::chrX:112091939-112091951(-) TAAAGGAAGTAC >mm10_chrX:112286545-112286556(-)::chrX:112286544-112286556(-) AACAGGAAGGTT >mm10_chrX:112286564-112286575(-)::chrX:112286563-112286575(-) AAAAGGAAGGAG >mm10_chrX:112311689-112311700(+)::chrX:112311688-112311700(+) ATGAGGAAGGCC >mm10_chrX:112311720-112311731(+)::chrX:112311719-112311731(+) AGAAGGaaaaca >mm10_chrX:112346508-112346519(-)::chrX:112346507-112346519(-) tacaggaagagg >mm10_chrX:112497975-112497986(+)::chrX:112497974-112497986(+) GCAAGTAAGTAG >mm10_chrX:112554958-112554969(+)::chrX:112554957-112554969(+) AAGAGGAAGTGT >mm10_chrX:112554973-112554984(-)::chrX:112554972-112554984(-) ACAAGGAAGTGT >mm10_chrX:113185309-113185320(-)::chrX:113185308-113185320(-) GAAAGGAAGTGA >mm10_chrX:115030976-115030987(+)::chrX:115030975-115030987(+) aacaggaagttg >mm10_chrX:115136042-115136053(-)::chrX:115136041-115136053(-) ACAAGGAAATGA >mm10_chrX:115944711-115944722(+)::chrX:115944710-115944722(+) GACAGGAAATTA >mm10_chrX:116893867-116893878(-)::chrX:116893866-116893878(-) AAGAGGAAGAAG >mm10_chrX:117073052-117073063(-)::chrX:117073051-117073063(-) tcaaggacgtag >mm10_chrX:120289423-120289434(-)::chrX:120289422-120289434(-) ACAAGGAAGAGC >mm10_chrX:121232528-121232539(+)::chrX:121232527-121232539(+) TCAAGGAAATTT >mm10_chrX:121813191-121813202(+)::chrX:121813190-121813202(+) gggaggaagcag >mm10_chrX:122396371-122396382(-)::chrX:122396370-122396382(-) TGAAGGAAGAAG >mm10_chrX:122396407-122396418(-)::chrX:122396406-122396418(-) AGGAGGAAGAAG >mm10_chrX:124109864-124109875(-)::chrX:124109863-124109875(-) AAAAGGAAGAAA >mm10_chrX:127363022-127363033(-)::chrX:127363021-127363033(-) ataaggatgtag >mm10_chrX:129159210-129159221(-)::chrX:129159209-129159221(-) AGCAGGAAGCAG >mm10_chrX:129159217-129159228(-)::chrX:129159216-129159228(-) CAAAGGAAGCAG >mm10_chrX:129329632-129329643(+)::chrX:129329631-129329643(+) AACAGGAAGTCT >mm10_chrX:129413516-129413527(+)::chrX:129413515-129413527(+) ACAAGGAAGGGA >mm10_chrX:129494728-129494739(-)::chrX:129494727-129494739(-) AAAAGGAAGTGC >mm10_chrX:129642633-129642644(+)::chrX:129642632-129642644(+) AAAAGGAAGTTG >mm10_chrX:129691997-129692008(+)::chrX:129691996-129692008(+) gggaggaagaag >mm10_chrX:129744561-129744572(-)::chrX:129744560-129744572(-) ATACGGAAATGG >mm10_chrX:129749607-129749618(+)::chrX:129749606-129749618(+) AGTAGGAAGCGG >mm10_chrX:129781974-129781985(+)::chrX:129781973-129781985(+) AAGAGGAAATGG >mm10_chrX:129790402-129790413(-)::chrX:129790401-129790413(-) GGAAGGAAGTAA >mm10_chrX:130067139-130067150(-)::chrX:130067138-130067150(-) AAGAGGAAGAAG >mm10_chrX:130067145-130067156(-)::chrX:130067144-130067156(-) GACAGGAAGAGG >mm10_chrX:130298310-130298321(-)::chrX:130298309-130298321(-) ATAGGGAAATAA >mm10_chrX:130298380-130298391(-)::chrX:130298379-130298391(-) atcaggaaggtt >mm10_chrX:130300880-130300891(-)::chrX:130300879-130300891(-) ACAAGGAAGATG >mm10_chrX:130389011-130389022(-)::chrX:130389010-130389022(-) AGCAGGAAGTGC >mm10_chrX:130400348-130400359(-)::chrX:130400347-130400359(-) tggaggaagagg >mm10_chrX:130400371-130400382(-)::chrX:130400370-130400382(-) aagaggaaggga >mm10_chrX:130400377-130400388(-)::chrX:130400376-130400388(-) Agaaggaagagg >mm10_chrX:130596847-130596858(+)::chrX:130596846-130596858(+) ATAAGGAAGCCT >mm10_chrX:131973399-131973410(-)::chrX:131973398-131973410(-) ggaaggaagttc >mm10_chrX:133252138-133252149(-)::chrX:133252137-133252149(-) AATAGGAAGATT >mm10_chrX:133855782-133855793(-)::chrX:133855781-133855793(-) ggaaggaaggaa >mm10_chrX:133855786-133855797(-)::chrX:133855785-133855797(-) ggaaggaaggaa >mm10_chrX:133855790-133855801(-)::chrX:133855789-133855801(-) ggaaggaaggaa >mm10_chrX:133855794-133855805(-)::chrX:133855793-133855805(-) ggaaggaaggaa >mm10_chrX:133855814-133855825(-)::chrX:133855813-133855825(-) AAAAGGAAGGCT >mm10_chrX:133947491-133947502(+)::chrX:133947490-133947502(+) GAGAGGAAGTGC >mm10_chrX:134052842-134052853(-)::chrX:134052841-134052853(-) TTAAGGAAGCAA >mm10_chrX:134092743-134092754(+)::chrX:134092742-134092754(+) CAAAGGAAGTTT >mm10_chrX:134243695-134243706(+)::chrX:134243694-134243706(+) agaaggaagaag >mm10_chrX:134243705-134243716(+)::chrX:134243704-134243716(+) agaaggaaggag >mm10_chrX:134243726-134243737(+)::chrX:134243725-134243737(+) gggaggaaggag >mm10_chrX:134243742-134243753(+)::chrX:134243741-134243753(+) aggaggaagagg >mm10_chrX:134325235-134325246(+)::chrX:134325234-134325246(+) TGAAGGAACTCA >mm10_chrX:134325244-134325255(-)::chrX:134325243-134325255(-) TCCAGGAAGTGA >mm10_chrX:134601144-134601155(+)::chrX:134601143-134601155(+) AGGAGGAAGTGC >mm10_chrX:134636105-134636116(-)::chrX:134636104-134636116(-) GGCAGGAAATGA >mm10_chrX:134636186-134636197(-)::chrX:134636185-134636197(-) AACAGGAAGAAG >mm10_chrX:134641772-134641783(-)::chrX:134641771-134641783(-) agaaggaaagaa >mm10_chrX:134661708-134661719(+)::chrX:134661707-134661719(+) gagaggaagccg >mm10_chrX:134690792-134690803(+)::chrX:134690791-134690803(+) CTAAGGAAGGAG >mm10_chrX:134696921-134696932(+)::chrX:134696920-134696932(+) TAAAGGAAGTCT >mm10_chrX:134696984-134696995(+)::chrX:134696983-134696995(+) GCCAGGAAGTGG >mm10_chrX:134709756-134709767(+)::chrX:134709755-134709767(+) ggaaggaagggt >mm10_chrX:134709805-134709816(+)::chrX:134709804-134709816(+) tcaaggaagtga >mm10_chrX:134718034-134718045(+)::chrX:134718033-134718045(+) GGAAGGAAGAGG >mm10_chrX:134718999-134719010(+)::chrX:134718998-134719010(+) CCAAGGAAGAAG >mm10_chrX:134833450-134833461(-)::chrX:134833449-134833461(-) agtaggaagagc >mm10_chrX:134833457-134833468(-)::chrX:134833456-134833468(-) gaaaggaagtag >mm10_chrX:134913330-134913341(+)::chrX:134913329-134913341(+) aggaggaactat >mm10_chrX:134913367-134913378(+)::chrX:134913366-134913378(+) GGCAGGAAATGC >mm10_chrX:135009284-135009295(-)::chrX:135009283-135009295(-) AAGAGGAAGCAA >mm10_chrX:135038850-135038861(-)::chrX:135038849-135038861(-) TGCAGGAAGTTG >mm10_chrX:135038858-135038869(+)::chrX:135038857-135038869(+) TGCAGGAAGCTA >mm10_chrX:135598783-135598794(-)::chrX:135598782-135598794(-) aggaggaagacg >mm10_chrX:135598797-135598808(-)::chrX:135598796-135598808(-) ataaggaagtag >mm10_chrX:135606878-135606889(-)::chrX:135606877-135606889(-) gacaggaaatga >mm10_chrX:135606906-135606917(-)::chrX:135606905-135606917(-) atcaggaaatta >mm10_chrX:135744333-135744344(+)::chrX:135744332-135744344(+) aggaggaagagg >mm10_chrX:135876864-135876875(+)::chrX:135876863-135876875(+) accaggaagtcc >mm10_chrX:136057987-136057998(-)::chrX:136057986-136057998(-) accaggatgtaa >mm10_chrX:136058015-136058026(-)::chrX:136058014-136058026(-) tcaaggaaataa >mm10_chrX:136230141-136230152(+)::chrX:136230140-136230152(+) atcaggaaggag >mm10_chrX:136230213-136230224(+)::chrX:136230212-136230224(+) atgaggaactat >mm10_chrX:136267983-136267994(+)::chrX:136267982-136267994(+) tggaggaagagc >mm10_chrX:136306410-136306421(-)::chrX:136306409-136306421(-) acaaggaagtag >mm10_chrX:136741751-136741762(+)::chrX:136741750-136741762(+) GAAAGGAAGTGC >mm10_chrX:136758409-136758420(+)::chrX:136758408-136758420(+) AGCAGGAAGCAA >mm10_chrX:136758422-136758433(+)::chrX:136758421-136758433(+) GACAGGAAGATA >mm10_chrX:136765259-136765270(-)::chrX:136765258-136765270(-) TACAGGAAGCAT >mm10_chrX:136789670-136789681(-)::chrX:136789669-136789681(-) gaaaggaagcta >mm10_chrX:136868719-136868730(-)::chrX:136868718-136868730(-) CTAAGGAAGAGC >mm10_chrX:137017694-137017705(-)::chrX:137017693-137017705(-) ACCAGGAAGATG >mm10_chrX:137017857-137017868(-)::chrX:137017856-137017868(-) AAAAGGAAGTTA >mm10_chrX:137017909-137017920(-)::chrX:137017908-137017920(-) AGAAGGAAGCAA >mm10_chrX:137141929-137141940(-)::chrX:137141928-137141940(-) CGGAGGAAGTTA >mm10_chrX:137161549-137161560(-)::chrX:137161548-137161560(-) ggtaggaagttc >mm10_chrX:137229366-137229377(-)::chrX:137229365-137229377(-) tccaggaagtct >mm10_chrX:137229408-137229419(-)::chrX:137229407-137229419(-) gcagggaagttc >mm10_chrX:137229417-137229428(+)::chrX:137229416-137229428(+) tgcaggaaggca >mm10_chrX:137229433-137229444(-)::chrX:137229432-137229444(-) AAAAGGAAGtga >mm10_chrX:137653459-137653470(+)::chrX:137653458-137653470(+) CACAGGAAGTTA >mm10_chrX:137938832-137938843(-)::chrX:137938831-137938843(-) AATAGGAAGCAT >mm10_chrX:138117583-138117594(-)::chrX:138117582-138117594(-) TCAAGGAAATGC >mm10_chrX:138117629-138117640(+)::chrX:138117628-138117640(+) GGGAGGAAGGAA >mm10_chrX:138327786-138327797(-)::chrX:138327785-138327797(-) TGGAGGAAATAG >mm10_chrX:138440486-138440497(-)::chrX:138440485-138440497(-) GAAAGGAATTCG >mm10_chrX:138597300-138597311(-)::chrX:138597299-138597311(-) tgcaggaagaac >mm10_chrX:138606804-138606815(+)::chrX:138606803-138606815(+) agcaggaagacc >mm10_chrX:138711279-138711290(-)::chrX:138711278-138711290(-) ataaggaagttc >mm10_chrX:138711302-138711313(-)::chrX:138711301-138711313(-) agcaggaaggta >mm10_chrX:138747985-138747996(-)::chrX:138747984-138747996(-) aaaaggaactat >mm10_chrX:138935596-138935607(-)::chrX:138935595-138935607(-) GGCAGGAAGAGG >mm10_chrX:138935633-138935644(-)::chrX:138935632-138935644(-) GCCAGGAAGGAC >mm10_chrX:139335778-139335789(-)::chrX:139335777-139335789(-) agcaggaagtgg >mm10_chrX:139335785-139335796(-)::chrX:139335784-139335796(-) taaaggaagcag >mm10_chrX:139335832-139335843(-)::chrX:139335831-139335843(-) GAGAGGAAGTCC >mm10_chrX:139341364-139341375(+)::chrX:139341363-139341375(+) ATAAGGAAGTGG >mm10_chrX:139341375-139341386(-)::chrX:139341374-139341386(-) GCCAGGAAGAGC >mm10_chrX:139431839-139431850(+)::chrX:139431838-139431850(+) aacaggaagaag >mm10_chrX:139431868-139431879(+)::chrX:139431867-139431879(+) acaaggaaatgg >mm10_chrX:139524849-139524860(+)::chrX:139524848-139524860(+) aagaggaagttg >mm10_chrX:139534303-139534314(+)::chrX:139534302-139534314(+) ggaaggaagcca >mm10_chrX:139534362-139534373(+)::chrX:139534361-139534373(+) atgaggaagaaa >mm10_chrX:139550696-139550707(-)::chrX:139550695-139550707(-) ACAAGGAAGCAA >mm10_chrX:139566516-139566527(-)::chrX:139566515-139566527(-) GAAAGGAAGGAG >mm10_chrX:139685194-139685205(+)::chrX:139685193-139685205(+) CCGAGGAAGTGC >mm10_chrX:139779938-139779949(+)::chrX:139779937-139779949(+) ACAGGGAAGTTG >mm10_chrX:139785971-139785982(-)::chrX:139785970-139785982(-) TCAGGGAAGTAG >mm10_chrX:139798084-139798095(-)::chrX:139798083-139798095(-) CGAAGGATGTGG >mm10_chrX:139800571-139800582(-)::chrX:139800570-139800582(-) GGAAGGAAGCCT >mm10_chrX:139800575-139800586(-)::chrX:139800574-139800586(-) AGCAGGAAGGAA >mm10_chrX:139802501-139802512(-)::chrX:139802500-139802512(-) GTCAGGAAGATA >mm10_chrX:139802573-139802584(-)::chrX:139802572-139802584(-) AGGAGGAAGGGA >mm10_chrX:139814059-139814070(-)::chrX:139814058-139814070(-) AGCAGGAAGCTG >mm10_chrX:139868854-139868865(-)::chrX:139868853-139868865(-) AGTAGGAAATGA >mm10_chrX:139875569-139875580(+)::chrX:139875568-139875580(+) ACCAGGAAATGC >mm10_chrX:140162840-140162851(+)::chrX:140162839-140162851(+) ggaaggaagtct >mm10_chrX:140162858-140162869(+)::chrX:140162857-140162869(+) aggaggaagttt >mm10_chrX:140163644-140163655(+)::chrX:140163643-140163655(+) ACCAGGAAGTGT >mm10_chrX:140165262-140165273(-)::chrX:140165261-140165273(-) aagaggaagaaa >mm10_chrX:140165268-140165279(-)::chrX:140165267-140165279(-) tagaggaagagg >mm10_chrX:140165277-140165288(-)::chrX:140165276-140165288(-) gacaggaagtag >mm10_chrX:140351381-140351392(+)::chrX:140351380-140351392(+) ataaggaaagag >mm10_chrX:140351659-140351670(+)::chrX:140351658-140351670(+) tacaggaaggcc >mm10_chrX:140438331-140438342(-)::chrX:140438330-140438342(-) ATGAGGAAGGGG >mm10_chrX:140438402-140438413(+)::chrX:140438401-140438413(+) TGAAGGAAGAGG >mm10_chrX:140438408-140438419(+)::chrX:140438407-140438419(+) AAGAGGAAGTGA >mm10_chrX:140450308-140450319(+)::chrX:140450307-140450319(+) AAAAGGAAGCTT >mm10_chrX:140497667-140497678(+)::chrX:140497666-140497678(+) AACAGGAAGTTG >mm10_chrX:140510340-140510351(+)::chrX:140510339-140510351(+) cccaggaagtcc >mm10_chrX:140510393-140510404(+)::chrX:140510392-140510404(+) aggaggaagaat >mm10_chrX:140515009-140515020(-)::chrX:140515008-140515020(-) TGAAGGAAGTTC >mm10_chrX:140522584-140522595(-)::chrX:140522583-140522595(-) AGCAGGAAGTGG >mm10_chrX:140544102-140544113(-)::chrX:140544101-140544113(-) AGAAGGAAgggc >mm10_chrX:140557181-140557192(-)::chrX:140557180-140557192(-) AAAAGGAAGCAG >mm10_chrX:140557197-140557208(-)::chrX:140557196-140557208(-) AAGAGGAAGGTA >mm10_chrX:140558420-140558431(-)::chrX:140558419-140558431(-) AGAAGGAAGCAG >mm10_chrX:140581250-140581261(-)::chrX:140581249-140581261(-) CGAGGGAAGGAA >mm10_chrX:140583602-140583613(-)::chrX:140583601-140583613(-) AGGAGGAAATGC >mm10_chrX:140588786-140588797(-)::chrX:140588785-140588797(-) ACTAGGAAGGGA >mm10_chrX:140598754-140598765(-)::chrX:140598753-140598765(-) aggaggaAGTGA >mm10_chrX:140625135-140625146(+)::chrX:140625134-140625146(+) accaggaagtgt >mm10_chrX:140625475-140625486(-)::chrX:140625474-140625486(-) tcaagtaagtta >mm10_chrX:140685973-140685984(-)::chrX:140685972-140685984(-) ATAAGGAAGTCC >mm10_chrX:140688446-140688457(+)::chrX:140688445-140688457(+) AGCAGGAAATGG >mm10_chrX:140704629-140704640(+)::chrX:140704628-140704640(+) ATAGGGAAGAAG >mm10_chrX:140704670-140704681(+)::chrX:140704669-140704681(+) GAAAGGAAGGGG >mm10_chrX:140718286-140718297(-)::chrX:140718285-140718297(-) AAAAGGAAGACA >mm10_chrX:140763592-140763603(+)::chrX:140763591-140763603(+) ACAAGGAAATGC >mm10_chrX:140956712-140956723(-)::chrX:140956711-140956723(-) AACAGGAAATGC >mm10_chrX:141427727-141427738(+)::chrX:141427726-141427738(+) accaggaagaag >mm10_chrX:141441362-141441373(-)::chrX:141441361-141441373(-) ATAAGGAAAGAT >mm10_chrX:141626702-141626713(+)::chrX:141626701-141626713(+) aaagggaaggta >mm10_chrX:141788808-141788819(+)::chrX:141788807-141788819(+) GGCAGGAAATTG >mm10_chrX:141975589-141975600(+)::chrX:141975588-141975600(+) atgaggaaggga >mm10_chrX:142221218-142221229(+)::chrX:142221217-142221229(+) gcaaggaagaag >mm10_chrX:142221225-142221236(+)::chrX:142221224-142221236(+) agaaggaagcat >mm10_chrX:142221239-142221250(-)::chrX:142221238-142221250(-) agcaggaagagc >mm10_chrX:142221266-142221277(+)::chrX:142221265-142221277(+) acagggaagtcc >mm10_chrX:142270909-142270920(+)::chrX:142270908-142270920(+) TGGAGGAAATGA >mm10_chrX:142270940-142270951(+)::chrX:142270939-142270951(+) TTAAGGAAGGGA >mm10_chrX:142315496-142315507(-)::chrX:142315495-142315507(-) AGAAGGAAGTAA >mm10_chrX:142315509-142315520(+)::chrX:142315508-142315520(+) AACAGGAAGGCT >mm10_chrX:142369974-142369985(+)::chrX:142369973-142369985(+) GCAAGGAAATGT >mm10_chrX:142389651-142389662(+)::chrX:142389650-142389662(+) AAGAGGAAGTGA >mm10_chrX:142403667-142403678(+)::chrX:142403666-142403678(+) AACAGGAAGAGG >mm10_chrX:142403673-142403684(+)::chrX:142403672-142403684(+) AAGAGGAAATAC >mm10_chrX:142409865-142409876(-)::chrX:142409864-142409876(-) tgaaggaagggt >mm10_chrX:142416573-142416584(-)::chrX:142416572-142416584(-) agaaggaagatt >mm10_chrX:142416580-142416591(-)::chrX:142416579-142416591(-) acaaggaagaag >mm10_chrX:142427273-142427284(-)::chrX:142427272-142427284(-) AGAGGGAAGTGA >mm10_chrX:142427280-142427291(-)::chrX:142427279-142427291(-) TGAAGGAAGAGG >mm10_chrX:142430188-142430199(-)::chrX:142430187-142430199(-) TACAGGAAATGC >mm10_chrX:142430235-142430246(+)::chrX:142430234-142430246(+) tagaggaaggag >mm10_chrX:142435154-142435165(+)::chrX:142435153-142435165(+) TCAAGGAAATAT >mm10_chrX:142435545-142435556(-)::chrX:142435544-142435556(-) TCAAGGAAATAG >mm10_chrX:142447174-142447185(-)::chrX:142447173-142447185(-) AACAGGAAGCAA >mm10_chrX:142451452-142451463(+)::chrX:142451451-142451463(+) ttagggaagttc >mm10_chrX:142464319-142464330(+)::chrX:142464318-142464330(+) GGCAGGAAGCAC >mm10_chrX:142464378-142464389(-)::chrX:142464377-142464389(-) TACAGGAAGAGG >mm10_chrX:142464865-142464876(-)::chrX:142464864-142464876(-) TGGAGGAAGAGA >mm10_chrX:142465587-142465598(-)::chrX:142465586-142465598(-) AGAAGGAAGAGC >mm10_chrX:142465600-142465611(-)::chrX:142465599-142465611(-) GGGAGGAAGAAA >mm10_chrX:142479413-142479424(-)::chrX:142479412-142479424(-) ACAAGGAAGGCA >mm10_chrX:142585476-142585487(-)::chrX:142585475-142585487(-) ACCAGGAAGCAG >mm10_chrX:142618557-142618568(-)::chrX:142618556-142618568(-) AACAGGAAGTAG >mm10_chrX:142680766-142680777(+)::chrX:142680765-142680777(+) GGGAGGAAGCAG >mm10_chrX:142680773-142680784(+)::chrX:142680772-142680784(+) AGCAGGAAGTCC >mm10_chrX:142775270-142775281(-)::chrX:142775269-142775281(-) ataaggaaATCT >mm10_chrX:142775286-142775297(-)::chrX:142775285-142775297(-) gggaggaagaga >mm10_chrX:142775298-142775309(-)::chrX:142775297-142775309(-) ggaaggaaggag >mm10_chrX:142775302-142775313(-)::chrX:142775301-142775313(-) ggaaggaaggaa >mm10_chrX:142775306-142775317(-)::chrX:142775305-142775317(-) ACCaggaaggaa >mm10_chrX:142825888-142825899(+)::chrX:142825887-142825899(+) agcaggaagtac >mm10_chrX:142828608-142828619(+)::chrX:142828607-142828619(+) ACAAGGAACTGC >mm10_chrX:142872524-142872535(+)::chrX:142872523-142872535(+) AGAAGGAAGACA >mm10_chrX:142872572-142872583(-)::chrX:142872571-142872583(-) TGGAGGAAGTGT >mm10_chrX:142872596-142872607(+)::chrX:142872595-142872607(+) GACAGGAAGGCA >mm10_chrX:142882430-142882441(+)::chrX:142882429-142882441(+) AGTCGGAAGTTA >mm10_chrX:142887540-142887551(+)::chrX:142887539-142887551(+) AGAAGGAAGTGA >mm10_chrX:142887552-142887563(-)::chrX:142887551-142887563(-) AGTAGGAAGGGT >mm10_chrX:142887582-142887593(-)::chrX:142887581-142887593(-) CAAAGGAAGAGG >mm10_chrX:142892345-142892356(+)::chrX:142892344-142892356(+) ACAGGGAAGTCT >mm10_chrX:142904531-142904542(+)::chrX:142904530-142904542(+) AAGAGGAAGTAA >mm10_chrX:142916580-142916591(+)::chrX:142916579-142916591(+) AACAGGAAGCAA >mm10_chrX:142929517-142929528(+)::chrX:142929516-142929528(+) tgtaggaaatag >mm10_chrX:142929565-142929576(-)::chrX:142929564-142929576(-) aaaaggaagtag >mm10_chrX:143034558-143034569(+)::chrX:143034557-143034569(+) AAATGGAAGTAG >mm10_chrX:143037186-143037197(-)::chrX:143037185-143037197(-) GCCAGGAAGCAA >mm10_chrX:143106010-143106021(+)::chrX:143106009-143106021(+) TCAAGGAAGAGG >mm10_chrX:143386801-143386812(-)::chrX:143386800-143386812(-) ACCAGGAAATAC >mm10_chrX:143386823-143386834(-)::chrX:143386822-143386834(-) AGCAGGAAGACA >mm10_chrX:143448011-143448022(+)::chrX:143448010-143448022(+) ACAAGGAAGCTG >mm10_chrX:143615940-143615951(+)::chrX:143615939-143615951(+) AGGAGGAAGAAG >mm10_chrX:143641961-143641972(-)::chrX:143641960-143641972(-) GCTAGGAAGTAC >mm10_chrX:143879360-143879371(-)::chrX:143879359-143879371(-) ATAAGGAAATTC >mm10_chrX:144162026-144162037(+)::chrX:144162025-144162037(+) ACAAGGAATTGC >mm10_chrX:144162056-144162067(-)::chrX:144162055-144162067(-) TACAGGAAATGA >mm10_chrX:144283602-144283613(+)::chrX:144283601-144283613(+) acaaggaaggca >mm10_chrX:144283804-144283815(-)::chrX:144283803-144283815(-) ataaggaagtaa >mm10_chrX:144283847-144283858(-)::chrX:144283846-144283858(-) tgaaggaagtag >mm10_chrX:144317938-144317949(-)::chrX:144317937-144317949(-) AGGCGGAAGTCC >mm10_chrX:144768683-144768694(-)::chrX:144768682-144768694(-) GAAAGGAAGAAA >mm10_chrX:145263657-145263668(+)::chrX:145263656-145263668(+) aggaggaaatag >mm10_chrX:145263679-145263690(+)::chrX:145263678-145263690(+) gggaggaagagg >mm10_chrX:145263688-145263699(+)::chrX:145263687-145263699(+) aggaggaagaaa >mm10_chrX:145411694-145411705(+)::chrX:145411693-145411705(+) AAAAGGAAGTGA >mm10_chrX:145414283-145414294(+)::chrX:145414282-145414294(+) agGAGGAAGATG >mm10_chrX:145414304-145414315(+)::chrX:145414303-145414315(+) AGGAGGAAGAGG >mm10_chrX:145414320-145414331(+)::chrX:145414319-145414331(+) ATAAGGAAATGA >mm10_chrX:145417908-145417919(-)::chrX:145417907-145417919(-) GCAAGGAAGGTG >mm10_chrX:145435859-145435870(-)::chrX:145435858-145435870(-) tccaggaaggga >mm10_chrX:145456398-145456409(+)::chrX:145456397-145456409(+) TTAAGGAAGAAA >mm10_chrX:145474709-145474720(+)::chrX:145474708-145474720(+) AAGAGGAAGAAA >mm10_chrX:145482624-145482635(+)::chrX:145482623-145482635(+) ATAAGGAAAAAC >mm10_chrX:145492031-145492042(+)::chrX:145492030-145492042(+) AAAAGGAAATAC >mm10_chrX:145505095-145505106(+)::chrX:145505094-145505106(+) GGAAGGAAGGGG >mm10_chrX:145518404-145518415(-)::chrX:145518403-145518415(-) ACAGGGAAATAC >mm10_chrX:145527200-145527211(-)::chrX:145527199-145527211(-) aaaaggaagaga >mm10_chrX:145532538-145532549(-)::chrX:145532537-145532549(-) aggaggaagtgg >mm10_chrX:145541006-145541017(-)::chrX:145541005-145541017(-) TTCAGGAAGTGC >mm10_chrX:145633653-145633664(-)::chrX:145633652-145633664(-) AAAAGGAACTCT >mm10_chrX:145705987-145705998(-)::chrX:145705986-145705998(-) aagaggaagagg >mm10_chrX:145705993-145706004(-)::chrX:145705992-145706004(-) aggaggaagagg >mm10_chrX:145706000-145706011(-)::chrX:145705999-145706011(-) aagaggaaggag >mm10_chrX:145706028-145706039(-)::chrX:145706027-145706039(-) aagaggaaggaa >mm10_chrX:145706034-145706045(-)::chrX:145706033-145706045(-) aggaggaagagg >mm10_chrX:145706047-145706058(-)::chrX:145706046-145706058(-) aagaggaagaga >mm10_chrX:145706053-145706064(-)::chrX:145706052-145706064(-) agaaggaagagg >mm10_chrX:145807675-145807686(-)::chrX:145807674-145807686(-) aagaggaagcag >mm10_chrX:145849599-145849610(+)::chrX:145849598-145849610(+) TGTAGGAAGTCC >mm10_chrX:146560785-146560796(-)::chrX:146560784-146560796(-) AACAGGAAGAGT >mm10_chrX:146560815-146560826(+)::chrX:146560814-146560826(+) AAAAGGAAGAGC >mm10_chrX:146947222-146947233(-)::chrX:146947221-146947233(-) AGCAGGAAGTGA >mm10_chrX:147058576-147058587(-)::chrX:147058575-147058587(-) TTAAGGAAGCTG >mm10_chrX:147131059-147131070(-)::chrX:147131058-147131070(-) aggaggaaggga >mm10_chrX:147219532-147219543(-)::chrX:147219531-147219543(-) ttcaggaagtcc >mm10_chrX:147219559-147219570(-)::chrX:147219558-147219570(-) cacaggaagtaa >mm10_chrX:147393631-147393642(+)::chrX:147393630-147393642(+) TAAAGGAAGACT >mm10_chrX:147404584-147404595(+)::chrX:147404583-147404595(+) AATAGGAAATAC >mm10_chrX:150440964-150440975(+)::chrX:150440963-150440975(+) AACAGGAAGTCC >mm10_chrX:150478263-150478274(+)::chrX:150478262-150478274(+) AGCAGGAAGTCC >mm10_chrX:150495441-150495452(+)::chrX:150495440-150495452(+) atgaggaagtgt >mm10_chrX:150514050-150514061(+)::chrX:150514049-150514061(+) Gggaggaaggaa >mm10_chrX:150514054-150514065(+)::chrX:150514053-150514065(+) ggaaggaagagg >mm10_chrX:150514060-150514071(+)::chrX:150514059-150514071(+) aagaggaagagg >mm10_chrX:150514066-150514077(+)::chrX:150514065-150514077(+) aagaggaagagg >mm10_chrX:150514072-150514083(+)::chrX:150514071-150514083(+) aagaggaagagg >mm10_chrX:151036683-151036694(-)::chrX:151036682-151036694(-) TCCAGGAAGAAA >mm10_chrX:151036721-151036732(+)::chrX:151036720-151036732(+) AGGAGGAAGAAG >mm10_chrX:151077957-151077968(-)::chrX:151077956-151077968(-) AGAAGGAAAAAA >mm10_chrX:151077969-151077980(-)::chrX:151077968-151077980(-) ACCAGGAAGGGA >mm10_chrX:151124166-151124177(-)::chrX:151124165-151124177(-) acaaggaaatgg >mm10_chrX:151124210-151124221(-)::chrX:151124209-151124221(-) atgaggaaatag >mm10_chrX:151233095-151233106(-)::chrX:151233094-151233106(-) TTGAGGAAGTAC >mm10_chrX:151345989-151346000(+)::chrX:151345988-151346000(+) GTAAGGAGGTAG >mm10_chrX:151346000-151346011(+)::chrX:151345999-151346011(+) GGCAGGAAGAAG >mm10_chrX:151346007-151346018(+)::chrX:151346006-151346018(+) AGAAGGAAAAGA >mm10_chrX:151400102-151400113(-)::chrX:151400101-151400113(-) AGTAGGAAGGAG >mm10_chrX:151599024-151599035(+)::chrX:151599023-151599035(+) AAAAGGAAATAA >mm10_chrX:151605520-151605531(+)::chrX:151605519-151605531(+) ttgaggaagtga >mm10_chrX:151811703-151811714(+)::chrX:151811702-151811714(+) aacaggaaggtc >mm10_chrX:151886722-151886733(-)::chrX:151886721-151886733(-) AAAAGGAAGTGG >mm10_chrX:151886739-151886750(+)::chrX:151886738-151886750(+) TCCAGGAAGTTG >mm10_chrX:151886760-151886771(+)::chrX:151886759-151886771(+) AGGAGGAAGAAG >mm10_chrX:152016366-152016377(+)::chrX:152016365-152016377(+) AGGCGGAAGTGA >mm10_chrX:152016395-152016406(+)::chrX:152016394-152016406(+) AACAGGAAATCG >mm10_chrX:152145752-152145763(+)::chrX:152145751-152145763(+) ACCAGGAAGGGA >mm10_chrX:152145757-152145768(+)::chrX:152145756-152145768(+) GAAGGGAAGTCC >mm10_chrX:152179176-152179187(-)::chrX:152179175-152179187(-) ACCAGGAAGCTA >mm10_chrX:152201859-152201870(-)::chrX:152201858-152201870(-) ACCAGGAAGACT >mm10_chrX:152266087-152266098(-)::chrX:152266086-152266098(-) agaaggaaaaag >mm10_chrX:152266094-152266105(-)::chrX:152266093-152266105(-) ttaaggaagaag >mm10_chrX:152266757-152266768(+)::chrX:152266756-152266768(+) TGAAGGAAGGAG >mm10_chrX:152703809-152703820(+)::chrX:152703808-152703820(+) GGCAGGAAATGG >mm10_chrX:152705586-152705597(-)::chrX:152705585-152705597(-) ataaggaaggga >mm10_chrX:152708468-152708479(+)::chrX:152708467-152708479(+) TGAAGGAAGAGA >mm10_chrX:152725113-152725124(-)::chrX:152725112-152725124(-) ataaggaaatgt >mm10_chrX:152788834-152788845(+)::chrX:152788833-152788845(+) CAGAGGAAGTAG >mm10_chrX:152788841-152788852(+)::chrX:152788840-152788852(+) AGTAGGAAGAAA >mm10_chrX:152801311-152801322(-)::chrX:152801310-152801322(-) GAAAGGAATTGA >mm10_chrX:152801327-152801338(+)::chrX:152801326-152801338(+) AAAAGGAAGAGG >mm10_chrX:152801349-152801360(+)::chrX:152801348-152801360(+) TTAAGGAAGACC >mm10_chrX:152816641-152816652(+)::chrX:152816640-152816652(+) AATAGGAAGCAG >mm10_chrX:152918460-152918471(+)::chrX:152918459-152918471(+) GAAAGGATGTGG >mm10_chrX:153037613-153037624(+)::chrX:153037612-153037624(+) AAGAGGAAGTGG >mm10_chrX:153155248-153155259(+)::chrX:153155247-153155259(+) agaagaaagtga >mm10_chrX:153203900-153203911(-)::chrX:153203899-153203911(-) GGAAGGAACTAT >mm10_chrX:153203904-153203915(-)::chrX:153203903-153203915(-) GGAAGGAAGGAA >mm10_chrX:153203908-153203919(-)::chrX:153203907-153203919(-) AGGAGGAAGGAA >mm10_chrX:153211348-153211359(+)::chrX:153211347-153211359(+) GGAAGGAAGAGT >mm10_chrX:153497976-153497987(-)::chrX:153497975-153497987(-) aaaaggaaggag >mm10_chrX:153497982-153497993(-)::chrX:153497981-153497993(-) agaaggaaaagg >mm10_chrX:153675043-153675054(+)::chrX:153675042-153675054(+) acaaggaagctt >mm10_chrX:153696178-153696189(-)::chrX:153696177-153696189(-) GAGAGGAAGAAC >mm10_chrX:153832351-153832362(-)::chrX:153832350-153832362(-) TCCAGGAAGTCC >mm10_chrX:155171975-155171986(-)::chrX:155171974-155171986(-) TCAAGGAACTAG >mm10_chrX:155172005-155172016(-)::chrX:155172004-155172016(-) AGAAGGAAGTAA >mm10_chrX:155172471-155172482(+)::chrX:155172470-155172482(+) ATGAGGAAGCAC >mm10_chrX:155172501-155172512(-)::chrX:155172500-155172512(-) AAGAGGAAGTGG >mm10_chrX:155194300-155194311(-)::chrX:155194299-155194311(-) AGAAGGAAGAAA >mm10_chrX:155202742-155202753(+)::chrX:155202741-155202753(+) TCTAGGAAGTAG >mm10_chrX:155208836-155208847(+)::chrX:155208835-155208847(+) gaaaggaaatgt >mm10_chrX:155212117-155212128(-)::chrX:155212116-155212128(-) AGAAGGAAGATA >mm10_chrX:155249504-155249515(+)::chrX:155249503-155249515(+) ACCAGGAAGTCA >mm10_chrX:155278543-155278554(-)::chrX:155278542-155278554(-) GGAAGGAAGGGG >mm10_chrX:155278547-155278558(-)::chrX:155278546-155278558(-) GCAAGGAAGGAA >mm10_chrX:155305212-155305223(-)::chrX:155305211-155305223(-) AGGAGGAAGAGG >mm10_chrX:155700469-155700480(-)::chrX:155700468-155700480(-) AGAAGGAACTGA >mm10_chrX:155712692-155712703(+)::chrX:155712691-155712703(+) aggaggaagctg >mm10_chrX:155719839-155719850(-)::chrX:155719838-155719850(-) AAAAGGAAATTG >mm10_chrX:157312796-157312807(+)::chrX:157312795-157312807(+) AACAGGAAGTCG >mm10_chrX:157454567-157454578(+)::chrX:157454566-157454578(+) GGAAGGAAGGGA >mm10_chrX:157585795-157585806(-)::chrX:157585794-157585806(-) ATGAGGAAGACA >mm10_chrX:157585829-157585840(-)::chrX:157585828-157585840(-) AAAAGGAAGTGT >mm10_chrX:157591389-157591400(+)::chrX:157591388-157591400(+) aggaggaagagg >mm10_chrX:157591395-157591406(+)::chrX:157591394-157591406(+) aagaggaagagg >mm10_chrX:157591404-157591415(+)::chrX:157591403-157591415(+) aggaggaagagg >mm10_chrX:157591410-157591421(+)::chrX:157591409-157591421(+) aagaggaagagg >mm10_chrX:157591419-157591430(+)::chrX:157591418-157591430(+) aggaggaagagg >mm10_chrX:157591425-157591436(+)::chrX:157591424-157591436(+) aagaggaagagg >mm10_chrX:157591431-157591442(+)::chrX:157591430-157591442(+) aagaggaagaAT >mm10_chrX:157722620-157722631(+)::chrX:157722619-157722631(+) ACAAGGAAGTAG >mm10_chrX:157906857-157906868(+)::chrX:157906856-157906868(+) ACAAGGAAGGTT >mm10_chrX:158535025-158535036(+)::chrX:158535024-158535036(+) tcaaggaagaga >mm10_chrX:158947210-158947221(-)::chrX:158947209-158947221(-) GGAAGGAAATGG >mm10_chrX:158947214-158947225(-)::chrX:158947213-158947225(-) ATCAGGAAGGAA >mm10_chrX:158947226-158947237(-)::chrX:158947225-158947237(-) GAGAGGAAGAGA >mm10_chrX:158947241-158947252(-)::chrX:158947240-158947252(-) ATGAGGAAGATG >mm10_chrX:158998832-158998843(-)::chrX:158998831-158998843(-) ACCAGGAAGTGC >mm10_chrX:159143184-159143195(-)::chrX:159143183-159143195(-) CAAAGGAAGAAA >mm10_chrX:159155734-159155745(+)::chrX:159155733-159155745(+) ACAAGGAAGTTC >mm10_chrX:159190658-159190669(+)::chrX:159190657-159190669(+) AAAAGGAAGGTG >mm10_chrX:159211196-159211207(+)::chrX:159211195-159211207(+) TCCAGGAAATAG >mm10_chrX:159211221-159211232(-)::chrX:159211220-159211232(-) TAGAGGAAGGGG >mm10_chrX:159227843-159227854(+)::chrX:159227842-159227854(+) agcaggaaggac >mm10_chrX:159265433-159265444(-)::chrX:159265432-159265444(-) AACAGGAAATGC >mm10_chrX:159502428-159502439(+)::chrX:159502427-159502439(+) GTCAGGAAGTGG >mm10_chrX:159502441-159502452(+)::chrX:159502440-159502452(+) ACAAGGAAGACG >mm10_chrX:159507672-159507683(-)::chrX:159507671-159507683(-) AAAAGGATGTTT >mm10_chrX:159532652-159532663(+)::chrX:159532651-159532663(+) GGAAGGAAGTGG >mm10_chrX:159628327-159628338(-)::chrX:159628326-159628338(-) TATAGGAAGTAG >mm10_chrX:159650275-159650286(+)::chrX:159650274-159650286(+) AGGAGGAAGCAG >mm10_chrX:159650282-159650293(+)::chrX:159650281-159650293(+) AGCAGGAAGATG >mm10_chrX:159650296-159650307(+)::chrX:159650295-159650307(+) GACAGGAAATGG >mm10_chrX:159764794-159764805(-)::chrX:159764793-159764805(-) TTAAGGATGTAA >mm10_chrX:159764805-159764816(+)::chrX:159764804-159764816(+) AAAAGGAATTGA >mm10_chrX:159764832-159764843(+)::chrX:159764831-159764843(+) AGCAGGAAGAAG >mm10_chrX:159778846-159778857(-)::chrX:159778845-159778857(-) aaaaggaagcaa >mm10_chrX:159797835-159797846(-)::chrX:159797834-159797846(-) AACAGGAAATCA >mm10_chrX:159802624-159802635(-)::chrX:159802623-159802635(-) AAAAGGAAGTCC >mm10_chrX:159908220-159908231(+)::chrX:159908219-159908231(+) AAAAGGAAGAAG >mm10_chrX:159908227-159908238(+)::chrX:159908226-159908238(+) AGAAGGAAGAGG >mm10_chrX:159909769-159909780(+)::chrX:159909768-159909780(+) AGCAGGAAGCAG >mm10_chrX:159909783-159909794(-)::chrX:159909782-159909794(-) AACAGGAAGGCA >mm10_chrX:159982511-159982522(+)::chrX:159982510-159982522(+) acaaggaagaca >mm10_chrX:160081505-160081516(-)::chrX:160081504-160081516(-) GCAGGGAAGTTG >mm10_chrX:160136398-160136409(-)::chrX:160136397-160136409(-) AGGAGGAAGAGA >mm10_chrX:160137550-160137561(+)::chrX:160137549-160137561(+) TGGAGGAAGTTT >mm10_chrX:160153509-160153520(+)::chrX:160153508-160153520(+) ATAAGGAATATG >mm10_chrX:160295425-160295436(-)::chrX:160295424-160295436(-) ACAAGGAAGAGA >mm10_chrX:160466279-160466290(-)::chrX:160466278-160466290(-) ataaggaagaaa >mm10_chrX:160531856-160531867(+)::chrX:160531855-160531867(+) AAAAGGAAGGAG >mm10_chrX:160541183-160541194(+)::chrX:160541182-160541194(+) CTAAGGAAGAGG >mm10_chrX:160672026-160672037(+)::chrX:160672025-160672037(+) AGAAGGAAGCAT >mm10_chrX:160751166-160751177(+)::chrX:160751165-160751177(+) TCAAGGAAGCAA >mm10_chrX:160788773-160788784(-)::chrX:160788772-160788784(-) TGAAGGAAGTTG >mm10_chrX:160819315-160819326(+)::chrX:160819314-160819326(+) TGCAGGAAGAAA >mm10_chrX:160857324-160857335(+)::chrX:160857323-160857335(+) ATTAGGAAGTCC >mm10_chrX:160857385-160857396(-)::chrX:160857384-160857396(-) GTCAGGAAGTTC >mm10_chrX:161012995-161013006(+)::chrX:161012994-161013006(+) aacaggaaggaa >mm10_chrX:161215325-161215336(+)::chrX:161215324-161215336(+) AGAAGGAAAGAC >mm10_chrX:161215346-161215357(+)::chrX:161215345-161215357(+) TTAAGGAAGCAA >mm10_chrX:161237596-161237607(+)::chrX:161237595-161237607(+) TCAAGGAAGTCT >mm10_chrX:161533127-161533138(+)::chrX:161533126-161533138(+) ACCAGGAAATTG >mm10_chrX:161635954-161635965(+)::chrX:161635953-161635965(+) ACACGGAAGATG >mm10_chrX:161636523-161636534(+)::chrX:161636522-161636534(+) AGGAGGAAATGA >mm10_chrX:161661232-161661243(-)::chrX:161661231-161661243(-) TAAAGGTAGTAA >mm10_chrX:161661318-161661329(+)::chrX:161661317-161661329(+) TCCAGGAAGACG >mm10_chrX:161661346-161661357(-)::chrX:161661345-161661357(-) ACAAGGAATTAG >mm10_chrX:162054374-162054385(-)::chrX:162054373-162054385(-) AAGAGGAAGTGA >mm10_chrX:162080704-162080715(-)::chrX:162080703-162080715(-) AGAAGGAAAATG >mm10_chrX:162221068-162221079(-)::chrX:162221067-162221079(-) ataagggagtga >mm10_chrX:162510537-162510548(-)::chrX:162510536-162510548(-) TCCAGGAAGTTG >mm10_chrX:162511252-162511263(-)::chrX:162511251-162511263(-) AATAGGAAATTA >mm10_chrX:162513794-162513805(-)::chrX:162513793-162513805(-) AGAAGGCAGTCA >mm10_chrX:162542969-162542980(+)::chrX:162542968-162542980(+) GAAAGGAAAATA >mm10_chrX:162713736-162713747(+)::chrX:162713735-162713747(+) AGGAGGAAGAAG >mm10_chrX:162713748-162713759(+)::chrX:162713747-162713759(+) AGAAGGAAGGAA >mm10_chrX:162713752-162713763(+)::chrX:162713751-162713763(+) GGAAGGAAGTCA >mm10_chrX:162757564-162757575(-)::chrX:162757563-162757575(-) GAAAGAAAGTAC >mm10_chrX:162757603-162757614(+)::chrX:162757602-162757614(+) ACAAGGAAATAA >mm10_chrX:162757629-162757640(+)::chrX:162757628-162757640(+) CCAAGGAAGACC >mm10_chrX:162759648-162759659(+)::chrX:162759647-162759659(+) AGCAGGAAGCTA >mm10_chrX:162832274-162832285(+)::chrX:162832273-162832285(+) TCCAGGAAGTAT >mm10_chrX:162914028-162914039(-)::chrX:162914027-162914039(-) GGAAGGAAGAAG >mm10_chrX:162914032-162914043(-)::chrX:162914031-162914043(-) ACCAGGAAGGAA >mm10_chrX:162914049-162914060(+)::chrX:162914048-162914060(+) CCAAGGAAGTGC >mm10_chrX:162914057-162914068(-)::chrX:162914056-162914068(-) aaGAGGAAGCAC >mm10_chrX:162941538-162941549(+)::chrX:162941537-162941549(+) aacaggaagtct >mm10_chrX:162957667-162957678(-)::chrX:162957666-162957678(-) GAGAGGAAGGGA >mm10_chrX:162993115-162993126(+)::chrX:162993114-162993126(+) aagaggaagagg >mm10_chrX:162993121-162993132(+)::chrX:162993120-162993132(+) aagaggaagagg >mm10_chrX:162993136-162993147(+)::chrX:162993135-162993147(+) aggaggaagaag >mm10_chrX:162993143-162993154(+)::chrX:162993142-162993154(+) agaaggaaaagg >mm10_chrX:163744089-163744100(+)::chrX:163744088-163744100(+) agcaggaagcaa >mm10_chrX:163744159-163744170(-)::chrX:163744158-163744170(-) aagaggaagtac >mm10_chrX:163908890-163908901(-)::chrX:163908889-163908901(-) ACCCGGAAGCGG >mm10_chrX:164025828-164025839(+)::chrX:164025827-164025839(+) ACAAGGAAACAT >mm10_chrX:164026783-164026794(-)::chrX:164026782-164026794(-) AGGAGGAAGCTG >mm10_chrX:164046592-164046603(+)::chrX:164046591-164046603(+) taaaggaaggaa >mm10_chrX:164046891-164046902(+)::chrX:164046890-164046902(+) aacaggaagaga >mm10_chrX:164069213-164069224(-)::chrX:164069212-164069224(-) AGCAGGAAGAAA >mm10_chrX:164069223-164069234(-)::chrX:164069222-164069234(-) CGAAGGAAACAG >mm10_chrX:164145750-164145761(+)::chrX:164145749-164145761(+) ctaaggaagtat >mm10_chrX:164233546-164233557(+)::chrX:164233545-164233557(+) ACGAGGAATTGC >mm10_chrX:164233605-164233616(-)::chrX:164233604-164233616(-) AACAGGAAATGG >mm10_chrX:164539643-164539654(-)::chrX:164539642-164539654(-) AAAAGGAAGCGC >mm10_chrX:164856063-164856074(+)::chrX:164856062-164856074(+) aagaggaagaga >mm10_chrX:164945167-164945178(-)::chrX:164945166-164945178(-) GTAAGGAAGTCT >mm10_chrX:164952395-164952406(+)::chrX:164952394-164952406(+) AGGAGGAAGGGG >mm10_chrX:164952435-164952446(-)::chrX:164952434-164952446(-) GGAAGGAAGCTG >mm10_chrX:164952439-164952450(-)::chrX:164952438-164952450(-) GTCAGGAAGGAA >mm10_chrX:164953040-164953051(-)::chrX:164953039-164953051(-) aacaggaaggct >mm10_chrX:165003978-165003989(-)::chrX:165003977-165003989(-) ATGAGGAAGATG >mm10_chrX:165055753-165055764(+)::chrX:165055752-165055764(+) GCCAGGAAGGTG >mm10_chrX:165133632-165133643(-)::chrX:165133631-165133643(-) tccaggaagtag >mm10_chrX:165133675-165133686(-)::chrX:165133674-165133686(-) aaaaggaaatag >mm10_chrX:165156928-165156939(-)::chrX:165156927-165156939(-) CGAAggcagtca >mm10_chrX:165328003-165328014(-)::chrX:165328002-165328014(-) AGTAGGAAGATA >mm10_chrX:165713479-165713490(+)::chrX:165713478-165713490(+) aacaggaaggct >mm10_chrX:165995617-165995628(+)::chrX:165995616-165995628(+) AGAAGGAAGAAC >mm10_chrX:166035894-166035905(+)::chrX:166035893-166035905(+) agaaggaaggtt >mm10_chrX:166291423-166291434(+)::chrX:166291422-166291434(+) AACAGGAAATGT >mm10_chrX:166453766-166453777(+)::chrX:166453765-166453777(+) AAGAGGAAGTCC >mm10_chrX:166456535-166456546(-)::chrX:166456534-166456546(-) acaaggaaggaa >mm10_chrX:166766184-166766195(-)::chrX:166766183-166766195(-) CAAAGGAAGTAG >mm10_chrX:166766616-166766627(+)::chrX:166766615-166766627(+) ACAGGGAAGTAT >mm10_chrX:166815785-166815796(+)::chrX:166815784-166815796(+) ATAAGGAAATGA >mm10_chrX:166815827-166815838(-)::chrX:166815826-166815838(-) GGAAGGATGTGA >mm10_chrX:166815831-166815842(-)::chrX:166815830-166815842(-) AGGAGGAAGGAT >mm10_chrX:166841822-166841833(-)::chrX:166841821-166841833(-) TTAATGAAGTAA >mm10_chrX:166972479-166972490(+)::chrX:166972478-166972490(+) AACAGGAAGTGA >mm10_chrX:167012753-167012764(-)::chrX:167012752-167012764(-) aagaggaaggat >mm10_chrX:167012774-167012785(-)::chrX:167012773-167012785(-) gggaggaagggg >mm10_chrX:167023453-167023464(+)::chrX:167023452-167023464(+) ATGAGGAAATGT >mm10_chrX:167036814-167036825(+)::chrX:167036813-167036825(+) gaaaggaaggaa >mm10_chrX:167036818-167036829(+)::chrX:167036817-167036829(+) ggaaggaaggaa >mm10_chrX:167036822-167036833(+)::chrX:167036821-167036833(+) ggaaggaagcaa >mm10_chrX:167036838-167036849(+)::chrX:167036837-167036849(+) acaaggaaggaa >mm10_chrX:167036842-167036853(+)::chrX:167036841-167036853(+) ggaaggaaggca >mm10_chrX:167052178-167052189(+)::chrX:167052177-167052189(+) ggcaggaaatgc >mm10_chrX:167068211-167068222(-)::chrX:167068210-167068222(-) AGGAGGAAGTAG >mm10_chrX:167092107-167092118(-)::chrX:167092106-167092118(-) TCAAGGAAGTGA >mm10_chrX:167104104-167104115(+)::chrX:167104103-167104115(+) AAAAGGATGTGT >mm10_chrX:167146654-167146665(+)::chrX:167146653-167146665(+) CTGAGGAAGTGG >mm10_chrX:167157981-167157992(+)::chrX:167157980-167157992(+) ACCAGGAAGAAG >mm10_chrX:167167507-167167518(+)::chrX:167167506-167167518(+) AACAGGAAGCAC >mm10_chrX:167167539-167167550(-)::chrX:167167538-167167550(-) GGAAGGAAGGCT >mm10_chrX:167203074-167203085(+)::chrX:167203073-167203085(+) ATCAGGAAGTAT >mm10_chrX:167212055-167212066(+)::chrX:167212054-167212066(+) TCCAGGAAGTGG >mm10_chrX:167229490-167229501(-)::chrX:167229489-167229501(-) TGGAGGAAGTAG >mm10_chrX:167229531-167229542(-)::chrX:167229530-167229542(-) GGAAGGAACTCT >mm10_chrX:167229987-167229998(-)::chrX:167229986-167229998(-) ggagggaagtgt >mm10_chrX:167369452-167369463(+)::chrX:167369451-167369463(+) AAAAGGAAGTAG >mm10_chrX:167369459-167369470(+)::chrX:167369458-167369470(+) AGTAGGAAGTAC >mm10_chrX:167369468-167369479(-)::chrX:167369467-167369479(-) TGGAGGAAGGTA >mm10_chrX:167390384-167390395(+)::chrX:167390383-167390395(+) ACAAGGAAGAAA >mm10_chrX:167390388-167390399(+)::chrX:167390387-167390399(+) GGAAGAAAGTGC >mm10_chrX:167522767-167522778(+)::chrX:167522766-167522778(+) AGCAGGAAGCAC >mm10_chrX:167726462-167726473(-)::chrX:167726461-167726473(-) GACAGGAAGAAA >mm10_chrX:167726513-167726524(-)::chrX:167726512-167726524(-) ATAAGGAAGCAT >mm10_chrX:168275219-168275230(+)::chrX:168275218-168275230(+) gcaaggaaatca >mm10_chrX:168454737-168454748(-)::chrX:168454736-168454748(-) AGAAGGAAGAAA >mm10_chrX:168454749-168454760(-)::chrX:168454748-168454760(-) AAAAGGAAGAAG >mm10_chrX:168463407-168463418(+)::chrX:168463406-168463418(+) ATGAGGAAGGAA >mm10_chrX:168463411-168463422(+)::chrX:168463410-168463422(+) GGAAGGAATTAG >mm10_chrX:168639867-168639878(-)::chrX:168639866-168639878(-) GGAAGGAAGGCG >mm10_chrX:168673542-168673553(+)::chrX:168673541-168673553(+) TTAGGGAAGTCG >mm10_chrX:168778144-168778155(+)::chrX:168778143-168778155(+) GCTAGGAAGTGA >mm10_chrX:168907746-168907757(-)::chrX:168907745-168907757(-) GAAAGGAAGAAC >mm10_chrX:168907751-168907762(-)::chrX:168907750-168907762(-) ATAAGGAAAGGA >mm10_chrX:168942010-168942021(-)::chrX:168942009-168942021(-) AGAAGGAAGATG >mm10_chrX:168942031-168942042(+)::chrX:168942030-168942042(+) AGAAGGAAATGG >mm10_chrX:169036540-169036551(+)::chrX:169036539-169036551(+) GGAAGGAATTAA >mm10_chrX:169082323-169082334(-)::chrX:169082322-169082334(-) TCAAGGAAATAA >mm10_chrX:169106475-169106486(-)::chrX:169106474-169106486(-) AGAAGGAAACAA >mm10_chrX:169213812-169213823(+)::chrX:169213811-169213823(+) AGCAGGAAGGCG >mm10_chrX:169320364-169320375(-)::chrX:169320363-169320375(-) AACAGGAAGTAA >mm10_chrX:169906429-169906440(+)::chrX:169906428-169906440(+) TCAGGGAAGTCA >mm10_chrX:169909967-169909978(-)::chrX:169909966-169909978(-) ACAAGGAAGTTC >mm10_chrX:169940746-169940757(-)::chrX:169940745-169940757(-) ACCAGGAAGTCT >mm10_chrX:169941167-169941178(-)::chrX:169941166-169941178(-) TGAAGAAAGTAC >mm10_chrX:169963879-169963890(+)::chrX:169963878-169963890(+) ACATGGAAGTCA >mm10_chrX:169963902-169963913(+)::chrX:169963901-169963913(+) ACAAGGAACTGA >mm10_chrX:169980566-169980577(-)::chrX:169980565-169980577(-) CGAAGGAAATGA